# Package statistics -- Ranking by votes (all)
#-------------------------------------------------------------------------------------------
# rank Package                            	   votes     inst      old      new   nofile
#-------------------------------------------------------------------------------------------
    1 perl-base                          	     858     4168     3145      165        0
    2 dash                               	     851     4168     3149      167        1
    3 libc6                              	     847     4168     3137      172       12
    4 util-linux                         	     815     4164     3174      175        0
    5 sysvinit-core                      	     781     3871     2944      146        0
    6 libc-bin                           	     773     4071     3140      158        0
    7 rsyslog                            	     765     4051     3129      157        0
    8 debianutils                        	     764     4132     3199      169        0
    9 cron                               	     759     4154     3234      161        0
   10 coreutils                          	     739     4168     3255      174        0
   11 eudev                              	     733     4070     3175      162        0
   12 bash                               	     720     4164     3267      177        0
   13 libpcre2-8-0                       	     713     4016     2804      160      339
   14 popularity-contest                 	     711     4163     3280      172        0
   15 dpkg                               	     708     4168     3268      192        0
   16 libkmod2                           	     708     4156     2777      156      515
   17 grep                               	     707     4168     3278      183        0
   18 findutils                          	     705     4168     3282      181        0
   19 gzip                               	     704     4168     3277      187        0
   20 libgssapi-krb5-2                   	     703     4149     2771      158      517
   21 libk5crypto3                       	     703     4150     2771      158      518
   22 libkrb5-3                          	     703     4150     2771      158      518
   23 libkrb5support0                    	     703     4153     2772      158      520
   24 libuuid1                           	     697     4168     2757      158      556
   25 apt                                	     696     4167     3285      183        3
   26 libblkid1                          	     695     4168     2752      162      559
   27 libzstd1                           	     688     3958     2731      157      382
   28 libestr0                           	     687     4096     2722      153      534
   29 libfastjson4                       	     687     4032     2722      153      470
   30 libstdc++6                         	     687     4167     2730      151      599
   31 libidn2-0                          	     684     4109     2683      150      592
   32 libgmp10                           	     681     4168     2681      150      656
   33 diffutils                          	     680     4168     3300      188        0
   34 libapparmor1                       	     680     4057     2732      156      489
   35 liblzma5                           	     680     4168     2711      152      625
   36 libp11-kit0                        	     680     4168     2683      150      655
   37 zlib1g                             	     680     4168     2712      152      624
   38 libcrypt1                          	     679     3694     2722      154      139
   39 libcap-ng0                         	     677     4164     2703      153      631
   40 libaudit1                          	     676     4168     2703      153      636
   41 libkeyutils1                       	     676     4161     2690      146      649
   42 libnsl2                            	     676     3668     2605      126      261
   43 libselinux1                        	     676     4168     2718      156      618
   44 logrotate                          	     676     4153     3299      178        0
   45 sed                                	     675     4168     3312      180        1
   46 tar                                	     675     4168     3302      191        0
   47 libcap2                            	     674     4157     2701      147      635
   48 libpam0g                           	     670     4151     2687      153      641
   49 libcom-err2                        	     669     3923     2674      148      432
   50 libpam-modules                     	     668     4168     2673      143      684
   51 man-db                             	     667     4046     3203      176        0
   52 libwrap0                           	     666     4110     2600      130      714
   53 libgcc-s1                          	     665     3693     2682      148      198
   54 libexpat1                          	     660     4157     2640      150      707
   55 init-system-helpers                	     659     4168     3316      193        0
   56 libacl1                            	     656     4168     2672      152      688
   57 openssl                            	     655     4151     3302      194        0
   58 libdbus-1-3                        	     649     4122     2658      150      665
   59 libtasn1-6                         	     646     4167     2673      174      674
   60 elogind                            	     634     3677     2883      160        0
   61 libmd0                             	     633     3681     2555      143      350
   62 libbsd0                            	     630     4160     2539      137      854
   63 libunistring2                      	     630     3844     2472      143      599
   64 libnettle8                         	     624     3395     2470      140      161
   65 libhogweed6                        	     623     3395     2468      140      164
   66 openssh-server                     	     622     2869     2174       73        0
   67 sysvinit-utils                     	     622     4168     3354      192        0
   68 libsasl2-2                         	     615     4156     2500      146      895
   69 libxml2                            	     615     4148     2539      149      845
   70 libelogind0                        	     611     3825     2601      148      465
   71 libgcrypt20                        	     611     4167     2497      139      920
   72 e2fsprogs                          	     610     4149     3354      185        0
   73 libgnutls30                        	     607     3812     2449      152      604
   74 libtirpc3                          	     605     3488     2384      121      378
   75 libbrotli1                         	     594     3876     2491      147      644
   76 libbz2-1.0                         	     590     4168     2511      143      924
   77 procps                             	     590     4155     3374      191        0
   78 libgpg-error0                      	     581     4167     2421      134     1031
   79 libpam-elogind                     	     578     3668     2518      146      426
   80 libtinfo6                          	     569     3949     2462      145      773
   81 libffi8                            	     568     3074     2274      142       90
   82 libmount1                          	     566     4168     2491      152      959
   83 libjpeg62-turbo                    	     558     4046     2420      144      924
   84 dbus-daemon                        	     551     2983     2282      150        0
   85 libeudev1                          	     547     4106     2321      133     1105
   86 libxdmcp6                          	     547     4120     2384      139     1050
   87 libxau6                            	     546     4119     2382      139     1052
   88 libxcb1                            	     546     4117     2376      139     1056
   89 libicu72                           	     545     3044     2208      140      151
   90 libx11-6                           	     542     4108     2362      137     1067
   91 libglib2.0-0                       	     534     3822     2363      152      773
   92 libnghttp2-14                      	     534     4086     2206      142     1204
   93 libfreetype6                       	     532     4138     2327      139     1140
   94 libfontconfig1                     	     530     4038     2348      138     1022
   95 librtmp1                           	     529     4141     2228      142     1242
   96 libavahi-common3                   	     519     3975     2352      146      958
   97 libedit2                           	     518     4153     2198      133     1304
   98 libpng16-16                        	     518     3796     2264      137      877
   99 ncurses-base                       	     516     4168     3173      175      304
  100 libssl3                            	     512     2763     2037      141       73
  101 libsqlite3-0                       	     511     4155     2087      127     1430
  102 libpixman-1-0                      	     509     3973     2316      139     1009
  103 openssh-client                     	     509     4115     3402      204        0
  104 iproute2                           	     508     4152     3451      193        0
  105 libxext6                           	     508     4092     2315      139     1130
  106 libavahi-client3                   	     507     3971     2304      144     1016
  107 libdrm2                            	     505     3786     2312      141      828
  108 libunwind8                         	     494     3431     2316      141      480
  109 libjbig0                           	     493     4038     2172      140     1233
  110 libdeflate0                        	     492     3604     2168      140      804
  111 python3-minimal                    	     492     4130     3423      215        0
  112 libxrender1                        	     490     3977     2280      139     1068
  113 libnuma1                           	     489     3894     2119      112     1174
  114 libpciaccess0                      	     482     3715     2283      140      810
  115 libpsl5                            	     482     3808     2084      135     1107
  116 libssh2-1                          	     479     3860     2050      137     1194
  117 avahi-daemon                       	     477     3053     2422      154        0
  118 libgudev-1.0-0                     	     475     3428     2300      148      505
  119 libusb-1.0-0                       	     472     4007     2211      143     1181
  120 liblcms2-2                         	     471     3952     2106      135     1240
  121 libxcb-dri3-0                      	     469     3705     2220      141      875
  122 libfribidi0                        	     468     4012     2166      129     1249
  123 libldap-2.5-0                      	     468     3017     2040      131      378
  124 libjansson4                        	     467     3928     2088      131     1242
  125 xserver-xorg-input-libinput        	     467     3161     2534      160        0
  126 kmod                               	     466     4140     3480      193        1
  127 libepoxy0                          	     466     3797     2226      139      966
  128 libglvnd0                          	     466     3572     2244      142      720
  129 libglx0                            	     466     3557     2239      142      710
  130 libgraphite2-3                     	     466     3970     2188      134     1182
  131 xserver-xorg-core                  	     464     3209     2583      162        0
  132 libharfbuzz0b                      	     463     3958     2174      134     1187
  133 dbus-x11                           	     461     3839     3196      182        0
  134 libdatrie1                         	     461     3954     2154      130     1209
  135 libthai0                           	     461     3950     2154      130     1205
  136 libxcb-render0                     	     461     3966     2168      135     1202
  137 libxcb-shm0                        	     461     3972     2173      135     1203
  138 libxshmfence1                      	     461     3705     2241      141      862
  139 libx11-xcb1                        	     460     3727     2214      137      916
  140 libxrandr2                         	     460     3907     2177      126     1144
  141 libcairo2                          	     459     3955     2151      129     1216
  142 libfontenc1                        	     459     3657     2234      139      825
  143 dbus                               	     458     4015     3363      192        2
  144 libpango-1.0-0                     	     457     3933     2146      129     1201
  145 libpangocairo-1.0-0                	     457     3933     2144      129     1203
  146 libpangoft2-1.0-0                  	     457     3933     2146      129     1201
  147 libxfont2                          	     457     3230     2228      141      404
  148 libpolkit-gobject-elogind-1-0      	     456     3275     2129      149      541
  149 libasound2                         	     455     3473     2138      142      738
  150 libevdev2                          	     455     3310     2227      142      486
  151 gpg                                	     453     3906     3245      208        0
  152 libelf1                            	     451     3848     2141      141     1115
  153 libjson-c5                         	     450     3635     1979      133     1073
  154 cups-daemon                        	     449     2817     2219      149        0
  155 libcairo-gobject2                  	     448     3836     2119      129     1140
  156 libdb5.3                           	     448     3871     1583       61     1779
  157 libdaemon0                         	     447     3204     2166      147      444
  158 libglapi-mesa                      	     447     3694     2191      140      916
  159 libwayland-client0                 	     447     3835     2201      140     1047
  160 libinput10                         	     446     3277     2218      143      470
  161 libsm6                             	     446     3727     2136      135     1010
  162 libxfixes3                         	     446     3928     2161      138     1183
  163 libice6                            	     445     3728     2136      135     1012
  164 libavahi-core7                     	     444     3074     2151      148      331
  165 libgdk-pixbuf-2.0-0                	     443     3510     2098      127      842
  166 libsensors5                        	     443     3734     2106      139     1046
  167 libxcb-xfixes0                     	     440     3670     2149      134      947
  168 anacron                            	     439     3061     2461      161        0
  169 libbluetooth3                      	     439     3052     2079      141      393
  170 libxcursor1                        	     438     3893     2145      138     1172
  171 libevent-2.1-7                     	     436     3181     1726       71      948
  172 libgbm1                            	     436     3540     2052      128      924
  173 libxkbcommon0                      	     436     3806     2110      136     1124
  174 sane-utils                         	     436     2934     2349      149        0
  175 libdrm-radeon1                     	     435     3694     2129      138      992
  176 libnss-mdns                        	     435     3100     2092      138      435
  177 libopus0                           	     435     3645     2042      130     1038
  178 libxcb-present0                    	     435     3708     2140      134      999
  179 libxcb-sync1                       	     435     3705     2148      135      987
  180 libz3-4                            	     435     3395     2059      138      763
  181 libwayland-server0                 	     434     3547     2053      128      932
  182 libxi6                             	     434     3928     2108      130     1256
  183 libnspr4                           	     433     3702     2019      134     1116
  184 libtdb1                            	     433     3403     2044      130      796
  185 libltdl7                           	     432     3826     2006      127     1261
  186 polkitd                            	     432     2840     2249      159        0
  187 libsane1                           	     430     2792     2214      148        0
  188 libduktape207                      	     429     2864     1942      140      353
  189 libogg0                            	     429     3641     2036      133     1043
  190 libvorbis0a                        	     429     3640     2039      133     1039
  191 libgomp1                           	     428     3914     1969      116     1401
  192 libwebp7                           	     428     2980     1936      132      484
  193 libxinerama1                       	     428     3906     2071      124     1283
  194 libgl1-mesa-dri                    	     427     3683     2118      139      999
  195 libgl1                             	     426     3557     2072      138      921
  196 libwayland-cursor0                 	     426     3801     2095      135     1145
  197 libxdamage1                        	     426     3900     2056      124     1294
  198 mount                              	     426     4147     3517      204        0
  199 libvorbisenc2                      	     425     3633     2014      128     1066
  200 libargon2-1                        	     424     3386     1865      126      971
  201 libwayland-egl1                    	     424     3693     2061      126     1082
  202 libxcomposite1                     	     424     3899     2059      123     1293
  203 libdrm-amdgpu1                     	     423     3656     2032      138     1063
  204 librsvg2-2                         	     423     3867     2033      125     1286
  205 dconf-gsettings-backend            	     421     3764     2068      130     1145
  206 libsnappy1v5                       	     421     3513     1948      105     1039
  207 libexif12                          	     420     3482     2044      140      878
  208 gpgconf                            	     418     3906     3289      199        0
  209 libmp3lame0                        	     418     3542     1989      128     1007
  210 upower                             	     417     2955     2386      152        0
  211 libcups2                           	     416     3675     2005      137     1117
  212 udisks2                            	     415     3106     2529      162        0
  213 at-spi2-core                       	     414     3681     3064      181       22
  214 ifupdown                           	     414     4133     3527      192        0
  215 libdevmapper1.02.1                 	     414     4138     1951      126     1647
  216 libnss3                            	     414     3689     1929      132     1214
  217 libxcb-dri2-0                      	     414     3701     2114      127     1046
  218 fonts-dejavu-core                  	     412     3998     1980      123     1483
  219 liblerc4                           	     412     2986     1821      132      621
  220 libxtst6                           	     412     3847     2053      130     1252
  221 initscripts                        	     410     4151     3545      196        0
  222 libmtdev1                          	     409     3054     2070      135      440
  223 libasyncns0                        	     407     3600     1993      129     1071
  224 libsndfile1                        	     406     3602     1990      129     1077
  225 cups-browsed                       	     403     2700     2148      149        0
  226 libpulse0                          	     403     3584     1992      129     1060
  227 libavahi-glib1                     	     401     3096     2011      141      543
  228 rtkit                              	     401     2889     2329      159        0
  229 libxcb-randr0                      	     398     3561     1975      130     1058
  230 libgtk-3-common                    	     397     3748     1885      121     1345
  231 libxxf86vm1                        	     396     3702     1958      130     1218
  232 libxcb-glx0                        	     394     3699     1927      127     1251
  233 libsoxr0                           	     393     3386     1882      112      999
  234 libwebpmux3                        	     393     3551     1896      126     1136
  235 libdrm-intel1                      	     391     3677     1917      132     1237
  236 libtiff6                           	     391     2976     1800      139      646
  237 libcurl3-gnutls                    	     390     3798     1815      133     1460
  238 libdrm-nouveau2                    	     390     3672     1970      132     1180
  239 libpaper1                          	     390     3546     2015      137     1004
  240 libxcb-util1                       	     389     3061     1956      132      584
  241 libpam-gnome-keyring               	     388     2737     1960      133      256
  242 gvfs-daemons                       	     387     2752     2229      136        0
  243 libseat1                           	     387     2526     1847      123      169
  244 libxcvt0                           	     386     2533     1872      133      142
  245 network-manager                    	     384     2437     1914      139        0
  246 python3.11-minimal                 	     384     2825     2268      173        0
  247 wpasupplicant                      	     384     3298     2736      178        0
  248 libpython3.11-stdlib               	     383     2831     2275      173        0
  249 bsdutils                           	     382     4168     3554      232        0
  250 libatasmart4                       	     380     3134     1874      132      748
  251 libwacom9                          	     380     2568     1859      134      195
  252 python3-gi                         	     380     3410     2854      174        2
  253 libassuan0                         	     379     3972     1839      127     1627
  254 libmm-glib0                        	     379     2825     1863      135      448
  255 libspeex1                          	     379     3564     1832      105     1248
  256 modemmanager                       	     379     2690     2164      147        0
  257 libgsm1                            	     378     3562     1830      105     1249
  258 libegl-mesa0                       	     377     3323     1862      111      973
  259 librsvg2-common                    	     377     3854     1821      108     1548
  260 libudisks2-0                       	     377     3116     1868      133      738
  261 libva-drm2                         	     377     3290     1863      105      945
  262 libva2                             	     377     3298     1863      105      953
  263 pulseaudio                         	     377     2760     2242      141        0
  264 libatk1.0-0                        	     376     3586     1871      113     1226
  265 libatspi2.0-0                      	     376     3504     1881      117     1130
  266 libupower-glib3                    	     376     2943     1825      127      615
  267 libshine3                          	     375     3396     1827      105     1089
  268 libatk-bridge2.0-0                 	     374     3501     1863      113     1151
  269 libtwolame0                        	     374     3401     1825      105     1097
  270 libvdpau1                          	     374     3402     1829      105     1094
  271 libxvidcore4                       	     374     3408     1828      105     1101
  272 console-setup-linux                	     373     4072     3443      195       61
  273 libteamdctl0                       	     371     2481     1835      135      140
  274 libva-x11-2                        	     371     3292     1827      105      989
  275 libvolume-key1                     	     371     2955     1831      132      621
  276 fuse3                              	     369     2880     2349      162        0
  277 libndp0                            	     369     2471     1792      133      177
  278 ocl-icd-libopencl1                 	     369     3190     1811      105      905
  279 libgtk-3-0                         	     367     3483     1794      111     1211
  280 libmbim-glib4                      	     364     2741     1793      135      449
  281 libopenjp2-7                       	     364     3705     1889      117     1335
  282 libqmi-glib5                       	     364     2728     1795      135      434
  283 exim4-daemon-light                 	     361     1785     1382       42        0
  284 libaom3                            	     361     2922     1633      117      811
  285 libcryptsetup12                    	     361     3203     1798      125      919
  286 libglx-mesa0                       	     361     3550     1805      125     1259
  287 libnotify4                         	     361     3250     1818      120      951
  288 colord                             	     360     2824     2302      162        0
  289 libegl1                            	     360     3332     1774      116     1082
  290 apparmor                           	     359     3729     3180      189        1
  291 startpar                           	     359     4141     3570      207        5
  292 libgpgme11                         	     358     3439     1741      126     1214
  293 gpg-agent                          	     357     3893     3338      198        0
  294 libcupsfilters1                    	     355     2791     1822      136      478
  295 logsave                            	     355     3663     3117      191        0
  296 gnome-keyring                      	     353     2731     2224      154        0
  297 libjson-glib-1.0-0                 	     351     3477     1598       95     1433
  298 liblz4-1                           	     351     4115     1350       80     2334
  299 libsecret-1-0                      	     351     3493     1773      110     1259
  300 libblockdev-fs2                    	     350     2800     1728      126      596
  301 libblockdev-loop2                  	     350     2818     1735      127      606
  302 libblockdev-part-err2              	     350     2817     1735      127      605
  303 libblockdev-part2                  	     350     2814     1734      127      603
  304 libblockdev-swap2                  	     350     2818     1735      127      606
  305 libblockdev-utils2                 	     350     2820     1737      127      606
  306 libblockdev2                       	     350     2818     1735      127      606
  307 libtheora0                         	     349     3416     1817       99     1151
  308 libudfread0                        	     348     3107     1639      106     1014
  309 libbluray2                         	     347     3272     1643      106     1176
  310 liborc-0.4-0                       	     347     3081     1722      119      893
  311 libblockdev-crypto2                	     345     2754     1699      127      583
  312 libpython3.11-minimal              	     345     2835     2307      183        0
  313 libspeexdsp1                       	     343     3139     1748      110      938
  314 libxkbfile1                        	     343     3428     1707      116     1262
  315 gvfs                               	     342     2751     1742      110      557
  316 gvfs-libs                          	     342     2764     1747      111      564
  317 libdw1                             	     339     3081     1645      113      984
  318 libgck-1-0                         	     338     3043     1711      106      888
  319 libgcr-base-3-1                    	     338     3043     1711      106      888
  320 libllvm15                          	     338     2586     1662      125      461
  321 libfuse3-3                         	     336     2978     1472       92     1078
  322 libproxy1v5                        	     336     3448     1552       93     1467
  323 libzvbi0                           	     335     3148     1694       99     1020
  324 glib-networking                    	     334     3437     1537       92     1474
  325 libspa-0.2-modules                 	     334     2857     1584      100      839
  326 libcolord2                         	     332     3780     1502       97     1849
  327 libparted-fs-resize0               	     332     2867     1691      121      723
  328 libparted2                         	     332     3327     1691      121     1183
  329 x11-xkb-utils                      	     332     3253     2744      177        0
  330 python3-cairo                      	     331     3060     2556      171        2
  331 xdg-desktop-portal                 	     331     2753     2258      162        2
  332 bluez                              	     330     2229     1778      121        0
  333 libgusb2                           	     330     2942     1520      102      990
  334 libmpg123-0                        	     330     3262     1567      114     1251
  335 mawk                               	     329     4149     3607      213        0
  336 libnl-3-200                        	     328     3812     1572       88     1824
  337 libstartup-notification0           	     328     3120     1639      105     1048
  338 xdg-desktop-portal-gtk             	     328     2735     2243      162        2
  339 libx265-199                        	     327     2780     1518       98      837
  340 libasound2-plugins                 	     326     3033     1707      100      900
  341 libdav1d6                          	     326     2738     1521      111      780
  342 gpgv                               	     323     4168     3632      213        0
  343 libsvtav1enc1                      	     323     2641     1531      114      673
  344 libvorbisfile3                     	     323     3559     1393       80     1763
  345 libpolkit-agent-1-0                	     322     3826     1668      119     1717
  346 librav1e0                          	     322     2689     1527      114      726
  347 powermgmt-base                     	     322     1510     1178       10        0
  348 dconf-service                      	     320     3764     2993      189      262
  349 hostname                           	     318     4168     3628      220        2
  350 libx264-164                        	     318     2651     1539      100      694
  351 python3-dbus                       	     318     3102     2619      164        1
  352 x11-xserver-utils                  	     317     3345     2846      182        0
  353 libpipewire-0.3-0                  	     316     2635     1491       94      734
  354 libflac12                          	     314     2517     1537      115      551
  355 libmfx1                            	     310     2846     1583       90      863
  356 alsa-utils                         	     309     3301     2809      183        0
  357 libunbound8                        	     309     2063     1183       35      536
  358 lsb-release                        	     308     4071     3552      211        0
  359 python3-gi-cairo                   	     308     2494     2060      124        2
  360 libqrtr-glib0                      	     307     2215     1518      129      261
  361 libnm0                             	     305     2691     1588      114      684
  362 dbus-bin                           	     303     2983     2502      176        2
  363 cpp                                	     302     3741     3247      192        0
  364 isc-dhcp-client                    	     299     4028     3523      206        0
  365 libvpx7                            	     299     2509     1424      100      686
  366 libpulse-mainloop-glib0            	     297     3082     1551      113     1121
  367 python3-apt                        	     296     3911     3412      203        0
  368 sysv-rc                            	     295     3630     2996      174      165
  369 xserver-common                     	     295     3232     2752      185        0
  370 libnl-route-3-200                  	     294     3551     1460       94     1703
  371 libgirepository-1.0-1              	     293     3478     1440       93     1652
  372 libjxl0.7                          	     293     2605     1426       99      787
  373 libxss1                            	     292     3451     1287       58     1814
  374 libdbusmenu-glib4                  	     290     2702     1433       93      886
  375 xserver-xorg-video-fbdev           	     290     3144     2685      169        0
  376 libhwy1                            	     289     2508     1412       97      710
  377 xdg-user-dirs                      	     289     4064     3571      203        1
  378 libpcsclite1                       	     288     3601     1437       91     1785
  379 xserver-xorg-legacy                	     288     3065     2599      178        0
  380 gir1.2-glib-2.0                    	     287     3475     1424       94     1670
  381 dmidecode                          	     286     4117     3626      205        0
  382 xserver-xorg-video-vesa            	     283     3139     2685      171        0
  383 libcodec2-1.0                      	     282     2477     1397       93      705
  384 libcanberra0                       	     281     3071     1295       80     1415
  385 sudo                               	     281     3849     3361      207        0
  386 libdbusmenu-gtk3-4                 	     280     2623     1385       84      874
  387 libswresample4                     	     280     2549     1377       92      800
  388 libxklavier16                      	     280     2622     1379       86      877
  389 gir1.2-gdkpixbuf-2.0               	     278     3188     1372       93     1445
  390 libgtk3-nocsd0                     	     278     2235     1321       96      540
  391 libnl-genl-3-200                   	     278     3684     1435       86     1885
  392 libplist3                          	     278     2332     1339       74      641
  393 libayatana-ido3-0.4-0              	     276     2589     1374       83      856
  394 libayatana-indicator3-7            	     276     2591     1375       83      857
  395 libimobiledevice6                  	     276     2311     1324       74      637
  396 libusbmuxd6                        	     275     2108     1327       74      432
  397 libxft2                            	     275     3653     1304       72     2002
  398 bubblewrap                         	     274     2964     2517      173        0
  399 libidn12                           	     274     2922     1013       30     1605
  400 fonts-urw-base35                   	     272     3295     1279       73     1671
  401 debconf                            	     270     4168     3694      204        0
  402 git                                	     270     2172     1798      104        0
  403 python3-cups                       	     270     2613     2183      159        1
  404 libavutil57                        	     269     2438     1336       92      741
  405 libtext-wrapi18n-perl              	     266     4142     3674      202        0
  406 libgnutls-dane0                    	     263     1828     1051       32      482
  407 apt-utils                          	     259     4155     3684      209        3
  408 fonts-noto-mono                    	     259     3461     1283       85     1834
  409 libavcodec59                       	     258     2350     1281       92      719
  410 libayatana-appindicator3-1         	     256     2423     1300       81      786
  411 wireless-tools                     	     256     2572     2194      122        0
  412 lm-sensors                         	     255     2479     2112      112        0
  413 libxt6                             	     254     3426     1191       62     1919
  414 firefox-esr                        	     251     2873     2394      228        0
  415 libxres1                           	     251     2952     1273       79     1349
  416 xauth                              	     249     4033     3569      215        0
  417 libc-l10n                          	     247     4082     1190       70     2575
  418 libxmu6                            	     245     3624     1159       65     2155
  419 sysstat                            	     245     1456     1170       41        0
  420 libc6-dev                          	     244     2615     2299       72        0
  421 polkitd-pkla                       	     243     2481     2070      168        0
  422 pulseaudio-utils                   	     242     2921     2495      184        0
  423 gir1.2-notify-0.7                  	     239     2756     1207       80     1230
  424 apt-listchanges                    	     238     3787     3354      195        0
  425 libnma0                            	     238     2038     1219       82      499
  426 network-manager-gnome              	     238     1964     1572      112       42
  427 libxpresent1                       	     237     2422     1200       72      913
  428 dmsetup                            	     235     4139     3689      215        0
  429 libxslt1.1                         	     234     3665      839       47     2545
  430 gawk                               	     233     1395     1139       23        0
  431 gvfs-backends                      	     232     1597     1296       69        0
  432 libseccomp2                        	     230     4015      930       40     2815
  433 libgstreamer1.0-0                  	     226     3334     1056       74     1978
  434 smartmontools                      	     226     1238      969       43        0
  435 xscreensaver                       	     226     1981     1646      109        0
  436 lsb-base                           	     223     4080      871       16     2970
  437 libxfce4util7                      	     222     2102     1082       69      729
  438 libwnck-3-0                        	     220     2846     1150       70     1406
  439 libxfce4ui-2-0                     	     220     2068     1073       69      706
  440 libxfconf-0-3                      	     220     1994     1075       69      630
  441 gpm                                	     219     1126      880       26        1
  442 util-linux-extra                   	     218     3032     2611      203        0
  443 libxkbcommon-x11-0                 	     217     3117     1035       62     1803
  444 libgphoto2-6                       	     216     2942     2553      173        0
  445 libxcb-xkb1                        	     216     3132     1037       62     1817
  446 ncurses-bin                        	     216     4168     3717      235        0
  447 slim                               	     215     1564     1267       82        0
  448 cpp-12                             	     214     2675     2293      168        0
  449 libdouble-conversion3              	     212     2323      935       51     1125
  450 libglib2.0-data                    	     212     4064     1136       69     2647
  451 whiptail                           	     212     4125     3705      208        0
  452 nfs-common                         	     210      950      729       11        0
  453 rpcbind                            	     210      985      766        8        1
  454 libxcb-shape0                      	     209     3533      910       45     2369
  455 xfwm4                              	     208     1934     1622      104        0
  456 libgoa-1.0-0b                      	     206     1698      964       49      479
  457 plocate                            	     205     1206      968       33        0
  458 ntpsec                             	     204      802      596        2        0
  459 thunar                             	     203     1812     1506      103        0
  460 libpcre2-16-0                      	     201     2483      883       50     1349
  461 libxcb-icccm4                      	     201     2520      908       45     1366
  462 libinput-bin                       	     200     3277     2881      196        0
  463 libxcb-render-util0                	     199     2539      906       46     1388
  464 mailcap                            	     199     3547     3145      203        0
  465 fonts-liberation2                  	     198     2781      899       51     1633
  466 libxcb-keysyms1                    	     198     2528      902       48     1380
  467 xfce4-settings                     	     198     1795     1495      102        0
  468 xiccd                              	     198     1559     1268       93        0
  469 gnupg2                             	     197     1611     1363       51        0
  470 libmagic-mgc                       	     197     4070     3649      222        2
  471 libxcb-image0                      	     197     2536      898       45     1396
  472 xfce4-panel                        	     197     1761     1467       97        0
  473 fonts-noto-core                    	     196     1412      873       49      294
  474 less                               	     195     4154     3731      228        0
  475 libgdk-pixbuf2.0-common            	     195     3915     1028       57     2635
  476 libgstreamer-plugins-base1.0-0     	     195     3290      899       67     2129
  477 xfce4-session                      	     195     1764     1469      100        0
  478 xfce4-notifyd                      	     194     1769     1478       97        0
  479 xfce4-power-manager                	     194     1703     1409      100        0
  480 xfdesktop4                         	     194     1765     1474       97        0
  481 libwebpdemux2                      	     192     3508      860       61     2395
  482 fonts-dejavu-extra                 	     191     2406      830       25     1360
  483 apache2-bin                        	     190     1154      911       53        0
  484 gettext-base                       	     190     4142     3723      228        1
  485 libgpm2                            	     190     4018      686       27     3115
  486 libxcb-xinerama0                   	     189     2458      888       44     1337
  487 libmd4c0                           	     188     2235      841       48     1158
  488 policykit-1-gnome                  	     187     3440      977       67     2209
  489 libgphoto2-port12                  	     184     2922      887       45     1806
  490 libxcb-xinput0                     	     183     2251      845       45     1178
  491 at-spi2-common                     	     181     2881      896       54     1750
  492 file                               	     181     4125     3720      224        0
  493 libsodium23                        	     179     3553      509        3     2862
  494 acpid                              	     178      829      642        9        0
  495 libkeybinder-3.0-0                 	     178     2050      936       69      867
  496 libexo-2-0                         	     177     1886      893       60      756
  497 libnpth0                           	     177     3791      930       80     2604
  498 libatomic1                         	     176     3580      749       48     2607
  499 libthunarx-3-0                     	     175     1786      891       59      661
  500 system-config-printer              	     175     2336     2028      133        0
  501 gtk-update-icon-cache              	     174     3794     3392      228        0
  502 libevent-core-2.1-7                	     174     1624      418        3     1029
  503 libqt5svg5                         	     173     2388      819       46     1350
  504 gvfs-common                        	     172     2773     2437      163        1
  505 libqt5core5a                       	     172     2220      787       48     1213
  506 login                              	     172     4161     3755      234        0
  507 net-tools                          	     172     4129     3732      225        0
  508 libssl1.1                          	     171     1937      589       11     1166
  509 libtag1v5-vanilla                  	     171     3358      872       56     2259
  510 gvfs-fuse                          	     170     1147      917       60        0
  511 xdg-utils                          	     170     3314     2948      196        0
  512 libgarcon-1-0                      	     169     1818      880       59      710
  513 libgarcon-gtk3-1-0                 	     169     1673      876       59      569
  514 libxfce4panel-2.0-4                	     169     1857      876       59      753
  515 cpio                               	     166     4150     3761      223        0
  516 iptables                           	     166     2587     2376       45        0
  517 libutempter0                       	     166     3483      753       38     2526
  518 geoclue-2.0                        	     165     1487     1249       72        1
  519 libabsl20220623                    	     164     2588      653       52     1719
  520 apache2                            	     163      789      603       22        1
  521 libglib2.0-bin                     	     163     2416     2113      140        0
  522 libpam-modules-bin                 	     163     4168     3781      224        0
  523 libpcre3                           	     163     3585      603       12     2807
  524 libqt5dbus5                        	     163     2216      777       48     1228
  525 thunar-archive-plugin              	     163     1709      832       59      655
  526 libnfsidmap1                       	     162      621      419        9       31
  527 psmisc                             	     162     3560     3244      154        0
  528 libqt5gui5                         	     161     2203      754       46     1242
  529 libqt5widgets5                     	     161     2205      753       46     1245
  530 python3-setuptools                 	     161     1330     1133       35        1
  531 desktop-file-utils                 	     160     2853     2530      163        0
  532 libyuv0                            	     160     2791      644       52     1935
  533 uuid-runtime                       	     160      775      610        5        0
  534 libgav1-1                          	     159     2790      637       52     1942
  535 libdbus-glib-1-2                   	     158     3045      906       22     1959
  536 thunar-media-tags-plugin           	     157     1686      809       58      662
  537 fonts-liberation                   	     156     2168      624       13     1375
  538 xfconf                             	     156     2108     1835      117        0
  539 dosfstools                         	     155     3276     2925      196        0
  540 gir1.2-freedesktop                 	     155     3222      686       34     2347
  541 gir1.2-harfbuzz-0.0                	     155     2976      677       34     2110
  542 gir1.2-pango-1.0                   	     155     3170      684       34     2297
  543 perl-modules-5.36                  	     155     2796     2454      186        1
  544 binutils                           	     154     2837     2590       93        0
  545 gir1.2-atk-1.0                     	     154     3171      692       36     2289
  546 gpgsm                              	     153     3903     3526      224        0
  547 libcurl4                           	     153     3451      390        4     2904
  548 libtagc0                           	     153     2219      805       55     1206
  549 accountsservice                    	     152     1385     1152       80        1
  550 libqt5network5                     	     152     2213      732       47     1282
  551 exim4-config                       	     151     1816     1603       62        0
  552 libsbc1                            	     151     3068      696       37     2184
  553 libyaml-0-2                        	     151     2785      731       57     1846
  554 rsync                              	     151     2848     2553      144        0
  555 gcr                                	     149     2906     2565      192        0
  556 gir1.2-gtk-3.0                     	     149     3138      642       35     2312
  557 qttranslations5-l10n               	     149     2315      702       42     1422
  558 brltty                             	     147      782      609       26        0
  559 libical3                           	     147     1373      700       51      475
  560 libpcre2-32-0                      	     147     1809      576       24     1062
  561 libtalloc2                         	     147     3372      443        7     2775
  562 linux-base                         	     147     4136     3763      226        0
  563 iputils-ping                       	     146     4137     3754      236        1
  564 libavif15                          	     146     2566      592       50     1778
  565 libmtp-runtime                     	     146     2340     2072      122        0
  566 lightdm                            	     146     1062      871       44        1
  567 xfce4-pulseaudio-plugin            	     146     1719      766       59      748
  568 libqt5x11extras5                   	     145     2030      696       42     1147
  569 binutils-x86-64-linux-gnu          	     144     2552     2318       90        0
  570 grub-common                        	     142     4057     3687      228        0
  571 busybox                            	     141     4042     3669      232        0
  572 initramfs-tools-core               	     140     4060     3691      229        0
  573 libaprutil1                        	     140     1389      402       17      830
  574 libffi7                            	     140     1388      507        9      732
  575 libvte-2.91-0                      	     140     2949      808       58     1943
  576 klibc-utils                        	     139     4130     3765      226        0
  577 libapr1                            	     139     1397      393       17      848
  578 libldb2                            	     139     3009      418        8     2444
  579 libwbclient0                       	     139     3320      422        6     2753
  580 initramfs-tools                    	     138     4066     3548      221      159
  581 insserv                            	     138     4156     3796      222        0
  582 samba-libs                         	     138     3277      417        8     2714
  583 pulseaudio-module-bluetooth        	     137      977      787       53        0
  584 firmware-realtek                   	     136     1535     1333       66        0
  585 libxcb-res0                        	     135     1523      655       55      678
  586 grub2-common                       	     134     4044     3683      227        0
  587 libicu67                           	     134      824      506        9      175
  588 liblouis20                         	     134     2836      538       24     2140
  589 zstd                               	     134     3006     2662      210        0
  590 bluez-obexd                        	     133     1286     1073       78        2
  591 fonts-noto-extra                   	     133     1136      580       28      395
  592 liblzo2-2                          	     133     2750      437       25     2155
  593 libstemmer0d                       	     130     1942      667       56     1089
  594 exo-utils                          	     129     1911     1671      111        0
  595 x11-utils                          	     129     3447     3113      205        0
  596 libxxhash0                         	     128     3726      738       60     2800
  597 speech-dispatcher                  	     128     2520     2211      181        0
  598 wget                               	     128     4101     3749      224        0
  599 libldap-2.4-2                      	     127     1319      478        8      706
  600 sensible-utils                     	     127     4161     3813      221        0
  601 libreoffice-common                 	     126     2824     2471      227        0
  602 p11-kit                            	     126     2795     2481      188        0
  603 xfce4-terminal                     	     126     1769     1535      108        0
  604 libtevent0                         	     125     3069      383        5     2556
  605 xserver-xorg-video-ati             	     125     3112     2786      201        0
  606 libpaper-utils                     	     124     3578     3229      225        0
  607 libqt5xml5                         	     124     1788      604       41     1019
  608 ntfs-3g                            	     124     3193     2868      201        0
  609 libyajl2                           	     123     3241      410        6     2702
  610 passwd                             	     123     4168     3822      223        0
  611 pciutils                           	     123     4120     3777      220        0
  612 libperl5.36                        	     122     2794     2473      198        1
  613 seatd                              	     122      824      624       78        0
  614 ure                                	     120     2849     2496      233        0
  615 firmware-linux-free                	     119     4003     3666      218        0
  616 libreoffice-core                   	     119     2813     2462      232        0
  617 libvulkan1                         	     119     3310      414       15     2762
  618 policykit-1                        	     119     3620      825       14     2662
  619 appstream                          	     118     1112      925       69        0
  620 liburing2                          	     118     1820      277        2     1423
  621 mdadm                              	     118      566      440        8        0
  622 xfce4-power-manager-plugins        	     118     1696      650       56      872
  623 gcc                                	     117     2541     2341       83        0
  624 libkf5windowsystem5                	     117     1313      599       41      556
  625 libmng1                            	     117     2042      547       35     1343
  626 libxpm4                            	     117     3849      325        4     3403
  627 php-common                         	     117      511      393        1        0
  628 libdconf1                          	     116     3780      514       22     3128
  629 kbd                                	     115     4101     3759      227        0
  630 libheif1                           	     115     3463      410       27     2911
  631 fonts-symbola                      	     113     2610      445       11     2041
  632 libdbusmenu-qt5-2                  	     113     1264      585       39      527
  633 libpam-cap                         	     113      674      325        0      236
  634 shared-mime-info                   	     112     4075     3736      227        0
  635 liblmdb0                           	     111     3893      425       29     3328
  636 libqt5qml5                         	     111     2214      556       43     1504
  637 libuno-cppu3                       	     111     2486     2165      210        0
  638 libuno-sal3                        	     111     2490     2169      210        0
  639 libuno-salhelpergcc3-3             	     111     2486     2165      210        0
  640 orphan-sysvinit-scripts            	     111      797      450        3      233
  641 pcscd                              	     111      542      427        4        0
  642 libkf5archive5                     	     110     1242      545       38      549
  643 libuno-cppuhelpergcc3-3            	     110     2482     2162      210        0
  644 libwnck-3-common                   	     110     2855      615       37     2093
  645 groff-base                         	     109     4122     3788      225        0
  646 libcanberra-gtk3-0                 	     108     2611      546       37     1920
  647 libenchant-2-2                     	     108     2688      463       33     2084
  648 postfix                            	     108      378      269        1        0
  649 python3-uno                        	     108     2736     2396      232        0
  650 atril                              	     107     1987     1759      121        0
  651 libcap2-bin                        	     107     4059     3727      225        0
  652 libkf5solid5                       	     107     1228      543       40      538
  653 libpopt0                           	     107     4158      336        2     3713
  654 libreoffice-style-colibre          	     107     2781     2442      232        0
  655 libsoup-3.0-0                      	     107     2496      424       31     1934
  656 pkexec                             	     107     2788     2476      205        0
  657 at                                 	     106      499      391        2        0
  658 libkf5coreaddons-data              	     106     1308      553       39      610
  659 libkf5coreaddons5                  	     106     1307      554       39      608
  660 libqt5printsupport5                	     106     1795      465       38     1186
  661 uno-libs-private                   	     106     2668     2332      230        0
  662 curl                               	     105     2590     2381      104        0
  663 fonts-droid-fallback               	     105     3451      383       19     2944
  664 libqt5waylandclient5               	     105     2068      529       37     1397
  665 libreoffice-calc                   	     105     2777     2442      230        0
  666 gir1.2-nm-1.0                      	     103      851      455       33      260
  667 hdparm                             	     102     1484     1366       16        0
  668 libde265-0                         	     102     3620      377       25     3116
  669 libkf5configcore5                  	     102     1282      535       38      607
  670 libopengl0                         	     102     2797      492       26     2177
  671 xserver-xorg-input-wacom           	     102     3091     2788      201        0
  672 libkf5authcore5                    	     101     1136      526       38      471
  673 libkf5codecs5                      	     101     1216      520       38      557
  674 libkf5configgui5                   	     101     1246      521       38      586
  675 libkf5configwidgets5               	     101     1205      520       38      546
  676 libkf5crash5                       	     101     1236      529       38      568
  677 libkf5dbusaddons5                  	     101     1212      530       38      543
  678 libkf5guiaddons5                   	     101     1255      520       38      596
  679 libkf5i18n5                        	     101     1247      529       38      579
  680 libkf5iconthemes5                  	     101     1200      518       38      543
  681 libkf5kiocore5                     	     101     1180      518       38      523
  682 libkf5service5                     	     101     1199      526       38      534
  683 libkf5widgetsaddons-data           	     101     1257      521       38      597
  684 libkf5widgetsaddons5               	     101     1255      521       38      595
  685 libxfce4ui-common                  	     101     2081      536       33     1411
  686 nano                               	     101     3985     3657      227        0
  687 qt5-image-formats-plugins          	     101      989      516       33      339
  688 libappstream4                      	     100     1537      579       51      807
  689 libqt5qmlmodels5                   	     100     2085      479       39     1467
  690 libqt5quick5                       	     100     2201      479       39     1583
  691 thunar-data                        	     100     1832     1579      111       42
  692 ghostscript                        	      99     3484     3166      219        0
  693 libqt5texttospeech5                	      99     1222      522       37      564
  694 python3-pkg-resources              	      99     3990     3636      255        0
  695 blueman                            	      98      685      552       35        0
  696 libexempi8                         	      98      968      494       34      342
  697 libkf5notifications5               	      98     1205      517       36      554
  698 libreoffice-writer                 	      98     2795     2464      233        0
  699 python3-cffi-backend               	      98     2006     1803      103        2
  700 fontconfig                         	      97     3917     3593      227        0
  701 libkf5completion5                  	      97     1229      511       38      583
  702 libkf5jobwidgets-data              	      97     1191      510       38      546
  703 libkf5jobwidgets5                  	      97     1187      510       38      542
  704 libkf5kiogui5                      	      97     1158      512       38      511
  705 libkf5kiowidgets5                  	      97     1180      504       38      541
  706 lvm2                               	      97     1266     1136       33        0
  707 make                               	      97     2572     2394       81        0
  708 libhyphen0                         	      96     3118      431       31     2560
  709 fonts-freefont-ttf                 	      95     1526      343        3     1085
  710 mlocate                            	      95     1539      347        4     1093
  711 thunar-volman                      	      95     1796     1585      116        0
  712 binfmt-support                     	      94      868      767        7        0
  713 kdeconnect                         	      94      749      611       44        0
  714 libsasl2-modules-db                	      94     4158      208        3     3853
  715 libdaxctl1                         	      93     1026      230        1      702
  716 libndctl6                          	      93     1026      230        1      702
  717 libpmem1                           	      93     1026      230        1      702
  718 dnsmasq-base                       	      92     2687     2387      208        0
  719 libaspell15                        	      92     3533     3206      214       21
  720 libharfbuzz-icu0                   	      92     3124      412       30     2590
  721 libqrencode4                       	      92     2902      444       32     2334
  722 liburi-perl                        	      92     3733     3426      215        0
  723 wireless-regdb                     	      92     3196     2889      214        1
  724 eject                              	      91     3826     3492      243        0
  725 gcc-12                             	      91     1791     1631       69        0
  726 libprotobuf-c1                     	      91     3856      305       30     3430
  727 lsof                               	      91     4049     3732      226        0
  728 nethack-common                     	      91      973      839       43        0
  729 xz-utils                           	      91     4142     3818      232        1
  730 libarchive13                       	      90     3153      437       44     2582
  731 libkf5itemviews5                   	      90     1246      447       38      671
  732 libncursesw6                       	      90     3931      304        8     3529
  733 libqt5sql5                         	      90     1662      409       36     1127
  734 libssh-4                           	      90     1499      257        3     1149
  735 libvirt-daemon                     	      90      442      348        4        0
  736 xfdesktop4-data                    	      90     1775      480       31     1174
  737 libchromaprint1                    	      89     3330      240        4     2997
  738 libmnl0                            	      89     4158      269        2     3798
  739 libwebp6                           	      89     1605      392        8     1116
  740 libqca-qt5-2                       	      88     1123      470       32      533
  741 libreoffice-gtk3                   	      88     2119     1846      185        0
  742 libwacom-common                    	      88     3279     2983      208        0
  743 samba                              	      88      377      287        2        0
  744 libsasl2-modules                   	      87     4063      204        3     3769
  745 libxmlb2                           	      87     1261      463       50      661
  746 python3.9-minimal                  	      87      728      624       16        1
  747 fwupd                              	      86      831      678       67        0
  748 libgail-3-0                        	      86      942      431       34      391
  749 libkf5globalaccel5                 	      86     1195      422       37      650
  750 libkf5xmlgui5                      	      86     1189      419       37      647
  751 libruby3.1                         	      86      656      567        3        0
  752 python3-pysimplesoap               	      86     3554     3259      209        0
  753 ucf                                	      86     4149     3793      270        0
  754 libgme0                            	      85     3342      233        4     3020
  755 libxcb-composite0                  	      85     1645      444       33     1083
  756 libxtables12                       	      85     4094      341       12     3656
  757 lightdm-gtk-greeter                	      85     1047      911       50        1
  758 screen                             	      85     1875     1726       64        0
  759 libcloudproviders0                 	      84     1086      381       30      591
  760 libpcap0.8                         	      84     3410      290        2     3034
  761 libqca-qt5-2-plugins               	      84     1090      444       30      532
  762 libzmq5                            	      84     3307      240        4     2979
  763 poppler-data                       	      84     3616     3309      222        1
  764 python3-cryptography               	      84     1914     1725      104        1
  765 system-config-printer-common       	      84     2603     2334      185        0
  766 libmspack0                         	      83      761      208        4      466
  767 libnfnetlink0                      	      83     3798      238        0     3477
  768 libpython3.9-stdlib                	      83      740      641       15        1
  769 librabbitmq4                       	      83     3097      232        4     2778
  770 libwoff1                           	      83     3017      392       30     2512
  771 python3-matplotlib                 	      82      544      456        6        0
  772 usb-modeswitch-data                	      82     2873     2604      187        0
  773 fail2ban                           	      81      280      198        1        0
  774 adduser                            	      80     4168     3860      228        0
  775 fonts-noto-color-emoji             	      80     1285      280        5      920
  776 intel-media-va-driver              	      80     3147      309       11     2747
  777 xfce4-power-manager-data           	      80     1712      420       31     1181
  778 libhunspell-1.7-0                  	      79     3073      308       24     2662
  779 libxcb-damage0                     	      79     2648      423       31     2115
  780 kactivitymanagerd                  	      78     1066      845       58       85
  781 libfftw3-double3                   	      78     3516      161        1     3276
  782 libgdk-pixbuf2.0-bin               	      78     3684     3367      238        1
  783 libkf5bookmarks5                   	      78     1021      381       32      530
  784 libopenni2-0                       	      78     2779     2508      192        1
  785 libvirt-daemon-driver-qemu         	      78      383      301        4        0
  786 libvirt0                           	      78      534      265        3      188
  787 libxcb-cursor0                     	      78     1009      380       26      525
  788 nftables                           	      78     3386     3083      225        0
  789 openrc                             	      78      521      416       27        0
  790 libigdgmm12                        	      77     2549      284       11     2177
  791 libnetfilter-conntrack3            	      77     3747      216        0     3454
  792 libopenexr-3-1-30                  	      77     2661      327       28     2229
  793 libpam-runtime                     	      77     4168     3841      250        0
  794 libwww-perl                        	      77     3581     3290      214        0
  795 php8.2-common                      	      77      318      241        0        0
  796 php8.2-opcache                     	      77      317      240        0        0
  797 php8.2-readline                    	      77      317      240        0        0
  798 libgtk2.0-0                        	      76     3111      305       15     2715
  799 libpackagekit-glib2-18             	      76     2772      476       53     2167
  800 libtiff5                           	      76     1333      360       10      887
  801 ntp                                	      76     1063      336        0      651
  802 system-config-printer-udev         	      76     2598     2344      177        1
  803 libmtp-common                      	      75     2409     2207      127        0
  804 openssh-sftp-server                	      75     2873     2663      135        0
  805 libgstreamer-gl1.0-0               	      74     3017      352       33     2558
  806 libmanette-0.2-0                   	      74     2588      351       29     2134
  807 libpython3.9-minimal               	      74      741      651       15        1
  808 libsoup2.4-1                       	      74     3049      411       18     2546
  809 libxkbregistry0                    	      74     1448      371       20      983
  810 mariadb-server-core                	      74      585      469       42        0
  811 unattended-upgrades                	      74      640      547       19        0
  812 usbmuxd                            	      74     2425     2219      132        0
  813 ipp-usb                            	      73     2668     2402      193        0
  814 libgpgmepp6                        	      73     2703      320       22     2288
  815 libgstreamer-plugins-bad1.0-0      	      73     3055      313       26     2643
  816 libkf5kiofilewidgets5              	      73      965      366       32      494
  817 libkf5sonnetcore5                  	      73     1213      372       31      737
  818 libkf5sonnetui5                    	      73     1210      372       31      734
  819 libkf5textwidgets5                 	      73     1187      366       30      718
  820 libmpfr6                           	      73     3690      285       21     3311
  821 libtry-tiny-perl                   	      73     3506     3221      212        0
  822 mesa-vulkan-drivers                	      73     3044     2735      218       18
  823 xserver-xorg-video-amdgpu          	      73     3106     2827      206        0
  824 xserver-xorg-video-radeon          	      73     3118     2841      204        0
  825 console-setup                      	      72     4070     3754      244        0
  826 cups-filters-core-drivers          	      72     2817     2539      206        0
  827 fonts-cantarell                    	      72      916      386       21      437
  828 libgtop-2.0-11                     	      72     2275      347       15     1841
  829 libkf5globalaccel-bin              	      72     1193     1021       59       41
  830 libkf5package5                     	      72     1125      382       36      635
  831 libqt5quickwidgets5                	      72     1445      385       24      964
  832 libqt5sql5-sqlite                  	      72     1773      353       21     1327
  833 unzip                              	      72     3819     3520      226        1
  834 kimageformat-plugins               	      71      578      349       28      130
  835 libapt-pkg6.0                      	      71     3408      536       53     2748
  836 libnorm1                           	      71     3008      211        3     2723
  837 libopenmpt0                        	      71     3091      204        3     2813
  838 libpgm-5.3-0                       	      71     2861      211        3     2576
  839 libsamplerate0                     	      71     3560      177        2     3310
  840 libsystemd0                        	      71      487      122        1      293
  841 mc                                 	      71     1599     1462       66        0
  842 bsd-mailx                          	      70     1315     1190       55        0
  843 cryptsetup                         	      70      772      655       25       22
  844 libkf5attica5                      	      70     1160      357       28      705
  845 packagekit                         	      70     1483      535       45      833
  846 vim                                	      70     1230     1131       29        0
  847 bzip2                              	      69     4134     3831      233        1
  848 consolekit                         	      69      475      404        2        0
  849 ethtool                            	      69     1133     1048       16        0
  850 exim4-base                         	      69     1813     1673       71        0
  851 kio                                	      69     1179     1050       60        0
  852 libimath-3-1-29                    	      69     2414      297       26     2022
  853 libkf5globalaccelprivate5          	      69     1163      325       22      747
  854 libperl5.28                        	      69      334      263        2        0
  855 python3-psutil                     	      69      984      862       53        0
  856 qt5-gtk-platformtheme              	      69     2249      301       12     1867
  857 runit-helper                       	      69     2840     2652      118        1
  858 htop                               	      68     2026     1887       71        0
  859 libcanberra-pulse                  	      68     1257      321       13      855
  860 libgd3                             	      68     3675      140        0     3467
  861 libkf5newstuffcore5                	      68     1065      343       28      626
  862 libminizip1                        	      68     1735      276       15     1376
  863 libspeechd2                        	      68     2763      270       19     2406
  864 mate-settings-daemon               	      68      519      418       23       10
  865 kded5                              	      67     1149     1022       60        0
  866 libcjson1                          	      67     2629      181        3     2378
  867 libfuse2                           	      67     3841      329        2     3443
  868 libkf5waylandclient5               	      67     1277      357       35      818
  869 libssh-gcrypt-4                    	      67     3193      200        3     2923
  870 libvirt-daemon-driver-lxc          	      67      336      266        3        0
  871 libvirt-daemon-driver-xen          	      67      327      228        1       31
  872 libwavpack1                        	      67     3465      305        6     3087
  873 libzxing2                          	      67     2237      290       27     1853
  874 libkf5newstuff5                    	      66      888      342       28      452
  875 libwacom2                          	      66      709      358        8      277
  876 sddm                               	      66      635      522       47        0
  877 libccid                            	      65      544      473        6        0
  878 librist4                           	      65     2608      182        3     2358
  879 libvirt-daemon-driver-vbox         	      65      334      231        1       37
  880 libxcb-record0                     	      65     1116      239       13      799
  881 mate-screensaver                   	      65      488      400       23        0
  882 ntpsec-ntpdate                     	      65      514      443        6        0
  883 python2.7-minimal                  	      65     1177     1104        8        0
  884 thunderbird                        	      65      899      786       48        0
  885 xterm                              	      65     2919     2670      184        0
  886 bc                                 	      64     3283     3009      210        0
  887 bind9-dnsutils                     	      64     3359     2990      305        0
  888 firmware-iwlwifi                   	      64     1138     1022       52        0
  889 libhttp-message-perl               	      64     3690     3410      216        0
  890 libjemalloc2                       	      64     3007      116        1     2826
  891 libkf5idletime5                    	      64      945      382       29      470
  892 libmariadb3                        	      64     2216      147        0     2005
  893 libnftables1                       	      64     3423      186        2     3171
  894 libnftnl11                         	      64     3912      186        2     3660
  895 libpolkit-qt5-1-1                  	      64     1250      353       24      809
  896 libqt5quickcontrols2-5             	      64     1257      331       26      836
  897 libqt5quicktemplates2-5            	      64     1259      332       26      837
  898 libraw20                           	      64     2562      293       26     2179
  899 libreoffice-draw                   	      64     2752     2455      233        0
  900 libxencall1                        	      64      434      222        2      146
  901 libxendevicemodel1                 	      64      434      222        2      146
  902 libxenevtchn1                      	      64      434      222        2      146
  903 libxenforeignmemory1               	      64      434      222        2      146
  904 libxengnttab1                      	      64      434      222        2      146
  905 libxentoolcore1                    	      64      441      222        2      153
  906 libxentoollog1                     	      64      434      222        2      146
  907 fdisk                              	      63     3769     3458      248        0
  908 kinit                              	      63      862      750       49        0
  909 libkf5syndication5abi1             	      63      981      295       27      596
  910 libphonon4qt5-4                    	      63      913      333       22      495
  911 php8.2-cli                         	      63      315      251        1        0
  912 traceroute                         	      63     3957     3665      229        0
  913 bind9-host                         	      62     4002     3620      320        0
  914 caja                               	      62      536      451       23        0
  915 libdotconf0                        	      62     2536      240       19     2215
  916 libgfortran5                       	      62     3280      175        4     3039
  917 libio-socket-ip-perl               	      62      413      350        1        0
  918 libkf5wallet5                      	      62     1197      351       31      753
  919 libonig5                           	      62     1388      142        0     1184
  920 libpython2.7-stdlib                	      62     1187     1118        7        0
  921 speech-dispatcher-espeak-ng        	      62     2485      238       19     2166
  922 baloo-kf5                          	      61      597      498       38        0
  923 bsdextrautils                      	      61     3650     3334      255        0
  924 ffmpeg                             	      61     1511     1390       60        0
  925 libencode-locale-perl              	      61     3699     3423      215        0
  926 libgnomekbd8                       	      61      562      243       20      238
  927 libhttp-date-perl                  	      61     3691     3413      217        0
  928 libnss-nis                         	      61      815      182        0      572
  929 libpcaudio0                        	      61     2817      238       20     2498
  930 libqt5qmlworkerscript5             	      61     1317      334       26      896
  931 libreadline8                       	      61     3388      257       22     3048
  932 libsonic0                          	      61     2860      239       20     2540
  933 libsrt1.5-gnutls                   	      61     2593      172        3     2357
  934 libvpx6                            	      61     1057      294        6      696
  935 libxapp1                           	      61      403      243       18       81
  936 mate-media                         	      61      495      409       23        2
  937 mate-panel                         	      61      520      436       23        0
  938 mate-session-manager               	      61      524      440       23        0
  939 plasma-workspace                   	      61      551      450       40        0
  940 qml-module-qtquick2                	      61     1370      334       26      949
  941 speech-dispatcher-audio-plugins    	      61     2524      230       18     2215
  942 libdmtx0b                          	      60      713      296       28      329
  943 libespeak-ng1                      	      60     2805      238       21     2486
  944 libgtk-layer-shell0                	      60      605      293       15      237
  945 libkf5newstuffwidgets5             	      60      677      292       27      298
  946 libkf5wallet-bin                   	      60     1194     1004       57       73
  947 libkf5windowsystem-data            	      60     1318      336       13      909
  948 libpci3                            	      60     4126      267       32     3767
  949 libperl5.24                        	      60      179      119        0        0
  950 libreoffice-impress                	      60     2741     2447      234        0
  951 libssl3t64                         	      60      298      215       12       11
  952 libuv1                             	      60     3416      132        1     3223
  953 libxenhypfs1                       	      60      387      210        2      115
  954 perl-modules-5.28                  	      60      522      460        2        0
  955 powerdevil                         	      60      544      439       40        5
  956 python3-zope.interface             	      60      393      318       15        0
  957 xkb-data                           	      60     4129      381       23     3665
  958 aspell-en                          	      59     3034     2784      191        0
  959 chrony                             	      59      234      174        1        0
  960 kde-config-gtk-style               	      59      549      312       23      155
  961 libgail-common                     	      59     3211      220       11     2921
  962 libio-socket-ssl-perl              	      59     3645     3368      218        0
  963 libjack-jackd2-0                   	      59     3461      145        4     3253
  964 libkf5itemmodels5                  	      59     1017      330       28      600
  965 libkf5prison5                      	      59      758      316       28      355
  966 libunistring5                      	      59      313      220       10       24
  967 marco                              	      59      545      461       25        0
  968 qml-module-qtquick-controls2       	      59     1250      317       25      849
  969 qml-module-qtquick-layouts         	      59     1300      319       25      897
  970 qml-module-qtquick-templates2      	      59     1250      318       25      848
  971 qml-module-qtquick-window2         	      59     1317      318       25      915
  972 samba-common-bin                   	      59     1017      900       58        0
  973 cups                               	      58     2798     2538      202        0
  974 libflac8                           	      58      993      322        7      606
  975 libkf5parts5                       	      58     1040      314       23      645
  976 libnss-myhostname                  	      58      423      288       30       47
  977 libpolkit-gobject-consolekit-1-0   	      58      548      215        2      273
  978 mate-power-manager                 	      58      487      406       23        0
  979 mpv                                	      58      995      890       47        0
  980 php8.2-xml                         	      58      198      140        0        0
  981 plasma-desktop                     	      58      550      451       41        0
  982 python3-yaml                       	      58     1526     1411       57        0
  983 qml-module-qtgraphicaleffects      	      58     1304      310       25      911
  984 clamav-freshclam                   	      57      279      220        2        0
  985 irqbalance                         	      57      351      293        1        0
  986 laptop-detect                      	      57     4024     3732      234        1
  987 libhogweed6t64                     	      57      295      209       11       18
  988 libkf5declarative5                 	      57     1105      325       28      695
  989 libkf5quickaddons5                 	      57     1096      322       28      689
  990 libnettle8t64                      	      57      295      209       11       18
  991 libqt5concurrent5                  	      57     1289      329       22      881
  992 libqt5keychain1                    	      57      601      277       27      240
  993 os-prober                          	      57     3927     3638      232        0
  994 pulseaudio-module-gsettings        	      57      470      382       31        0
  995 qml-module-qtqml                   	      57     1206      316       25      808
  996 qml-module-qtqml-models2           	      57     1275      298       20      900
  997 cups-filters                       	      56     2815     2552      207        0
  998 gnome-online-accounts              	      56      433      272       28       77
  999 libass9                            	      56     3214      154        3     3001
 1000 libbs2b0                           	      56     3280      156        3     3065
 1001 libcodec2-0.9                      	      56     1033      301        6      670
 1002 libgail18                          	      56     3007      213       11     2727
 1003 libidn11                           	      56     1442      236        3     1147
 1004 libkf5auth5                        	      56     1111      309       23      723
 1005 libkf5kcmutils5                    	      56     1076      300       23      697
 1006 libkf5solid5-data                  	      56     1232      314       12      850
 1007 libmbedcrypto7                     	      56     2384      174        2     2152
 1008 librda0                            	      56      503      265       11      171
 1009 libsynctex2                        	      56     2643      281       11     2295
 1010 pipewire-bin                       	      56     1406     1288       62        0
 1011 vim-tiny                           	      56     3976     3676      244        0
 1012 xinit                              	      56     3129     2867      206        0
 1013 xsettingsd                         	      56      727      623       48        0
 1014 avahi-autoipd                      	      55     1479     1339       85        0
 1015 libdav1d4                          	      55     1080      284        6      735
 1016 libgnutls30t64                     	      55      294      208       11       20
 1017 libharfbuzz-subset0                	      55     1409      210       17     1127
 1018 libkf5activities5                  	      55     1083      309       21      698
 1019 libmate-desktop-2-17               	      55      557      263       11      228
 1020 libmate-menu2                      	      55      530      263       11      201
 1021 libopts25                          	      55      553      174        0      324
 1022 libsndio7.0                        	      55     3187      146        3     2983
 1023 libxcb-dpms0                       	      55      736      288       22      371
 1024 nfs-kernel-server                  	      55      312      249        8        0
 1025 perl-modules-5.24                  	      55      258      203        0        0
 1026 python3-brotli                     	      55     1129     1027       47        0
 1027 python3-chardet                    	      55     3960     3671      234        0
 1028 synaptic                           	      55     2480     2255      170        0
 1029 xbrlapi                            	      55     2489     2240      194        0
 1030 engrampa                           	      54      565      486       25        0
 1031 libkf5akonadicore5abi2             	      54      538      286       28      170
 1032 libkf5akonadiprivate5abi2          	      54      543      286       28      175
 1033 libkf5contacts5                    	      54      778      286       28      410
 1034 libkf5screen-bin                   	      54      731      628       49        0
 1035 liblilv-0-0                        	      54     3231      148        3     3026
 1036 libsdl2-2.0-0                      	      54     3175      100        4     3017
 1037 libserd-0-0                        	      54     3234      148        3     3029
 1038 libsord-0-0                        	      54     3231      148        3     3026
 1039 libsratom-0-0                      	      54     3231      148        3     3026
 1040 libx264-160                        	      54     1024      286        6      678
 1041 libx265-192                        	      54     1078      289        6      729
 1042 plasma-disks                       	      54      506      413       39        0
 1043 python3-pyinotify                  	      54     2002     1811      137        0
 1044 xdg-desktop-portal-kde             	      54      432      337       41        0
 1045 gir1.2-keybinder-3.0               	      53     1818     1637      128        0
 1046 kscreen                            	      53      546      453       40        0
 1047 libaio1                            	      53     1574      115        1     1405
 1048 libaom0                            	      53     1253      282        6      912
 1049 libboost-filesystem1.74.0          	      53     2651      139        1     2458
 1050 libck-connector0                   	      53      790      250        2      485
 1051 libflite1                          	      53     3448      145        3     3247
 1052 libgrantlee-templates5             	      53      670      280       28      309
 1053 libibus-1.0-5                      	      53     1172      268       17      834
 1054 libkf5akonadicontact5              	      53      533      281       28      171
 1055 libkf5akonadiwidgets5abi1          	      53      537      282       28      174
 1056 libkf5contacteditor5               	      53      523      281       28      161
 1057 libkf5mime5abi1                    	      53      539      282       28      176
 1058 libkf5pty5                         	      53      766      291       20      402
 1059 liblockfile-bin                    	      53     3877     3594      230        0
 1060 libmaxminddb0                      	      53     3605      109        0     3443
 1061 libmysofa1                         	      53     3054      139        3     2859
 1062 libnet-http-perl                   	      53     3587     3317      217        0
 1063 librubberband2                     	      53     3238      143        2     3040
 1064 libxapian30                        	      53     3467      268       22     3124
 1065 netcat-traditional                 	      53     3865     3582      230        0
 1066 openvpn                            	      53      489      431        5        0
 1067 polkit-kde-agent-1                 	      53      575      414       41       67
 1068 python3                            	      53     4114     3771      289        1
 1069 xserver-xorg-video-vmware          	      53     3103     2848      202        0
 1070 certbot                            	      52      183      131        0        0
 1071 iio-sensor-proxy                   	      52     1337     1196       89        0
 1072 libatk-adaptor                     	      52     2409      215       11     2131
 1073 libavutil56                        	      52     1292      291        8      941
 1074 libboost-thread1.74.0              	      52     2783      122        1     2608
 1075 libdecor-0-0                       	      52     2571       90        2     2427
 1076 libgoa-backend-1.0-1               	      52      418      262       27       77
 1077 libkf5akonadimime5                 	      52      509      276       28      153
 1078 libkf5calendarcore5abi2            	      52      522      276       28      166
 1079 libkf5calendarutils5               	      52      495      277       28      138
 1080 libkf5identitymanagement5          	      52      511      277       28      154
 1081 libkf5mailtransport5               	      52      510      276       28      154
 1082 libkf5mailtransportakonadi5        	      52      499      276       28      143
 1083 libkuserfeedbackcore1              	      52      634      291       20      271
 1084 libmatekbd4                        	      52      526      246       11      217
 1085 libmatemixer0                      	      52      523      248       11      212
 1086 libnghttp3-9                       	      52      280      164        7       57
 1087 libngtcp2-16                       	      52      280      165        7       56
 1088 libngtcp2-crypto-gnutls8           	      52      280      165        7       56
 1089 libswresample3                     	      52     1292      291        8      941
 1090 libxapp-gtk3-module                	      52      320      204       15       49
 1091 mousepad                           	      52     1798     1625      121        0
 1092 openntpd                           	      52      365      277       36        0
 1093 php8.2-mbstring                    	      52      180      128        0        0
 1094 plasma-integration                 	      52      555      399       40       64
 1095 python3-smbc                       	      52     2534     2291      190        1
 1096 dirmngr                            	      51     3967     3675      241        0
 1097 gnupg                              	      51     4111      168        1     3891
 1098 kio-extras                         	      51      675      263       21      340
 1099 kwin-common                        	      51      558      286       23      198
 1100 libatrildocument3                  	      51     1914      244        9     1610
 1101 libglib2.0-0t64                    	      51      283      205       10       17
 1102 libkf5auth-data                    	      51     1215      298       12      854
 1103 libkf5codecs-data                  	      51     1218      293       12      862
 1104 libkf5config-data                  	      51     1283      294       12      926
 1105 libkf5dbusaddons-data              	      51     1215      299       12      853
 1106 libkf5networkmanagerqt6            	      51      578      287       21      219
 1107 libkf5notifyconfig5                	      51      893      266       19      557
 1108 libkf5style5                       	      51      639      272       21      295
 1109 libopenal1                         	      51     3211      126        4     3030
 1110 libpowerdevilcore2                 	      51      546      275       22      198
 1111 libpq5                             	      51     1826      106        0     1669
 1112 libpsl5t64                         	      51      290      169        7       63
 1113 libsharpyuv0                       	      51      301      180        7       63
 1114 libssh2-1t64                       	      51      288      169        7       61
 1115 libxenmisc4.17                     	      51      263      159        1       52
 1116 libxenstore4                       	      51      270      162        2       55
 1117 plasma-framework                   	      51      829      735       43        0
 1118 plasma-nm                          	      51      520      276       23      170
 1119 qml-module-qt-labs-platform        	      51      850      252       19      528
 1120 sgml-base                          	      51     3505     3240      214        0
 1121 xserver-xorg-video-intel           	      51     3091     2833      207        0
 1122 cracklib-runtime                   	      50      930      823       57        0
 1123 iotop                              	      50     1340     1231       58        1
 1124 kalendarac                         	      50      366      279       37        0
 1125 kde-style-breeze                   	      50      629      271       24      284
 1126 libavcodec58                       	      50     1257      281        8      918
 1127 libclamav11                        	      50      221      148        2       21
 1128 libkf5akonadicalendar5abi1         	      50      482      275       28      129
 1129 libkf5archive-data                 	      50      968      242       11      665
 1130 libkf5i18nlocaledata5              	      50      626      246       27      303
 1131 libkf5kcmutilscore5                	      50      887      263       22      552
 1132 libkf5kirigami2-5                  	      50     1087      295       26      716
 1133 libkf5notifications-data           	      50     1209      289       11      859
 1134 libnotificationmanager1            	      50      525      272       22      181
 1135 libpocketsphinx3                   	      50     2975      133        2     2790
 1136 libvidstab1.1                      	      50     3137      137        2     2948
 1137 print-manager                      	      50      448      358       40        0
 1138 python3-pycurl                     	      50     3617     3354      213        0
 1139 python3-xdg                        	      50     2866     2615      200        1
 1140 dictionaries-common                	      49     3999     3711      239        0
 1141 discover                           	      49     3988     3714      225        0
 1142 dolphin                            	      49      611      518       44        0
 1143 g++                                	      49     2341     2202       90        0
 1144 ibus                               	      49      384      314       20        1
 1145 imagemagick-6.q16                  	      49     2270     2098      109       14
 1146 libcaja-extension1                 	      49     2027      245       10     1723
 1147 libdb5.3t64                        	      49      297      172        8       68
 1148 libdns-export1110                  	      49     1030      132        1      848
 1149 libisc-export1105                  	      49     1031      133        1      848
 1150 libkf5completion-data              	      49     1231      287       12      883
 1151 libkf5grantleetheme5               	      49      529      255       27      198
 1152 libllvm11                          	      49      743      234        7      453
 1153 libmarco-private2                  	      49      480      245       11      175
 1154 libmate-panel-applet-4-1           	      49      550      247       11      243
 1155 libtirpc3t64                       	      49      284      171        7       57
 1156 mate-polkit                        	      49      542      241       11      241
 1157 p7zip-full                         	      49     2945     2618      187       91
 1158 plasma-discover                    	      49      508      418       41        0
 1159 plasma-pa                          	      49      533      268       22      194
 1160 python-minimal                     	      49      508      454        4        1
 1161 qemu-system-x86                    	      49      634      572       13        0
 1162 vlc-bin                            	      49     1214     1128       37        0
 1163 cpp-10                             	      48     1157     1098       11        0
 1164 gimp                               	      48     1697     1566       83        0
 1165 kwin-style-breeze                  	      48      625      245       23      309
 1166 kwrited                            	      48      540      272       22      198
 1167 libavformat59                      	      48     2370      136        2     2184
 1168 libexiv2-27                        	      48     2618      253       21     2296
 1169 libgif7                            	      48     2903      127        1     2727
 1170 libmateweather1                    	      48      504      233       10      213
 1171 libqt5sensors5                     	      48     1194      282       21      843
 1172 libquadmath0                       	      48     3724      142        4     3530
 1173 libslang2                          	      48     4151      125        5     3973
 1174 libsocket6-perl                    	      48     1666      115        2     1501
 1175 libvpl2                            	      48      431      166        6      211
 1176 linux-libc-dev                     	      48     2622     2395      179        0
 1177 mate-screensaver-common            	      48      491      211       11      221
 1178 plasma-widgets-addons              	      48      492      250       23      171
 1179 python3-cupshelpers                	      48     2612     2376      187        1
 1180 aptitude                           	      47     1150     1089       14        0
 1181 aspell                             	      47     3491     3221      223        0
 1182 bluedevil                          	      47      550      461       42        0
 1183 bridge-utils                       	      47      438      389        2        0
 1184 cups-client                        	      47     2978     2728      203        0
 1185 konsole                            	      47      610      520       43        0
 1186 libasound2t64                      	      47      261      179        7       28
 1187 libelf1t64                         	      47      287      186        8       46
 1188 libfstrm0                          	      47     3815       95        0     3673
 1189 libjavascriptcoregtk-4.1-0         	      47     2121      258       29     1787
 1190 libkf5screen8                      	      47      577      257       20      253
 1191 libkf5threadweaver5                	      47     1035      279       20      689
 1192 libreoffice-math                   	      47     2760     2475      238        0
 1193 libruby2.7                         	      47      505      448       10        0
 1194 libsmbclient                       	      47     2964      141        4     2772
 1195 libtimedate-perl                   	      47     3755     3488      220        0
 1196 libwebkit2gtk-4.1-0                	      47     2112      258       29     1778
 1197 php8.2-mysql                       	      47      158      111        0        0
 1198 procmail                           	      47     1502     1397       58        0
 1199 xfce4-appfinder                    	      47     1747     1590      110        0
 1200 acpi-fakekey                       	      46      229      180        3        0
 1201 iw                                 	      46     3254     2988      220        0
 1202 libappstreamqt2                    	      46      527      257       20      204
 1203 libblas3                           	      46     3523      248        5     3224
 1204 libkdecorations2-5v5               	      46      613      275       21      271
 1205 libkf5itemviews-data               	      46     1248      250       12      940
 1206 libkf5plasma5                      	      46     1044      289       27      682
 1207 libkscreenlocker5                  	      46      538      275       21      196
 1208 media-player-info                  	      46     1459     1327       86        0
 1209 pavucontrol                        	      46     2030     1849      135        0
 1210 perl                               	      46     4142     3853      241        2
 1211 php8.2-curl                        	      46      148      102        0        0
 1212 php8.2-gd                          	      46      153      107        0        0
 1213 qml-module-org-kde-kirigami2       	      46     1080      285       25      724
 1214 qml-module-org-kde-kquickcontrolsaddons	      46      866      271       20      529
 1215 qml-module-qtquick-controls        	      46     1234      276       20      892
 1216 tmux                               	      46     1369     1259       64        0
 1217 ufw                                	      46      305      253        6        0
 1218 chromium                           	      45      795      707       43        0
 1219 evince                             	      45      971      881       45        0
 1220 fonts-noto-cjk                     	      45      891      225        6      615
 1221 ibus-gtk3                          	      45      380      218        4      113
 1222 libfile-which-perl                 	      45      891      826       20        0
 1223 libkf5activitiesstats1             	      45      676      266       20      345
 1224 libkf5bluezqt6                     	      45      803      268       20      470
 1225 libkf5calendarevents5              	      45      839      265       20      509
 1226 libkf5configwidgets-data           	      45     1214     1102       67        0
 1227 libkf5globalaccel-data             	      45     1198      242       11      900
 1228 libkf5kdelibs4support5-bin         	      45      553      469       39        0
 1229 libkf5people5                      	      45      766      266       20      435
 1230 libkf5peoplebackend5               	      45      768      266       20      437
 1231 libkf5peoplewidgets5               	      45      766      264       20      437
 1232 libkf5plasmaquick5                 	      45      831      283       25      478
 1233 libkf5runner5                      	      45      972      269       20      638
 1234 libksysguardformatter1             	      45      519      269       20      185
 1235 libkworkspace5-5                   	      45      983      280       26      632
 1236 liblapack3                         	      45     3312      204        5     3058
 1237 libprocesscore9                    	      45      517      269       20      183
 1238 librest-1.0-0                      	      45      364      228       25       66
 1239 libswscale6                        	      45     2375      126        2     2202
 1240 libtaskmanager6abi1                	      45      512      267       20      180
 1241 mariadb-plugin-provider-bzip2      	      45      185      140        0        0
 1242 mariadb-plugin-provider-lz4        	      45      185      140        0        0
 1243 mariadb-plugin-provider-lzma       	      45      186      141        0        0
 1244 mariadb-plugin-provider-lzo        	      45      184      139        0        0
 1245 mariadb-plugin-provider-snappy     	      45      184      139        0        0
 1246 python3-pil                        	      45     1728     1614       69        0
 1247 python3-setproctitle               	      45      750      666       39        0
 1248 qml-module-org-kde-draganddrop     	      45      540      266       20      209
 1249 qml-module-org-kde-kconfig         	      45      824      279       25      475
 1250 qml-module-org-kde-kcoreaddons     	      45      578      265       20      248
 1251 qml-module-org-kde-kwindowsystem   	      45      538      266       20      207
 1252 qml-module-org-kde-qqc2desktopstyle	      45      566      270       20      231
 1253 qml-module-org-kde-quickcharts     	      45      522      265       20      192
 1254 vim-common                         	      45     4088     3799      244        0
 1255 xml-core                           	      45     3495     3231      219        0
 1256 acl                                	      44     3170     2915      211        0
 1257 flatpak                            	      44      368      312       12        0
 1258 khotkeys                           	      44      522      262       21      195
 1259 libgles2                           	      44     3279      212       26     2997
 1260 libkf5modemmanagerqt6              	      44      531      261       21      205
 1261 libkwalletbackend5-5               	      44     1138      242       21      831
 1262 libmailtools-perl                  	      44     3572     3307      221        0
 1263 libpkcs11-helper1                  	      44      492       98        0      350
 1264 libsort-naturally-perl             	      44      336      288        4        0
 1265 libzip4                            	      44     1209      102        0     1063
 1266 preload                            	      44      239      180       15        0
 1267 python3-charset-normalizer         	      44     2922     2670      208        0
 1268 qml-module-qtquick-dialogs         	      44     1059      251       20      744
 1269 usbutils                           	      44     2681     2510      127        0
 1270 libatk-bridge2.0-0t64              	      43      269      158        9       59
 1271 libatspi2.0-0t64                   	      43      269      159        9       58
 1272 libboost-iostreams1.74.0           	      43     2945      128        1     2773
 1273 libdav1d7                          	      43      284      166        8       67
 1274 libgraphene-1.0-0                  	      43     2985      223       26     2693
 1275 libkf5kdelibs4support5             	      43      548      258       21      226
 1276 libpng16-16t64                     	      43      283      179       11       50
 1277 libzimg2                           	      43     2574      115        3     2413
 1278 mesa-libgallium                    	      43      222      131        8       40
 1279 perl-openssl-defaults              	      43     3620     3357      220        0
 1280 python3-ntp                        	      43     1074     1015       16        0
 1281 tpm-udev                           	      43     1104      979       82        0
 1282 bind9                              	      42      172      128        2        0
 1283 cryptsetup-bin                     	      42      899      825       32        0
 1284 libapache2-mod-php8.2              	      42      202      160        0        0
 1285 libatk1.0-0t64                     	      42      270      158        9       61
 1286 libcolorcorrect5                   	      42      524      252       21      209
 1287 libcups2t64                        	      42      276      157        7       70
 1288 libcurl3t64-gnutls                 	      42      265      159        8       56
 1289 libimlib2                          	      42     1675      173        6     1454
 1290 libintl-perl                       	      42      489      438        9        0
 1291 libkdecorations2private10          	      42      488      232       20      194
 1292 libkf5configqml5                   	      42      450      230       20      158
 1293 libkwineffects14                   	      42      432      232       20      138
 1294 libkwinglutils14                   	      42      433      232       20      139
 1295 libmodule-find-perl                	      42      324      278        4        0
 1296 libpoppler126                      	      42     2472      190        9     2231
 1297 libqaccessibilityclient-qt5-0      	      42      522      229       20      231
 1298 patch                              	      42     2918     2754      122        0
 1299 plasma-vault                       	      42      419      225       21      131
 1300 postgresql-client-common           	      42      318      274        2        0
 1301 python3-requests                   	      42     3910     3639      227        2
 1302 python3.11                         	      42     2817     2545      229        1
 1303 qml-module-qt-labs-folderlistmodel 	      42     1049      256       25      726
 1304 qml-module-qt-labs-settings        	      42     1051      245       20      744
 1305 runit                              	      42      304      244       18        0
 1306 socat                              	      42     1037      945       50        0
 1307 tasksel                            	      42     4079     3797      240        0
 1308 beep                               	      41     1074      985       48        0
 1309 bind9-libs                         	      41     3545       90        2     3412
 1310 chromium-common                    	      41      777      691       45        0
 1311 fonts-open-sans                    	      41      425      203        1      180
 1312 kwin-x11                           	      41      557      471       45        0
 1313 libcdio19                          	      41     2774       83        0     2650
 1314 liberror-perl                      	      41     2229     2093       95        0
 1315 libfile-slurp-perl                 	      41      380      335        4        0
 1316 libgtk-3-0t64                      	      41      266      158        9       58
 1317 libkf5screendpms8                  	      41      582      228       19      294
 1318 libkpipewire5                      	      41      430      228       19      142
 1319 liblqr-1-0                         	      41     3292       83        0     3168
 1320 liblua5.3-0                        	      41     2198      140        2     2015
 1321 libmodule-runtime-perl             	      41     1247     1164       42        0
 1322 libmpg123-0t64                     	      41      250      147        7       55
 1323 libmtdev1t64                       	      41      246      161        8       36
 1324 libvirt-clients                    	      41      437      391        5        0
 1325 libxnvctrl0                        	      41     2224      172        1     2010
 1326 locate                             	      41      217      174        2        0
 1327 postgresql-common                  	      41      265      223        1        0
 1328 winbind                            	      41      159      116        2        0
 1329 xarchiver                          	      41     1869     1707      121        0
 1330 libfile-mimeinfo-perl              	      40     3229     2977      212        0
 1331 libkf5bookmarks-data               	      40     1029      217        7      765
 1332 liblwp-protocol-https-perl         	      40     3586     3332      214        0
 1333 libzvbi0t64                        	      40      244      130        6       68
 1334 locales                            	      40     4134     3842      252        0
 1335 needrestart                        	      40      297      249        8        0
 1336 parted                             	      40     3541     3278      223        0
 1337 tor                                	      40      247      206        1        0
 1338 ttf-bitstream-vera                 	      40      450      139        0      271
 1339 whois                              	      40     1885     1783       62        0
 1340 xdg-user-dirs-gtk                  	      40      511      430       41        0
 1341 bash-completion                    	      39     3917     3639      238        1
 1342 ca-certificates                    	      39     4149     3870      240        0
 1343 emacsen-common                     	      39     4034     3765      230        0
 1344 fonts-lato                         	      39     2255      151        2     2063
 1345 gnupg-utils                        	      39     3886     3605      242        0
 1346 im-config                          	      39      436      377       20        0
 1347 iucode-tool                        	      39      886      821       26        0
 1348 libcairo-script-interpreter2       	      39     1305      198       25     1043
 1349 libevent-2.1-7t64                  	      39      261      157        7       58
 1350 libflac12t64                       	      39      245      145        5       56
 1351 libmenu-cache3                     	      39      550      170        9      332
 1352 libpangoxft-1.0-0                  	      39     3240      129        7     3065
 1353 libprotobuf32                      	      39     1435      196       17     1183
 1354 libpython3.11                      	      39     2481      169        2     2271
 1355 x11-apps                           	      39     3093     2844      210        0
 1356 xfce4-whiskermenu-plugin           	      39     1654     1500      115        0
 1357 zsh                                	      39     1236     1141       56        0
 1358 google-chrome-stable               	      38      318      257       20        3
 1359 libfile-basedir-perl               	      38     3265     3018      209        0
 1360 libgnome-desktop-3-19              	      38      418      224        1      155
 1361 libgtk-4-1                         	      38      989      195       25      731
 1362 libgtk3-perl                       	      38     2417     2212      167        0
 1363 libhwy1t64                         	      38      256      134        6       78
 1364 libkf5sonnet5-data                 	      38     1213      211        7      957
 1365 libmalcontent-0-0                  	      38      698      218       25      417
 1366 libpackagekitqt5-1                 	      38      603      248       19      298
 1367 libpam-kwallet5                    	      38      551      215       21      277
 1368 libphonenumber8                    	      38      780      183       20      539
 1369 libpython3.7-stdlib                	      38      324      282        4        0
 1370 openbox                            	      38      343      284       21        0
 1371 opensc-pkcs11                      	      38      471      123        2      308
 1372 python3-debianbts                  	      38     3730     3467      225        0
 1373 python3-pyparsing                  	      38     2968     2727      203        0
 1374 python3-six                        	      38     3893     3630      222        3
 1375 python3.7-minimal                  	      38      306      264        4        0
 1376 qml-module-org-kde-bluezqt         	      38      799      251       20      490
 1377 systemsettings                     	      38     1010      909       63        0
 1378 xfce4-screenshooter                	      38     1679     1528      113        0
 1379 zip                                	      38     2151     2013      100        0
 1380 g++-12                             	      37     1594     1484       73        0
 1381 installation-report                	      37     3896     3616      243        0
 1382 libdvdnav4                         	      37     3188      109        1     3041
 1383 libgspell-1-2                      	      37     2094      200       19     1838
 1384 libgtk-4-common                    	      37      989      185       25      742
 1385 libmagickcore-6.q16-6              	      37     2975       79        0     2859
 1386 libmodule-implementation-perl      	      37     1170     1090       43        0
 1387 libpython2.7-minimal               	      37     1189     1145        7        0
 1388 mate-terminal                      	      37      548      483       28        0
 1389 php8.2-zip                         	      37      120       83        0        0
 1390 plasma-thunderbolt                 	      37      419      211       21      150
 1391 pm-utils                           	      37      640      598        5        0
 1392 python3-certifi                    	      37     3783     3510      234        2
 1393 python3-pyqt5                      	      37     1283     1171       74        1
 1394 python3-pyqt5.sip                  	      37     1224     1111       75        1
 1395 base-passwd                        	      36     4168     3892      240        0
 1396 bootlogd                           	      36     3356     3080      240        0
 1397 firmware-misc-nonfree              	      36     1076     1014       26        0
 1398 fonts-crosextra-carlito            	      36      953      137        0      780
 1399 fonts-roboto-unhinted              	      36      395      145        1      213
 1400 gpg-wks-server                     	      36     3817     3548      233        0
 1401 ispell                             	      36     3411     3166      209        0
 1402 kup-backup                         	      36      505      428       41        0
 1403 libadwaita-1-0                     	      36      700      186       25      453
 1404 libcdio-cdda2                      	      36     2042       62        0     1944
 1405 libdw1t64                          	      36      251      150        6       59
 1406 libintl-xs-perl                    	      36      388      319        8       25
 1407 libkf5kexiv2-15.0.0                	      36      719      207       19      457
 1408 libmagickwand-6.q16-6              	      36     2970       77        0     2857
 1409 libnet-ssleay-perl                 	      36     3666      100        1     3529
 1410 libpoppler-glib8                   	      36     2927      189        9     2693
 1411 libqt5positioning5                 	      36     1439      168       13     1222
 1412 libqt5webchannel5                  	      36     1418      168       13     1201
 1413 libreoffice-sdbc-postgresql        	      36      747      684       27        0
 1414 libsphinxbase3                     	      36     2762      107        2     2617
 1415 libsvtav1enc2                      	      36      251      131        6       78
 1416 mailutils                          	      36     1192     1138       18        0
 1417 pinentry-curses                    	      36     3777     3510      231        0
 1418 plasma-browser-integration         	      36      430      356       38        0
 1419 qemu-guest-agent                   	      36      160      121        3        0
 1420 reportbug                          	      36     3772     3511      225        0
 1421 ristretto                          	      36     1680     1527      117        0
 1422 xxd                                	      36     2167     2085       46        0
 1423 fuse                               	      35      585      542        8        0
 1424 gdisk                              	      35     3252     3002      215        0
 1425 haveged                            	      35      197      160        2        0
 1426 inetutils-telnet                   	      35     2825     2582      208        0
 1427 libassuan9                         	      35      216      104        6       71
 1428 libcdio-paranoia2                  	      35     2013       58        0     1920
 1429 libcodec2-1.2                      	      35      259      139        6       79
 1430 libldap2                           	      35       73       15        9       14
 1431 libre2-9                           	      35     1216      146       12     1023
 1432 libvpx9                            	      35      244      138        6       65
 1433 libxv1                             	      35     3549       62        1     3451
 1434 lynx                               	      35     2602     2363      194       10
 1435 mate-settings-daemon-common        	      35      520      385       27       73
 1436 mutt                               	      35     1579     1486       58        0
 1437 perl-modules-5.32                  	      35      730      677       18        0
 1438 php8.2-intl                        	      35      133       98        0        0
 1439 poppler-utils                      	      35     3256     2992      229        0
 1440 python3-urllib3                    	      35     3933     3630      265        3
 1441 ruby                               	      35     1071     1008       28        0
 1442 sshfs                              	      35     1073      978       60        0
 1443 xserver-xorg-video-nouveau         	      35     3099     2855      209        0
 1444 xwayland                           	      35      761      656       70        0
 1445 fonts-dejavu-mono                  	      34      288      145        6      103
 1446 install-info                       	      34     1420     1330       56        0
 1447 iso-codes                          	      34     4018      168        8     3808
 1448 libdpkg-perl                       	      34     2772     2623      114        1
 1449 libqt5webenginecore5               	      34     1154      155       12      953
 1450 librav1e0.7                        	      34      244      124        6       80
 1451 libsub-exporter-progressive-perl   	      34     1157     1080       43        0
 1452 libxaw7                            	      34     3612      134        2     3442
 1453 lockfile-progs                     	      34      214      177        3        0
 1454 okular                             	      34      667      582       51        0
 1455 open-vm-tools                      	      34      123       85        4        0
 1456 pkgconf                            	      34     1036      974       28        0
 1457 pkgconf-bin                        	      34     1023      961       28        0
 1458 rubygems-integration               	      34     1073     1023       16        0
 1459 software-properties-common         	      34     1035      926       75        0
 1460 tracker-miner-fs                   	      34      255      204       17        0
 1461 xdg-dbus-proxy                     	      34     2762     2549      179        0
 1462 xfonts-utils                       	      34     3526     3265      227        0
 1463 dovecot-core                       	      33      121       88        0        0
 1464 gtk2-engines                       	      33     3001      133        6     2829
 1465 intel-microcode                    	      33      871      807       28        3
 1466 libfdt1                            	      33      696       58        1      604
 1467 libimobiledevice-glue-1.0-0        	      33      203      127        6       37
 1468 libimvirt-perl                     	      33      245      207        5        0
 1469 libkf5purpose5                     	      33      791      145        4      609
 1470 libkf5syntaxhighlighting5          	      33      746      114        3      596
 1471 libllvm19                          	      33      186       95        9       49
 1472 libmpc3                            	      33     3760       65        1     3661
 1473 libreoffice-script-provider-bsh    	      33      570      517       20        0
 1474 libreoffice-script-provider-js     	      33      572      519       20        0
 1475 libreoffice-script-provider-python 	      33      572      516       23        0
 1476 libswresample5                     	      33      226      113        6       74
 1477 libusbmuxd-2.0-7                   	      33      203      128        6       36
 1478 mesa-utils                         	      33     1333     1252       48        0
 1479 cups-core-drivers                  	      32     2806     2568      206        0
 1480 emacs-gtk                          	      32      329      292        5        0
 1481 evolution-data-server              	      32      297      246       19        0
 1482 exfalso                            	      32     1529     1380      117        0
 1483 imvirt-helper                      	      32      245      208        5        0
 1484 libavutil59                        	      32      226      113        7       74
 1485 libboost-locale1.74.0              	      32     2543       95        1     2415
 1486 libc-dev-bin                       	      32     2617     2484      101        0
 1487 libgnutls-dane0t64                 	      32      204      119        5       48
 1488 libgphoto2-6t64                    	      32      214      173        9        0
 1489 libhavege2                         	      32      162      122        2        6
 1490 libnfsidmap2                       	      32      355      119        0      204
 1491 libnotify-bin                      	      32     2069     1908      129        0
 1492 libproc2-0                         	      32     3035      114        4     2885
 1493 libqt5webenginewidgets5            	      32     1050      146       11      861
 1494 libregexp-ipv6-perl                	      32     2697     2472      193        0
 1495 libreoffice-nlpsolver              	      32      562      510       20        0
 1496 libreoffice-wiki-publisher         	      32      565      513       20        0
 1497 mdevctl                            	      32      311      275        4        0
 1498 php7.4-common                      	      32      118       86        0        0
 1499 php7.4-json                        	      32      113       81        0        0
 1500 php7.4-opcache                     	      32      112       80        0        0
 1501 php7.4-readline                    	      32      112       80        0        0
 1502 pinentry-gnome3                    	      32     2887     2647      208        0
 1503 python3-icu                        	      32      185      152        1        0
 1504 python3-idna                       	      32     3809     3537      240        0
 1505 atop                               	      31      116       85        0        0
 1506 bolt                               	      31      861      761       69        0
 1507 containerd                         	      31      162      131        0        0
 1508 docker.io                          	      31      163      132        0        0
 1509 dpkg-dev                           	      31     2280     2150       99        0
 1510 exfatprogs                         	      31     2386     2153      202        0
 1511 fonts-texgyre                      	      31      539      112        0      396
 1512 gtk2-engines-pixbuf                	      31     2028      130        5     1862
 1513 i965-va-driver                     	      31     3167      128        4     3004
 1514 libavcodec61                       	      31      216      108        7       70
 1515 libb2-1                            	      31      954       95        4      824
 1516 libcaca0                           	      31     3548       58        2     3457
 1517 libdbi-perl                        	      31      677      633       13        0
 1518 libibverbs1                        	      31     1046       61        1      953
 1519 libjcat1                           	      31      832      203       32      566
 1520 libjxl0.10                         	      31       94       29        6       28
 1521 liblua5.2-0                        	      31     2319      115        1     2172
 1522 libopenh264-7                      	      31     2416      106        2     2277
 1523 liborc-0.4-0t64                    	      31      235      132        7       65
 1524 libplist-2.0-4                     	      31      202      126        6       39
 1525 librdmacm1                         	      31      949       58        1      859
 1526 libsnmp40                          	      31     2729      105        0     2593
 1527 opensc                             	      31      470      427       12        0
 1528 python3-louis                      	      31     2495     2268      195        1
 1529 ruby-rubygems                      	      31      928      879       18        0
 1530 akonadi-server                     	      30      509      434       45        0
 1531 etckeeper                          	      30      123       91        2        0
 1532 gir1.2-ayatanaappindicator3-0.1    	      30      624      151        3      440
 1533 gir1.2-upowerglib-1.0              	      30      460      205       21      204
 1534 gnome-software                     	      30      375      310       35        0
 1535 gwenview                           	      30      575      496       49        0
 1536 libboost-program-options1.74.0     	      30     1483       46        0     1407
 1537 libcapstone4                       	      30      586       55        1      500
 1538 libdate-manip-perl                 	      30      588      547       11        0
 1539 libgtk-3-bin                       	      30     3683     3401      252        0
 1540 libgtk2.0-common                   	      30     3346      159        5     3152
 1541 libhtml-tagset-perl                	      30     3703     3453      220        0
 1542 libimobiledevice-1.0-6             	      30      200      126        6       38
 1543 libplacebo208                      	      30     2323       92        2     2199
 1544 libpython3.7-minimal               	      30      326      292        4        0
 1545 libreoffice-base                   	      30      853      789       34        0
 1546 libslirp0                          	      30      607       60        1      516
 1547 libvdeplug2                        	      30      617       54        1      532
 1548 m4                                 	      30     1556     1495       31        0
 1549 python3-lxml                       	      30     1328     1254       44        0
 1550 qml-module-org-kde-kitemmodels     	      30      938      194       19      695
 1551 ssl-cert                           	      30     3430     3189      211        0
 1552 ure-java                           	      30      594      535       29        0
 1553 zenity                             	      30     1503     1394       79        0
 1554 dkms                               	      29      747      690       27        1
 1555 fonts-croscore                     	      29      251      115        2      105
 1556 fonts-noto-ui-core                 	      29     1317      104        0     1184
 1557 gir1.2-caribou-1.0                 	      29      302      250       20        3
 1558 gir1.2-json-1.0                    	      29      560      177       21      333
 1559 gstreamer1.0-plugins-good          	      29     3153       96        1     3027
 1560 kde-cli-tools                      	      29      614      536       49        0
 1561 konsole-kpart                      	      29      625      159       11      426
 1562 libavc1394-0                       	      29     3316       50        1     3236
 1563 libfwupd2                          	      29      798      200       30      539
 1564 libhtml-tree-perl                  	      29     3588     3342      217        0
 1565 libhttp-cookies-perl               	      29     3591     3344      218        0
 1566 libiec61883-0                      	      29     3289       49        1     3210
 1567 libisl23                           	      29     3394       63        1     3301
 1568 libncurses6                        	      29     3826       82        1     3714
 1569 libpipewire-0.3-0t64               	      29      220      106        6       79
 1570 libraw1394-11                      	      29     3330       51        1     3249
 1571 libtss2-esys-3.0.2-0               	      29      978      197       31      721
 1572 libtss2-mu0                        	      29      982      206       31      716
 1573 libtss2-sys1                       	      29      983      206       31      717
 1574 menu                               	      29      813      756       28        0
 1575 php-cli                            	      29      170      118        0       23
 1576 ppp                                	      29     2729     2502      198        0
 1577 python-gobject-2                   	      29      232      201        2        0
 1578 python2-minimal                    	      29      576      542        5        0
 1579 python3-debian                     	      29     3814     3556      229        0
 1580 usb-modeswitch                     	      29     2871     2630      212        0
 1581 wamerican                          	      29     3828     3564      235        0
 1582 fonts-opensymbol                   	      28     2858       88        0     2742
 1583 gir1.2-xapp-1.0                    	      28      332      272       24        8
 1584 gsfonts                            	      28     3260      124        1     3107
 1585 libblockdev-crypto3                	      28      228      136        6       58
 1586 libblockdev-fs3                    	      28      229      137        6       58
 1587 libblockdev-loop3                  	      28      229      136        6       59
 1588 libblockdev-mdraid3                	      28      229      136        6       59
 1589 libblockdev-nvme3                  	      28      229      137        6       58
 1590 libblockdev-part3                  	      28      229      136        6       59
 1591 libblockdev-swap3                  	      28      229      136        6       59
 1592 libblockdev-utils3                 	      28      229      136        6       59
 1593 libblockdev3                       	      28      229      136        6       59
 1594 libbytesize1                       	      28      249      143        7       71
 1595 libclass-inspector-perl            	      28      664      623       13        0
 1596 libcupsfilters1t64                 	      28      180      122        7       23
 1597 libdc1394-25                       	      28     2996       47        1     2920
 1598 libext2fs2t64                      	      28      283      126        6      123
 1599 libgpgme11t64                      	      28      258      130        8       92
 1600 libjson-perl                       	      28      945      904       13        0
 1601 libkf5screen-data                  	      28      585      140        5      412
 1602 liblwp-mediatypes-perl             	      28     3692     3444      220        0
 1603 libnet-smtp-ssl-perl               	      28     3574     3327      219        0
 1604 libopencore-amrnb0                 	      28     3238      126        1     3083
 1605 libopencore-amrwb0                 	      28     3240      120        1     3091
 1606 libpaper2                          	      28      104       33        7       36
 1607 libpostproc56                      	      28     2331       81        2     2220
 1608 libsigc++-2.0-0v5                  	      28     3143      119        5     2991
 1609 libtcl8.6                          	      28     1656       67        2     1559
 1610 libvo-amrwbenc0                    	      28     3037      116        1     2892
 1611 ntpsec-ntpdig                      	      28      539      503        8        0
 1612 php7.4-cli                         	      28      112       84        0        0
 1613 postgresql-15                      	      28      121       93        0        0
 1614 python3-httplib2                   	      28     3663     3413      222        0
 1615 python3-numpy                      	      28     1160     1088       44        0
 1616 python3-repoze.lru                 	      28      221      193        0        0
 1617 redis-tools                        	      28       85       57        0        0
 1618 runit-init                         	      28      274      227       19        0
 1619 tracker-extract                    	      28      256      208       19        1
 1620 tzdata                             	      28     4149     1100       23     2998
 1621 xfce4-clipman                      	      28     1664     1520      116        0
 1622 btrfs-progs                        	      27      430      390       13        0
 1623 cinnamon                           	      27      269      221       21        0
 1624 cinnamon-session                   	      27      278      229       22        0
 1625 cups-ipp-utils                     	      27     2741     2510      204        0
 1626 fakeroot                           	      27     2222     2107       88        0
 1627 libauthen-sasl-perl                	      27     3564     3315      222        0
 1628 libavfilter8                       	      27     2294       74        2     2191
 1629 libfaad2                           	      27     3346       68        0     3251
 1630 libfile-sharedir-perl              	      27      543      504       12        0
 1631 libgccjit0                         	      27      365       57        0      281
 1632 libgck-2-2                         	      27      207      120        7       53
 1633 libgcr-4-4                         	      27      207      120        7       53
 1634 libid3tag0                         	      27     2053       54        0     1972
 1635 libkf5akonadisearchpim5            	      27      473      129       15      302
 1636 libkf5baloowidgets-bin             	      27      568      500       41        0
 1637 libkf5filemetadata3                	      27      805      173       19      586
 1638 libkf5pimtextedit5abi2             	      27      506       96        1      382
 1639 liblzf1                            	      27      116       47        0       42
 1640 libobrender32v5                    	      27      346      103        7      209
 1641 libobt2v5                          	      27      347      103        7      210
 1642 libperl5.32                        	      27      720      675       18        0
 1643 libphonon4qt5-data                 	      27      847      140        4      676
 1644 libraptor2-0                       	      27     3050       98        1     2924
 1645 libuno-purpenvhelpergcc3-3         	      27     2484     2240      217        0
 1646 ncal                               	      27      987      955        5        0
 1647 nemo                               	      27      293      245       21        0
 1648 python3-gpg                        	      27     1079      990       62        0
 1649 python3-zope.event                 	      27      111       83        1        0
 1650 resolvconf                         	      27      201      173        1        0
 1651 tasksel-data                       	      27     4079     3811      241        0
 1652 xfsprogs                           	      27      378      347        4        0
 1653 xrdp                               	      27      114       83        4        0
 1654 ark                                	      26      562      486       50        0
 1655 cgroupfs-mount                     	      26      256      230        0        0
 1656 cinnamon-screensaver               	      26      280      232       22        0
 1657 cups-bsd                           	      26     1206     1120       60        0
 1658 discover-data                      	      26     3995     3737      231        1
 1659 firefox-esr-l10n-de                	      26      269      224       19        0
 1660 fonts-hack                         	      26      805      168       14      597
 1661 gir1.2-nma-1.0                     	      26      397      175       21      175
 1662 jq                                 	      26     1161     1058       77        0
 1663 kde-config-screenlocker            	      26      544      160        6      352
 1664 kdepim-runtime                     	      26      498      427       45        0
 1665 kdeplasma-addons-data              	      26      509      142        6      335
 1666 kwin-data                          	      26      564      162        6      370
 1667 libaribb24-0                       	      26     1486      113        0     1347
 1668 libatkmm-1.6-1v5                   	      26     2759       97        5     2631
 1669 libboost-regex1.74.0               	      26      802       40        0      736
 1670 libcairomm-1.0-1v5                 	      26     2759       98        5     2630
 1671 libclass-data-inheritable-perl     	      26     1056      991       39        0
 1672 libdvdread8                        	      26     2731       86        0     2619
 1673 libeot0                            	      26     2879       89        1     2763
 1674 libevolution                       	      26      237      194       17        0
 1675 libexttextcat-2.0-0                	      26     2956       88        1     2841
 1676 libflashrom1                       	      26      837      185       32      594
 1677 libftdi1-2                         	      26      975      190       32      727
 1678 libhttp-negotiate-perl             	      26     3588     3345      217        0
 1679 libiptcdata0                       	      26      324      124       14      160
 1680 libkf5akonadicalendar-data         	      26      486      130        6      324
 1681 liblangtag1                        	      26     2881       89        1     2765
 1682 libmad0                            	      26     2400       57        1     2316
 1683 libmhash2                          	      26     2923       92        1     2804
 1684 libmythes-1.2-0                    	      26     2874       90        1     2757
 1685 libpangomm-1.4-1v5                 	      26     2757       98        5     2628
 1686 libxml-sax-base-perl               	      26     1396     1325       45        0
 1687 openjdk-17-jre-headless            	      26     1131     1000      104        1
 1688 openssl-provider-legacy            	      26      250       99        5      120
 1689 plasma-desktop-data                	      26      557      160        6      365
 1690 plasma-workspace-data              	      26      529      164       10      329
 1691 powerdevil-data                    	      26      549      153        6      364
 1692 python3-simplejson                 	      26      368      331       11        0
 1693 rpcsvc-proto                       	      26     1970     1861       83        0
 1694 ruby3.1                            	      26      731      691       14        0
 1695 w3m                                	      26     1859     1743       90        0
 1696 xinetd                             	      26      108       79        3        0
 1697 xscreensaver-data                  	      26     2024      469       12     1517
 1698 autofs                             	      25      122       97        0        0
 1699 cinnamon-settings-daemon           	      25      279      222       22       10
 1700 docker-ce                          	      25       98       73        0        0
 1701 fortune-mod                        	      25     1272     1187       60        0
 1702 gdebi-core                         	      25      517      460       32        0
 1703 gir1.2-cinnamondesktop-3.0         	      25      283      147       11      100
 1704 gir1.2-cvc-1.0                     	      25      281      146       11       99
 1705 gir1.2-graphene-1.0                	      25      493      161       19      288
 1706 kmail                              	      25      477      407       45        0
 1707 libaccountsservice0                	      25     1389      130       17     1217
 1708 libclucene-core1v5                 	      25     2677       85        1     2566
 1709 libfile-listing-perl               	      25     3591     3346      220        0
 1710 libgeocode-glib-2-0                	      25      425      135       17      248
 1711 libio-html-perl                    	      25     3691     3446      220        0
 1712 libio-socket-inet6-perl            	      25      432      403        4        0
 1713 libkf5baloo5                       	      25      671      154       18      474
 1714 libkf5balooengine5                 	      25      672      157       19      471
 1715 libkf5imap5                        	      25      495       95        1      374
 1716 libkf5ldap5abi1                    	      25      489       92        1      371
 1717 libkf5libkdepim5                   	      25      504       93        1      385
 1718 libkf5pimcommon5abi2               	      25      489       92        1      371
 1719 libkf5pimcommonakonadi5abi1        	      25      478       92        1      360
 1720 libm17n-0                          	      25      405       60        0      320
 1721 libnet-dns-perl                    	      25      396      359        5        7
 1722 libnetaddr-ip-perl                 	      25     1434       59        0     1350
 1723 libnumbertext-1.0-0                	      25     2796       86        1     2684
 1724 libnvidia-eglcore                  	      25      183      118        4       36
 1725 libnvme1t64                        	      25      211      125        6       55
 1726 libreoffice-java-common            	      25      887      830       32        0
 1727 libspice-server1                   	      25      647       53        1      568
 1728 libtheoradec1                      	      25       58        9        7       17
 1729 libtheoraenc1                      	      25       57        8        7       17
 1730 libtypes-serialiser-perl           	      25      973      934       14        0
 1731 libwww-robotrules-perl             	      25     3591     3352      214        0
 1732 libxatracker2                      	      25     3110      138       13     2934
 1733 mate-applets                       	      25      492      434       33        0
 1734 mate-desktop-common                	      25      628      126        7      470
 1735 mate-media-common                  	      25      496      141        7      323
 1736 monitoring-plugins-basic           	      25       96       71        0        0
 1737 p7zip                              	      25     2931     2646      194       66
 1738 pcmanfm-qt                         	      25      267      225       17        0
 1739 python3-bcrypt                     	      25      763      691       47        0
 1740 python3-pexpect                    	      25     1151     1081       44        1
 1741 tumbler                            	      25     1820     1300      117      378
 1742 update-inetd                       	      25     3136     2902      209        0
 1743 wireplumber                        	      25      907      828       54        0
 1744 xfce4-sensors-plugin               	      25     1662     1523      114        0
 1745 chkrootkit                         	      24      135      111        0        0
 1746 containerd.io                      	      24      101       77        0        0
 1747 fonts-mathjax                      	      24     2182       92        1     2065
 1748 gir1.2-meta-muffin-0.0             	      24      272      140       11       97
 1749 gnome-terminal                     	      24      435      377       34        0
 1750 gpg-wks-client                     	      24     3885     3616      245        0
 1751 grub-pc                            	      24     2532     2386      122        0
 1752 grub-pc-bin                        	      24     2639     2493      122        0
 1753 knotes                             	      24      465      399       42        0
 1754 libaccounts-glib0                  	      24      809       95        2      688
 1755 libarchive-zip-perl                	      24     1456     1387       45        0
 1756 libb-hooks-endofscope-perl         	      24     1119     1053       42        0
 1757 libbpf1                            	      24     3039       42        2     2971
 1758 libclass-accessor-perl             	      24      561      529        8        0
 1759 libclucene-contribs1v5             	      24     2668       85        1     2558
 1760 libdevel-stacktrace-perl           	      24     1072     1006       42        0
 1761 libdvd-pkg                         	      24      168      143        1        0
 1762 libexception-class-perl            	      24     1030      966       40        0
 1763 libgnome-autoar-0-0                	      24      343      130       16      173
 1764 libhtml-parser-perl                	      24     3692       58        0     3610
 1765 libiw30                            	      24     2525       85        4     2412
 1766 libkf5akonadinotes5                	      24      495       93        1      377
 1767 libkf5akonadisearch-bin            	      24      480      414       42        0
 1768 libkf5bluezqt-data                 	      24      804      732       48        0
 1769 libkf5package-data                 	      24     1128      153        5      946
 1770 libkf5wallet-data                  	      24     1200      130        4     1042
 1771 libmemcached11                     	      24      150       40        0       86
 1772 libnamespace-clean-perl            	      24     1119     1053       42        0
 1773 librasqal3                         	      24     2704       87        1     2592
 1774 librdf0                            	      24     2703       87        1     2591
 1775 libreoffice-base-core              	      24     2809     2542      243        0
 1776 librole-tiny-perl                  	      24     1197     1129       44        0
 1777 libtracker-sparql-3.0-0            	      24      451      100       14      313
 1778 libupower-glib1                    	      24      308      117        0      167
 1779 libusb-0.1-4                       	      24     1581       58        0     1499
 1780 libxt6t64                          	      24      264       74        3      163
 1781 lp-solve                           	      24     2855     2629      202        0
 1782 lxqt-session                       	      24      270      228       18        0
 1783 nscd                               	      24       77       50        3        0
 1784 pcmanfm                            	      24      321      277       20        0
 1785 php8.2-fpm                         	      24       73       49        0        0
 1786 plasma-discover-common             	      24      517      138        6      349
 1787 python3-augeas                     	      24       88       63        1        0
 1788 python3.5-minimal                  	      24      214      190        0        0
 1789 qml-module-org-kde-prison          	      24      717      176       19      498
 1790 vim-gtk3                           	      24      198      170        3        1
 1791 caja-common                        	      23      543      137        7      376
 1792 dialog                             	      23     1485     1396       66        0
 1793 dmeventd                           	      23     1269     1210       36        0
 1794 dovecot-imapd                      	      23      117       92        2        0
 1795 fonts-freefont-otf                 	      23      294       99        0      172
 1796 gettext                            	      23     1554     1466       65        0
 1797 gir1.2-accountsservice-1.0         	      23      428      136       16      253
 1798 gir1.2-cmenu-3.0                   	      23      271      133       11      104
 1799 isc-dhcp-server                    	      23       99       76        0        0
 1800 khotkeys-data                      	      23      525      150        5      347
 1801 libapache2-mod-php7.4              	      23       74       51        0        0
 1802 libatk1.0-data                     	      23     1076      173        6      874
 1803 libc-devtools                      	      23     2002     1892       87        0
 1804 libcgi-pm-perl                     	      23     1257     1195       39        0
 1805 libcinnamon-desktop4               	      23      287      135       10      119
 1806 libcinnamon-menu-3-0               	      23      278      133       11      111
 1807 libcjs0                            	      23      271      133       11      104
 1808 libcrypt-dev                       	      23     2360     2244       93        0
 1809 libdebuginfod1                     	      23      965      105        2      835
 1810 libefiboot1                        	      23     3600      174       30     3373
 1811 libefivar1                         	      23     3601      174       30     3374
 1812 libgcab-1.0-0                      	      23      770      174       30      543
 1813 libglibmm-2.4-1v5                  	      23     2616       91        4     2498
 1814 libgnome-autoar-gtk-0-0            	      23      238      126       16       73
 1815 libgnome-desktop-3-20              	      23      816      127       16      650
 1816 libipc-system-simple-perl          	      23     3280     3044      213        0
 1817 libjaylink0                        	      23      823      170       30      600
 1818 libkf5akonadisearch-plugins        	      23      486      113       14      336
 1819 libkf5config-bin                   	      23     1229     1136       70        0
 1820 libkf5identitymanagementwidgets5   	      23      393       60        0      310
 1821 libkuserfeedbackwidgets1           	      23      626      115        4      484
 1822 libncurses-dev                     	      23      912      862       27        0
 1823 libnemo-extension1                 	      23      299      136       11      129
 1824 libntlm0                           	      23     2014       61        0     1930
 1825 libpackage-stash-perl              	      23     1124     1058       43        0
 1826 libpython3.13-minimal              	      23       80       35       22        0
 1827 libpython3.13-stdlib               	      23       75       33       19        0
 1828 libpython3.5-minimal               	      23      224      201        0        0
 1829 libsmbios-c2                       	      23      755      174       30      528
 1830 liburing1                          	      23      279       51        0      205
 1831 libusbredirparser1                 	      23      685       58        1      603
 1832 libxfce4ui-utils                   	      23     1732     1596      113        0
 1833 libxmlsec1                         	      23     2729       88        2     2616
 1834 linux-sysctl-defaults              	      23      209      171       15        0
 1835 lxqt-panel                         	      23      246      207       16        0
 1836 lxqt-runner                        	      23      248      209       16        0
 1837 mate-panel-common                  	      23      521      135        7      356
 1838 mate-power-manager-common          	      23      491      136        7      325
 1839 mokutil                            	      23     1533     1393      117        0
 1840 nemo-fileroller                    	      23      290      134       11      122
 1841 netpbm                             	      23     2376     2229      124        0
 1842 nginx                              	      23      139       81        0       35
 1843 php8.2-bz2                         	      23       63       40        0        0
 1844 python3-mutagen                    	      23     2088     1927      138        0
 1845 python3-pycryptodome               	      23     1091     1018       50        0
 1846 python3-pygame                     	      23      294      259       12        0
 1847 python3-reportbug                  	      23     3722     3474      225        0
 1848 python3.13-minimal                 	      23       73       28       22        0
 1849 qemu-system-common                 	      23      646      606       17        0
 1850 syncthing                          	      23      165      138        4        0
 1851 vim-runtime                        	      23     1361     1303       35        0
 1852 xserver-xorg-input-synaptics       	      23      246      220        3        0
 1853 xserver-xorg-video-nvidia          	      23      174      143        8        0
 1854 zlib1g-dev                         	      23     1606     1547       35        1
 1855 apache2-utils                      	      22      834      779       33        0
 1856 brave-browser                      	      22      189      149       17        1
 1857 cpp-8                              	      22      273      248        2        1
 1858 dctrl-tools                        	      22      477      449        6        0
 1859 fonts-font-awesome                 	      22     1999      106        3     1868
 1860 fonts-vlgothic                     	      22      268       78        2      166
 1861 gir1.2-secret-1                    	      22     2598       86        0     2490
 1862 gtk3-nocsd                         	      22     2226     2011      193        0
 1863 iamerican                          	      22     2204     2049      133        0
 1864 ibritish                           	      22     2197     2044      131        0
 1865 kate                               	      22      603      534       47        0
 1866 keyutils                           	      22     1094     1042       29        1
 1867 libaccounts-qt5-1                  	      22      781       91        2      666
 1868 libcamel-1.2-64                    	      22      425      122       16      265
 1869 libcmark0.30.2                     	      22      278      107       16      133
 1870 libconfig-tiny-perl                	      22      706      649       35        0
 1871 libcvc0                            	      22      260      127       10      101
 1872 libecal-2.0-2                      	      22      368      121       16      209
 1873 libedataserver-1.2-27              	      22      425      122       16      265
 1874 libegl-nvidia0                     	      22      169       96        4       47
 1875 libev4                             	      22      498       83        4      389
 1876 libevent-core-2.1-7t64             	      22      169       74        3       70
 1877 libfdisk1                          	      22     4095      134        8     3931
 1878 libgtksourceview-4-0               	      22     2107       84        3     1998
 1879 libgweather-4-0                    	      22      391      126       16      227
 1880 libhtml-form-perl                  	      22     3524     3283      219        0
 1881 libhttp-daemon-perl                	      22     3524     3282      220        0
 1882 libjavascriptcoregtk-4.0-18        	      22     2230      128       12     2068
 1883 libjxr-tools                       	      22     3136     2900      214        0
 1884 libkaccounts2                      	      22      751       90        2      637
 1885 libkf5xmlgui-data                  	      22     1197      153        5     1017
 1886 libmenu-cache-bin                  	      22      550      496       32        0
 1887 libmozjs-78-0                      	      22      300      132       10      136
 1888 libmuffin0                         	      22      266      126       10      108
 1889 libmuparser2v5                     	      22      376      110        4      240
 1890 libotf1                            	      22      315       51        0      242
 1891 libpython3.5-stdlib                	      22      222      200        0        0
 1892 libsignon-qt5-1                    	      22      780       90        2      666
 1893 libstdc++-12-dev                   	      22     1607     1509       76        0
 1894 libva-wayland2                     	      22     1955       57        0     1876
 1895 lxqt-policykit                     	      22      265      225       18        0
 1896 marco-common                       	      22      551      131        6      392
 1897 mesa-utils-bin                     	      22     1007      941       44        0
 1898 python-cairo                       	      22      228      205        1        0
 1899 python-dbus                        	      22      237      212        3        0
 1900 python3-zope.component             	      22       80       58        0        0
 1901 python3-zope.hookable              	      22       79       57        0        0
 1902 qtchooser                          	      22      995      921       51        1
 1903 xsane                              	      22     1859     1697      140        0
 1904 zsh-common                         	      22     1238       67        1     1148
 1905 autoconf                           	      21     1298     1251       26        0
 1906 connman                            	      21      210      177       12        0
 1907 enchant-2                          	      21     2579     2373      185        0
 1908 eom                                	      21      525      473       31        0
 1909 gcc-10                             	      21      984      957        6        0
 1910 gstreamer1.0-plugins-bad           	      21     2974       68        0     2885
 1911 hplip                              	      21      590      562        7        0
 1912 ipxe-qemu                          	      21      651      604        9       17
 1913 libcrack2                          	      21      980       59        6      894
 1914 libebackend-1.2-11                 	      21      227      122       16       68
 1915 libebook-1.2-21                    	      21      226      122       16       67
 1916 libebook-contacts-1.2-4            	      21      275      122       16      116
 1917 libedata-book-1.2-27               	      21      226      122       16       67
 1918 libedata-cal-2.0-2                 	      21      211      121       16       53
 1919 libedataserverui-1.2-4             	      21      211      121       16       53
 1920 libgtkmm-3.0-1v5                   	      21     2580       90        4     2465
 1921 libhfstospell11                    	      21     1111      121       19      950
 1922 libjson-xs-perl                    	      21      976      935       20        0
 1923 libkf5akonadiagentbase5            	      21      493       71        0      401
 1924 libkf5akonadisearchcore5           	      21      474       66        0      387
 1925 libkf5akonadisearchxapian5         	      21      474       66        0      387
 1926 libkf5dnssd5                       	      21      706       76        0      609
 1927 libkf5gravatar5abi2                	      21      477       69        0      387
 1928 libkf5libkleo5                     	      21      503       70        0      412
 1929 libkf5mailcommon5abi2              	      21      468       69        0      378
 1930 libkf5mailimporter5                	      21      481       69        0      391
 1931 libkf5mbox5                        	      21      502       69        0      412
 1932 libkf5messagecomposer5abi1         	      21      451       65        0      365
 1933 libkf5messagecore5abi1             	      21      459       65        0      373
 1934 libkf5messagelist5abi1             	      21      450       56        0      373
 1935 libkf5messageviewer5abi1           	      21      457       65        0      371
 1936 libkf5mimetreeparser5abi1          	      21      462       65        0      376
 1937 libkf5pimcommonautocorrection5     	      21      394       59        0      314
 1938 libkf5templateparser5              	      21      462       65        0      376
 1939 libkf5webengineviewer5abi1         	      21      459       65        0      373
 1940 libnvidia-glcore                   	      21      184      104        3       56
 1941 liborcus-0.17-0                    	      21     2050       58        1     1970
 1942 liborcus-parser-0.17-0             	      21     2051       58        1     1971
 1943 libpeas-1.0-0                      	      21     1089       65        3     1000
 1944 libproxy-tools                     	      21     1617     1518       78        0
 1945 libqgpgme15                        	      21      381       55        0      305
 1946 libqt5xdg3                         	      21      310      103        4      182
 1947 libqt5xdgiconloader3               	      21      313      103        4      185
 1948 libv4l-0                           	      21     2994       30        0     2943
 1949 libv4lconvert0                     	      21     2997       30        0     2946
 1950 libvoikko1                         	      21     1162      121       19     1001
 1951 libx265-215                        	      21       61       11        7       22
 1952 libxml-twig-perl                   	      21     3218     2984      213        0
 1953 locales-all                        	      21       64       43        0        0
 1954 lxqt-notificationd                 	      21      269      230       18        0
 1955 lxqt-powermanagement               	      21      261      222       18        0
 1956 lxqt-qtplugin                      	      21      273      103        4      145
 1957 mesa-va-drivers                    	      21     3157      106        2     3028
 1958 ncdu                               	      21     1220     1142       57        0
 1959 openbsd-inetd                      	      21      167      144        2        0
 1960 php7.4-xml                         	      21       76       55        0        0
 1961 python3-certbot                    	      21      184      162        1        0
 1962 runc                               	      21      170      146        3        0
 1963 time                               	      21     1395     1318       56        0
 1964 tini                               	      21      176      154        1        0
 1965 usb.ids                            	      21     3154     2700      203      230
 1966 x11-session-utils                  	      21     3047     2813      213        0
 1967 apt-xapian-index                   	      20      114       93        1        0
 1968 clamav-daemon                      	      20       91       71        0        0
 1969 feh                                	      20      308      279        9        0
 1970 gkrellm                            	      20      128      108        0        0
 1971 gnome-menus                        	      20      270      233       17        0
 1972 hunspell-en-us                     	      20     3034     2721      208       85
 1973 kwin-wayland                       	      20      429      366       43        0
 1974 libcapture-tiny-perl               	      20      834      771       43        0
 1975 libcbor0.8                         	      20     2779      159       28     2572
 1976 libdisplay-info2                   	      20      104       39        5       40
 1977 libdolphinvcs5                     	      20      594       96        2      476
 1978 libexporter-tiny-perl              	      20      727      693       14        0
 1979 libfile-desktopentry-perl          	      20     3233     3000      213        0
 1980 libfont-afm-perl                   	      20     3518     3279      219        0
 1981 libglib-object-introspection-perl  	      20     2419     2227      172        0
 1982 libgphoto2-port12t64               	      20      218       66        3      129
 1983 libhtml-format-perl                	      20     3516     3277      219        0
 1984 libimagequant0                     	      20     1789       68        7     1694
 1985 libio-stringy-perl                 	      20     3270     3038      212        0
 1986 libjpeg-turbo-progs                	      20     2603     2422      161        0
 1987 libkf5baloowidgets5                	      20      590       99        2      469
 1988 libkf5i18n-data                    	      20     1248      144        5     1079
 1989 liblightdm-gobject-1-0             	      20     1081       82        2      977
 1990 libmodplug1                        	      20     3278       31        0     3227
 1991 libmro-compat-perl                 	      20      684      654       10        0
 1992 libnpth0t64                        	      20      270       74        4      172
 1993 libnvidia-egl-wayland1             	      20      177      101        4       52
 1994 libpipewire-0.3-modules            	      20     1410       83        1     1306
 1995 libpwquality1                      	      20      938       57        6      855
 1996 libqt6dbus6                        	      20      580       46        3      511
 1997 libqt6gui6                         	      20      578       46        3      509
 1998 libqt6widgets6                     	      20      552       46        3      483
 1999 libtspi1                           	      20      489       92        2      375
 2000 libxml-sax-perl                    	      20     1394     1327       47        0
 2001 libyaml-tiny-perl                  	      20      469      448        1        0
 2002 lxqt-globalkeys                    	      20      248      212       16        0
 2003 mariadb-client-core                	      20      613      546       47        0
 2004 mariadb-server-core-10.5           	      20      129      106        3        0
 2005 netcat-openbsd                     	      20      630      598       12        0
 2006 network-manager-openvpn            	      20      172      147        5        0
 2007 nodejs                             	      20      445      418        7        0
 2008 numlockx                           	      20      217      175       22        0
 2009 parole                             	      20     1496     1361      115        0
 2010 php8.2-imagick                     	      20       72       52        0        0
 2011 pipewire-pulse                     	      20      908      832       56        0
 2012 pluma                              	      20      513      463       30        0
 2013 python3-markupsafe                 	      20     1143     1062       60        1
 2014 qterminal                          	      20      273      235       18        0
 2015 texlive-binaries                   	      20      629      593       16        0
 2016 tlp                                	      20       94       74        0        0
 2017 tree                               	      20      904      841       43        0
 2018 unrar                              	      20      450      422        8        0
 2019 xfce4-cpugraph-plugin              	      20     1664       98        0     1546
 2020 xfce4-taskmanager                  	      20     1668     1532      116        0
 2021 apt-show-versions                  	      19      117       97        1        0
 2022 autotools-dev                      	      19     1305     1259       27        0
 2023 cpp-x86-64-linux-gnu               	      19      270      232       19        0
 2024 cryptsetup-initramfs               	      19      627      586       22        0
 2025 cups-ppdc                          	      19     2822     2596      207        0
 2026 dconf-cli                          	      19      797      729       49        0
 2027 ffmpegthumbnailer                  	      19      747      683       45        0
 2028 fonts-lmodern                      	      19      671       61        0      591
 2029 gir1.2-packagekitglib-1.0          	      19     2713     2506      188        0
 2030 glib-networking-services           	      19     3440     3198      219        4
 2031 libao4                             	      19     2891      108        1     2763
 2032 libc-ares2                         	      19      816       62        1      734
 2033 libdata-dump-perl                  	      19     3317     3080      218        0
 2034 libdata-optlist-perl               	      19     1170     1108       43        0
 2035 libeval-closure-perl               	      19      588      561        8        0
 2036 libglx-nvidia0                     	      19      178       77        2       80
 2037 libgoa-1.0-common                  	      19     1698      156       13     1510
 2038 libkf5service-bin                  	      19     1199     1114       66        0
 2039 libnamespace-autoclean-perl        	      19      616      590        7        0
 2040 libqt5sql5-mysql                   	      19      598       69        0      510
 2041 libsrt1.4-gnutls                   	      19      648       56        1      572
 2042 libsub-exporter-perl               	      19     1166     1104       43        0
 2043 libsub-install-perl                	      19     1170     1108       43        0
 2044 libswitch-perl                     	      19      737      715        3        0
 2045 libx11-dev                         	      19     1173     1117       37        0
 2046 lxcfs                              	      19       78       59        0        0
 2047 mariadb-client                     	      19      309      223        3       64
 2048 munin-common                       	      19       74       54        1        0
 2049 ofono                              	      19      195      164       12        0
 2050 pigz                               	      19      651      625        7        0
 2051 plasma-workspace-wayland           	      19      405      346       40        0
 2052 postgresql-13                      	      19       91       72        0        0
 2053 python3-debconf                    	      19     3600     3352      228        1
 2054 rake                               	      19     1065     1025       21        0
 2055 shim-signed-common                 	      19     1508     1371      118        0
 2056 xfce4-systemload-plugin            	      19     1663       73        0     1571
 2057 apt-file                           	      18      544      512       14        0
 2058 automake                           	      18     1295     1242       34        1
 2059 cpp-14                             	      18      241      207       16        0
 2060 cpp-14-x86-64-linux-gnu            	      18      237      203       16        0
 2061 ed                                 	      18     1308     1230       60        0
 2062 gjs                                	      18      518      460       40        0
 2063 gnome-settings-daemon-common       	      18      431      376       37        0
 2064 gparted                            	      18      900      862       19        1
 2065 graphviz                           	      18     1699     1593       88        0
 2066 gstreamer1.0-plugins-base          	      18     3243       92        1     3132
 2067 hddtemp                            	      18      632      604       10        0
 2068 java-common                        	      18     1653     1599       36        0
 2069 kinfocenter                        	      18      543      482       43        0
 2070 libabsl20230802                    	      18      265       68        4      175
 2071 libbotan-2-19                      	      18      370       89        2      261
 2072 libcrypt-openssl-bignum-perl       	      18      159       49        0       92
 2073 libcrypt-openssl-rsa-perl          	      18      158       49        0       91
 2074 libdbi1                            	      18      284       28        0      238
 2075 libfreeaptx0                       	      18     2368       64        1     2285
 2076 libgpod-common                     	      18      587      528       41        0
 2077 libldacbt-abr2                     	      18      496       56        1      421
 2078 libldacbt-enc2                     	      18     2400       58        1     2323
 2079 liblibreoffice-java                	      18      992      929       44        1
 2080 liblua5.1-0                        	      18     1388       46        0     1324
 2081 liblua5.4-0                        	      18      671       26        0      627
 2082 liblxqt1                           	      18      202       79        3      102
 2083 libmagic1                          	      18     3858       46        0     3794
 2084 libmms0                            	      18     1295       31        0     1246
 2085 libmpcdec6                         	      18     3323       43        0     3262
 2086 libnginx-mod-http-echo             	      18       79       61        0        0
 2087 libnginx-mod-stream                	      18       72       54        0        0
 2088 libnsl-dev                         	      18     2166     2071       77        0
 2089 libnvidia-glvkspirv                	      18      178       90        4       66
 2090 libparams-validationcompiler-perl  	      18      548      523        7        0
 2091 libpython3.12-stdlib               	      18      228      203        7        0
 2092 libreoffice-sdbc-hsqldb            	      18      774      725       31        0
 2093 libspecio-perl                     	      18      549      524        7        0
 2094 libtirpc-dev                       	      18     2178     2080       79        1
 2095 libtk8.6                           	      18     1502       34        2     1448
 2096 libunoloader-java                  	      18      990      928       44        0
 2097 lxc                                	      18       85       67        0        0
 2098 nvidia-modprobe                    	      18      223      197        8        0
 2099 nvidia-settings                    	      18      166      140        8        0
 2100 php7.4-gd                          	      18       66       48        0        0
 2101 php7.4-mbstring                    	      18       69       51        0        0
 2102 php7.4-mysql                       	      18       67       49        0        0
 2103 python-is-python3                  	      18      151      130        3        0
 2104 python3.12-minimal                 	      18      224      199        7        0
 2105 qtwayland5                         	      18     2006      128       16     1844
 2106 rename                             	      18     1337     1263       56        0
 2107 rxvt-unicode                       	      18      166      147        1        0
 2108 smplayer                           	      18      511      458       35        0
 2109 snmpd                              	      18       92       74        0        0
 2110 sonnet-plugins                     	      18     1162      120       18     1006
 2111 sqlite3                            	      18      572      548        6        0
 2112 tcl8.6                             	      18     1246     1209       19        0
 2113 torsocks                           	      18      260      240        2        0
 2114 wicd-daemon                        	      18      133      114        1        0
 2115 xcvt                               	      18     2385     2159      208        0
 2116 xfce4-dict                         	      18     1651     1517      116        0
 2117 xserver-xorg-video-qxl             	      18     2935     2713      204        0
 2118 cmake                              	      17      678      637       24        0
 2119 cpp-6                              	      17      419      402        0        0
 2120 deja-dup                           	      17      247      205       25        0
 2121 dunst                              	      17      175      152        6        0
 2122 efibootmgr                         	      17     1605     1469      119        0
 2123 firefox-esr-l10n-es-ar             	      17      167      136       14        0
 2124 firefox-esr-l10n-es-cl             	      17      162      131       14        0
 2125 firefox-esr-l10n-es-es             	      17      176      145       14        0
 2126 fonts-crosextra-caladea            	      17      935       77        0      841
 2127 keepassxc                          	      17      299      258        7       17
 2128 kwayland-integration               	      17     1223      114       12     1080
 2129 libalgorithm-diff-perl             	      17     2152     2054       81        0
 2130 libavdevice59                      	      17     1042       23        0     1002
 2131 libavformat58                      	      17      818       54        2      745
 2132 libcanberra-gtk3-module            	      17     2578       92       13     2456
 2133 libclass-singleton-perl            	      17      376      352        7        0
 2134 libdatetime-locale-perl            	      17      376      352        7        0
 2135 libdatetime-timezone-perl          	      17      376      352        7        0
 2136 libfm-qt12                         	      17      195       78        3       97
 2137 libhashkit2                        	      17       99       32        0       50
 2138 libinstpatch-1.0-2                 	      17     2876       30        1     2828
 2139 liblxqt-globalkeys-ui1             	      17      181       79        3       82
 2140 liblxqt-globalkeys1                	      17      193       79        3       94
 2141 libnvidia-egl-gbm1                 	      17      122       69        5       31
 2142 libpam-winbind                     	      17       54       25        0       12
 2143 libpurple0                         	      17      382      102        4      259
 2144 libqt6network6                     	      17      573       40        3      513
 2145 libssl-dev                         	      17      939      912       10        0
 2146 libtevent0t64                      	      17      227       39        2      169
 2147 libwebkit2gtk-4.0-37               	      17     2208       74        4     2113
 2148 libxfce4util-bin                   	      17     2073     1922      134        0
 2149 libxml-xpathengine-perl            	      17     3203     2973      213        0
 2150 linux-image-6.1.0-30-amd64         	      17      312      243       50        2
 2151 mariadb-server                     	      17      305      188        3       97
 2152 nmap                               	      17      963      926       19        1
 2153 orca                               	      17     2408     2193      198        0
 2154 php8.2-apcu                        	      17       57       40        0        0
 2155 python-support                     	      17      126      108        1        0
 2156 python3-distro                     	      17     2283     2138      127        1
 2157 python3-lib2to3                    	      17     1826     1747       60        2
 2158 suckless-tools                     	      17      299      272       10        0
 2159 tcpd                               	      17      481      460        4        0
 2160 telnet                             	      17     1498     1000       19      462
 2161 virtualbox-7.0                     	      17      135      118        0        0
 2162 xapp-sn-watcher                    	      17      319      106        9      187
 2163 xfce4-xkb-plugin                   	      17     1661      123        1     1520
 2164 yelp                               	      17     1664     1558       89        0
 2165 zfs-zed                            	      17       65       47        1        0
 2166 aptitude-common                    	      16     1169     1129       22        2
 2167 audacity                           	      16      515      488       11        0
 2168 calc                               	      16     1004      937       51        0
 2169 crda                               	      16      398      377        5        0
 2170 dlocate                            	      16       63       46        1        0
 2171 engrampa-common                    	      16      565       69        5      475
 2172 evolution-data-server-common       	      16      604       99        6      483
 2173 fetchmail                          	      16     1069     1000       53        0
 2174 firefox-esr-l10n-en-gb             	      16      173      146       11        0
 2175 firefox-esr-l10n-es-mx             	      16      165      135       14        0
 2176 fonts-lyx                          	      16      700       45        1      638
 2177 gdb                                	      16      640      600       24        0
 2178 gnome-themes-extra                 	      16     1395       77        4     1298
 2179 gnupg-agent                        	      16      650      197        1      436
 2180 i3-wm                              	      16      154      134        4        0
 2181 icinga2-bin                        	      16       49       31        2        0
 2182 iftop                              	      16     1244     1174       54        0
 2183 libalgorithm-merge-perl            	      16     2144     2045       83        0
 2184 libboost-context1.74.0             	      16      114       21        0       77
 2185 libboost-coroutine1.74.0           	      16       97       18        0       63
 2186 libc++1-16                         	      16      355      332        7        0
 2187 libc++abi1-16                      	      16      355      332        7        0
 2188 libcpanel-json-xs-perl             	      16      725      672       37        0
 2189 libdee-1.0-4                       	      16      513       50        1      446
 2190 libext2fs2                         	      16     3663       61        2     3584
 2191 libfm-extra4                       	      16      521       62        5      438
 2192 libfm-gtk4                         	      16      313       62        5      230
 2193 libfm-modules                      	      16      328       66        5      241
 2194 libfm4                             	      16      315       62        5      232
 2195 libgdbm6                           	      16     3648       58        1     3573
 2196 libkf5syntaxhighlighting-data      	      16      746       58        0      672
 2197 libmail-spf-perl                   	      16      126      109        1        0
 2198 libmbim-utils                      	      16     2145     1941      188        0
 2199 libminiupnpc17                     	      16      678       49        0      613
 2200 libmpdclient2                      	      16      145       30        2       97
 2201 libnet-libidn-perl                 	      16      382       42        0      324
 2202 libnet-server-perl                 	      16      159      139        4        0
 2203 libnss-winbind                     	      16       63       19        1       27
 2204 libpam-cgfs                        	      16       70       33        0       21
 2205 libpam-pwquality                   	      16       61       39        1        5
 2206 libqmi-utils                       	      16     2143     1939      188        0
 2207 libqt6core6t64                     	      16      149       35        3       95
 2208 libqt6svg6                         	      16      364       32        3      313
 2209 librrd8                            	      16      138       23        0       99
 2210 libspa-0.2-bluetooth               	      16      455       55        1      383
 2211 libsys-hostname-long-perl          	      16      988      953       19        0
 2212 libtool                            	      16     1188     1141       31        0
 2213 libuchardet0                       	      16     3912       33        0     3863
 2214 libunwind-16                       	      16      357      334        7        0
 2215 libx265-209                        	      16      220      122        0       82
 2216 libxcb-xrm0                        	      16      236       68        2      150
 2217 libxml-libxml-perl                 	      16     1380       37        0     1327
 2218 libxml2-utils                      	      16     1296     1214       66        0
 2219 libxmlsec1-nss                     	      16     2612       64        1     2531
 2220 libzephyr4                         	      16      419       16        0      387
 2221 lighttpd                           	      16       67       51        0        0
 2222 lxterminal                         	      16      259      225       18        0
 2223 mate-utils                         	      16      510      463       31        0
 2224 mosh                               	      16     1074     1005       53        0
 2225 msmtp                              	      16     1082     1010       56        0
 2226 network-manager-applet             	      16       68       42       10        0
 2227 perl-modules-5.40                  	      16      173      142       15        0
 2228 perl-tk                            	      16     2417     2205      196        0
 2229 php7.0-cli                         	      16       48       32        0        0
 2230 php7.0-common                      	      16       53       37        0        0
 2231 php7.0-json                        	      16       48       32        0        0
 2232 php7.0-opcache                     	      16       48       32        0        0
 2233 php7.0-readline                    	      16       48       32        0        0
 2234 postgresql-client-15               	      16      156      139        1        0
 2235 python3-brlapi                     	      16     2491     2275      199        1
 2236 python3-distutils                  	      16     1647     1584       45        2
 2237 python3-speechd                    	      16     2567     2351      200        0
 2238 qbittorrent                        	      16      165      147        2        0
 2239 qemu-utils                         	      16      696      661       19        0
 2240 quodlibet                          	      16     1509     1375      118        0
 2241 redshift                           	      16      206      183        7        0
 2242 shotwell-common                    	      16      435      119       13      287
 2243 smbclient                          	      16      801      730       55        0
 2244 sox                                	      16     1272     1196       60        0
 2245 sysuser-helper                     	      16      320      282       22        0
 2246 wicd-gtk                           	      16      124      108        0        0
 2247 x11proto-dev                       	      16     1146     1094       36        0
 2248 xdm                                	      16       88       69        3        0
 2249 xfce4-places-plugin                	      16     1654     1522      116        0
 2250 avahi-utils                        	      15     1809     1654      140        0
 2251 bind9-utils                        	      15      211      184       12        0
 2252 claws-mail                         	      15      172      153        4        0
 2253 galculator                         	      15      334      304       15        0
 2254 gir1.2-vte-2.91                    	      15      897       56        1      825
 2255 gnome-disk-utility                 	      15      683      620       48        0
 2256 gpsd                               	      15       82       66        1        0
 2257 grub-efi-amd64-bin                 	      15     1587     1452      120        0
 2258 ibus-gtk4                          	      15      273       73       16      169
 2259 libconst-fast-perl                 	      15      563      518       30        0
 2260 libdvdread8t64                     	      15      241       30        1      195
 2261 libemail-address-xs-perl           	      15      658       38        0      605
 2262 libencode-perl                     	      15      254       18        0      221
 2263 libfarstream-0.2-5                 	      15      450       24        0      411
 2264 libfluidsynth3                     	      15     2420       24        0     2381
 2265 libgadu3                           	      15      436       13        0      408
 2266 libglu1-mesa                       	      15     3187       55        1     3116
 2267 libgvc6                            	      15     1719     1616       88        0
 2268 liblist-moreutils-perl             	      15      647      494       10      128
 2269 libmeanwhile1                      	      15      463       16        0      432
 2270 libmilter1.0.1                     	      15       65       19        0       31
 2271 libparams-util-perl                	      15     1179       42        0     1122
 2272 libpcre2-dev                       	      15      843      798       30        0
 2273 libqt5core5t64                     	      15      199       66        0      118
 2274 libqt5dbus5t64                     	      15      199       64        0      120
 2275 libqt5gui5t64                      	      15      196       64        0      117
 2276 libqt5network5t64                  	      15      198       65        0      118
 2277 libqt5widgets5t64                  	      15      196       64        0      117
 2278 libref-util-perl                   	      15      704      677       12        0
 2279 libreoffice-style-breeze           	      15      505      437       53        0
 2280 librest-0.7-0                      	      15     1002       53        3      931
 2281 libshout3                          	      15     3217       21        0     3181
 2282 libsoup-gnome2.4-1                 	      15     2388       51        3     2319
 2283 libswscale8                        	      15      219       27        1      176
 2284 libtie-ixhash-perl                 	      15     3220     2991      214        0
 2285 libvmaf1                           	      15       63       38        0       10
 2286 libx11-protocol-perl               	      15     3217     2989      213        0
 2287 libzfs4linux                       	      15       79       37        1       26
 2288 libzxcvbn0                         	      15      521       81        2      423
 2289 lxpanel                            	      15      226      193       18        0
 2290 lxsession                          	      15      195      164       16        0
 2291 lzop                               	      15      823      769       39        0
 2292 minicom                            	      15     1173     1103       55        0
 2293 mpd                                	      15       47       31        0        1
 2294 mutter-common                      	      15      379      323       30       11
 2295 p11-kit-modules                    	      15     2796       96        6     2679
 2296 parcellite                         	      15      152      122       15        0
 2297 php5-common                        	      15       63       48        0        0
 2298 php5-json                          	      15       60       44        0        1
 2299 php7.4-curl                        	      15       58       43        0        0
 2300 php7.4-intl                        	      15       47       32        0        0
 2301 php8.2-ldap                        	      15       45       30        0        0
 2302 pidgin                             	      15      379      336       27        1
 2303 python2.7                          	      15     1173     1150        8        0
 2304 python3-dateutil                   	      15     1352     1274       62        1
 2305 python3-distro-info                	      15      939      863       61        0
 2306 python3-pyxattr                    	      15     1224     1128       80        1
 2307 qt6-translations-l10n              	      15      527       38        3      471
 2308 rfkill                             	      15      697      661       21        0
 2309 rkhunter                           	      15      166      150        1        0
 2310 ruby-locale                        	      15      110       95        0        0
 2311 ruby-net-telnet                    	      15     1066     1031       20        0
 2312 sane-airscan                       	      15     2201     1992      194        0
 2313 tcl                                	      15     1164     1128       20        1
 2314 texlive-latex-base                 	      15      604      574       15        0
 2315 tk8.6                              	      15     1151     1116       20        0
 2316 virt-manager                       	      15      325      306        4        0
 2317 xfburn                             	      15     1678     1548      115        0
 2318 amd64-microcode                    	      14      773      738       21        0
 2319 apcupsd                            	      14       57       43        0        0
 2320 cifs-utils                         	      14      373      348       11        0
 2321 cpufrequtils                       	      14      271      254        3        0
 2322 deborphan                          	      14      262      245        3        0
 2323 geany                              	      14      273      248       11        0
 2324 gir1.2-peas-1.0                    	      14     1008       43        2      949
 2325 gnome-session-bin                  	      14      325      280       31        0
 2326 gstreamer1.0-alsa                  	      14     1967       22        0     1931
 2327 guile-3.0-libs                     	      14     1071       18        0     1039
 2328 ienglish-common                    	      14     2232     1791      132      295
 2329 inkscape                           	      14      606      573       19        0
 2330 irssi                              	      14     1064      995       55        0
 2331 libadplug-2.3.3-0                  	      14       65       17        0       34
 2332 libbinio1v5                        	      14       74       17        0       43
 2333 libcgi-fast-perl                   	      14     1196     1145       37        0
 2334 libdavs2-16                        	      14       73       43        1       15
 2335 libdynaloader-functions-perl       	      14     1209     1150       45        0
 2336 libfm-qt-l10n                      	      14      271       65        1      191
 2337 libfmt9                            	      14     1030       40        1      975
 2338 libgc1                             	      14     2587       24        0     2549
 2339 libgnutls-openssl27                	      14      569       34        0      521
 2340 libhandy-1-0                       	      14     2310       48        3     2245
 2341 libilbc3                           	      14       75       42        1       18
 2342 libinih1                           	      14      547       35        3      495
 2343 libio-string-perl                  	      14     1002      950       38        0
 2344 libipc-signal-perl                 	      14       75       60        1        0
 2345 libixml10                          	      14     1464       35        0     1415
 2346 libkeybinder0                      	      14      238       55        5      164
 2347 libluajit-5.1-2                    	      14      459       32        1      412
 2348 libmath-calc-units-perl            	      14       34       20        0        0
 2349 libmikmod3                         	      14      590       19        1      556
 2350 libnorm1t64                        	      14      227       29        1      183
 2351 libnvpair3linux                    	      14       80       37        1       28
 2352 libopenmpt0t64                     	      14      238       30        1      193
 2353 libpgm-5.3-0t64                    	      14      229       29        1      185
 2354 libproc-waitstat-perl              	      14       75       60        1        0
 2355 libprotobuf32t64                   	      14      185       29        4      138
 2356 libpython3.12-minimal              	      14      230      206       10        0
 2357 libreoffice-l10n-es                	      14      210      181       15        0
 2358 libreoffice-report-builder         	      14      724      682       28        0
 2359 libsphinxbase3t64                  	      14      213       26        0      173
 2360 libswscale5                        	      14      777       42        1      720
 2361 libtumbler-1-0                     	      14     1709      115        9     1571
 2362 libupnp13                          	      14     1462       35        0     1413
 2363 libutf8proc2                       	      14      731       68        3      646
 2364 libuutil3linux                     	      14       80       37        1       28
 2365 libwildmidi2                       	      14     3009       17        0     2978
 2366 libwnck22                          	      14      377       54        5      304
 2367 libxavs2-13                        	      14       73       43        1       15
 2368 libxcb-screensaver0                	      14      289       63        2      210
 2369 libxcb1-dev                        	      14     1184     1134       36        0
 2370 libxml-namespacesupport-perl       	      14     1396     1335       47        0
 2371 libzix-0-0                         	      14      234       26        1      193
 2372 light-locker                       	      14      155      132        9        0
 2373 lxqt-config                        	      14      269      236       19        0
 2374 monitoring-plugins-common          	      14       97       83        0        0
 2375 nagios-nrpe-server                 	      14       37       23        0        0
 2376 nslcd                              	      14       34       20        0        0
 2377 packagekit-tools                   	      14     1462     1356       92        0
 2378 pcmanfm-qt-l10n                    	      14      264       67        1      182
 2379 php5-cli                           	      14       59       45        0        0
 2380 php5-readline                      	      14       54       39        0        1
 2381 php8.2-gmp                         	      14       53       39        0        0
 2382 php8.2-igbinary                    	      14       36       22        0        0
 2383 php8.2-pgsql                       	      14       41       27        0        0
 2384 policycoreutils                    	      14      102       88        0        0
 2385 python3-libvirt                    	      14      355      336        5        0
 2386 python3-libxml2                    	      14      391      372        5        0
 2387 python3-pygments                   	      14     1261     1215       32        0
 2388 python3-ruamel.yaml                	      14      153      135        4        0
 2389 qlipper                            	      14      273      242       17        0
 2390 remmina                            	      14      451      408       29        0
 2391 scrot                              	      14      501      461       26        0
 2392 spamassassin                       	      14      113       98        1        0
 2393 steam-launcher                     	      14      151      130        7        0
 2394 tcpdump                            	      14      704      684        6        0
 2395 tex-common                         	      14      960      929       17        0
 2396 texlive-base                       	      14      628      600       14        0
 2397 transmission-gtk                   	      14      593      540       39        0
 2398 vlc-plugin-qt                      	      14     1197     1143       40        0
 2399 wine                               	      14      502      478        9        1
 2400 xclip                              	      14      398      369       15        0
 2401 xkbset                             	      14     2352     2144      194        0
 2402 acpi                               	      13      587      562       12        0
 2403 akonadi-mime-data                  	      13      510       37        0      460
 2404 alsa-topology-conf                 	      13     3216     2477      213      513
 2405 ant                                	      13      853      823       17        0
 2406 audacious                          	      13      547      504       30        0
 2407 auditd                             	      13       51       38        0        0
 2408 autopoint                          	      13      991      958       20        0
 2409 caja-extensions-common             	      13      109       46        2       48
 2410 cron-apt                           	      13       55       42        0        0
 2411 debtags                            	      13       54       40        1        0
 2412 docker-ce-cli                      	      13       98       83        2        0
 2413 eog                                	      13      446      398       35        0
 2414 firefox-esr-l10n-fr                	      13       79       61        5        0
 2415 gddrescue                          	      13     1123     1056       54        0
 2416 kcalc                              	      13      532      472       47        0
 2417 ldap-utils                         	      13      156      138        5        0
 2418 libavfilter10                      	      13      202       25        1      163
 2419 libavformat61                      	      13      214       27        1      173
 2420 libavif16                          	      13      271       48        3      207
 2421 libc-client2007e                   	      13       96       23        0       60
 2422 libclass-isa-perl                  	      13      697      683        1        0
 2423 libconfuse2                        	      13      277       42        0      222
 2424 libdigest-hmac-perl                	      13      545      526        6        0
 2425 libemail-date-format-perl          	      13      629      604       12        0
 2426 libfdk-aac2                        	      13      126       45        1       67
 2427 libgeoip1                          	      13     1787       39        0     1735
 2428 libgpg-error-l10n                  	      13      916       67        0      836
 2429 libgtkspell0                       	      13      494       22        0      459
 2430 libgts-bin                         	      13     1610     1513       84        0
 2431 libipc-run-perl                    	      13      474      455        6        0
 2432 libiso9660-11                      	      13      463       21        0      429
 2433 liblog-log4perl-perl               	      13      366      350        3        0
 2434 liblouisutdml-bin                  	      13     2744     2526      205        0
 2435 libmail-dkim-perl                  	      13      121      107        1        0
 2436 libmoo-perl                        	      13      836      785       38        0
 2437 libnatpmp1                         	      13      620       37        0      570
 2438 libnet-ip-perl                     	      13      286      270        3        0
 2439 libnginx-mod-http-auth-pam         	      13       56       43        0        0
 2440 libnginx-mod-http-dav-ext          	      13       55       42        0        0
 2441 libnginx-mod-http-subs-filter      	      13       55       42        0        0
 2442 libnginx-mod-http-upstream-fair    	      13       54       41        0        0
 2443 libnss-ldapd                       	      13       33       20        0        0
 2444 libopenblas0-pthread               	      13      466       44        1      408
 2445 libopendbx1                        	      13       39       15        0       11
 2446 libopendkim11                      	      13       39       18        0        8
 2447 libplacebo349                      	      13      210       25        1      171
 2448 libpostproc58                      	      13      218       25        1      179
 2449 libqt5multimedia5                  	      13     1545       59        0     1473
 2450 libqt6waylandclient6               	      13      285       28        3      241
 2451 librbl1                            	      13       39       18        0        8
 2452 libreoffice-l10n-de                	      13      302      270       19        0
 2453 libreoffice-l10n-en-gb             	      13      204      180       11        0
 2454 libsixel1                          	      13     1002       22        0      967
 2455 libsub-quote-perl                  	      13     1121     1066       42        0
 2456 libtext-unidecode-perl             	      13      733      706       14        0
 2457 libtorrent-rasterbar2.0            	      13      311       21        1      276
 2458 libunibreak6                       	      13      238       31        1      193
 2459 libvbr2                            	      13       39       18        0        8
 2460 llvm-14-dev                        	      13      257      239        5        0
 2461 logwatch                           	      13       49       36        0        0
 2462 lxpolkit                           	      13      201      166       22        0
 2463 mate-terminal-common               	      13      548       58        3      474
 2464 mc-data                            	      13     1602       33        2     1554
 2465 memcached                          	      13       51       38        0        0
 2466 monitoring-plugins-standard        	      13       57       44        0        0
 2467 mplayer                            	      13      489      469        7        0
 2468 mtr-tiny                           	      13     1154     1086       55        0
 2469 opendkim                           	      13       35       22        0        0
 2470 php7.0-xml                         	      13       42       29        0        0
 2471 php7.3-cli                         	      13       61       48        0        0
 2472 php7.3-common                      	      13       62       49        0        0
 2473 php7.3-json                        	      13       61       48        0        0
 2474 php7.3-opcache                     	      13       61       48        0        0
 2475 php7.3-readline                    	      13       61       48        0        0
 2476 php8.2-bcmath                      	      13       48       35        0        0
 2477 php8.2-soap                        	      13       40       27        0        0
 2478 plasma-runners-addons              	      13      490       67       16      394
 2479 postgresql-11                      	      13       62       49        0        0
 2480 python3-libevdev                   	      13      686      666        7        0
 2481 python3-logilab-common             	      13       95       80        2        0
 2482 python3-pip                        	      13      779      745       21        0
 2483 python3-webencodings               	      13     1417     1351       53        0
 2484 python3-xapian                     	      13      143      126        4        0
 2485 qt6-svg-plugins                    	      13       67       17        3       34
 2486 sendmail-bin                       	      13       47       34        0        0
 2487 texlive-fonts-recommended          	      13      493       56        0      424
 2488 thin-provisioning-tools            	      13      587      565        9        0
 2489 tk                                 	      13     1127     1093       21        0
 2490 tnftp                              	      13     1078     1012       53        0
 2491 totem                              	      13      386      339       34        0
 2492 unhide                             	      13      157      143        1        0
 2493 vsftpd                             	      13       63       50        0        0
 2494 wine64                             	      13      456      436        7        0
 2495 yt-dlp                             	      13      833      763       57        0
 2496 bison                              	      12      563      545        6        0
 2497 cinnamon-l10n                      	      12      305       86       11      196
 2498 command-not-found                  	      12      108       93        3        0
 2499 dovecot-managesieved               	      12       28       16        0        0
 2500 dovecot-sieve                      	      12       54       42        0        0
 2501 dracut-install                     	      12      228      198       18        0
 2502 figlet                             	      12     1097     1030       55        0
 2503 firefox-esr-l10n-ru                	      12      129      102       15        0
 2504 fonts-linuxlibertine               	      12      797       55        0      730
 2505 gconf2                             	      12      372      357        3        0
 2506 gdm3                               	      12      158      128       17        1
 2507 geeqie                             	      12      194      179        3        0
 2508 gir1.2-gtksource-4                 	      12     1052       46        2      992
 2509 gnome-calculator                   	      12      441      395       34        0
 2510 gnome-software-common              	      12      382       93       12      265
 2511 konqueror                          	      12      549      492       45        0
 2512 lftp                               	      12     1103     1038       53        0
 2513 libavcodec60                       	      12      153       46        0       95
 2514 libavutil58                        	      12      193       51        0      130
 2515 libayatana-appindicator1           	      12      229       77       14      126
 2516 libayatana-indicator7              	      12      229       77       14      126
 2517 libbrlapi0.8                       	      12     2750       37        1     2700
 2518 libcacard0                         	      12      723       41        0      670
 2519 libclass-method-modifiers-perl     	      12     1203     1146       45        0
 2520 libcurl4t64                        	      12      274       35        1      226
 2521 libdbusmenu-gtk4                   	      12      362       79       14      257
 2522 libexpat1-dev                      	      12     1368     1323       33        0
 2523 libextutils-depends-perl           	      12     2433     2247      174        0
 2524 libfam0                            	      12      350       92        2      244
 2525 libffado2                          	      12      294      270       12        0
 2526 libgcr-ui-3-1                      	      12     2928       40        1     2875
 2527 libgexiv2-2                        	      12     2798       42        2     2742
 2528 libgtksourceview-4-common          	      12     2110       38        3     2057
 2529 libhtml-template-perl              	      12      393      378        3        0
 2530 libjson-maybexs-perl               	      12      665      619       34        0
 2531 libkf5akonadi-data                 	      12      541       37        0      492
 2532 libkf5dnssd-data                   	      12      707       40        0      655
 2533 libkf5unitconversion5              	      12      496       83       14      387
 2534 libkf6windowsystem6                	      12       85       28        3       42
 2535 libmbedcrypto16                    	      12      139       14        1      112
 2536 libmbedtls14                       	      12      741       34        0      695
 2537 libmbedx509-1                      	      12      741       34        0      695
 2538 libmime-types-perl                 	      12      677      650       15        0
 2539 libnet-idn-encode-perl             	      12       71       37        0       22
 2540 libnfs13                           	      12     2111       23        0     2076
 2541 libnvidia-cfg1                     	      12      170       45        1      112
 2542 libpam-ldapd                       	      12       32       19        0        1
 2543 libperl5.40                        	      12      173      146       15        0
 2544 libpng-dev                         	      12      884      841       31        0
 2545 libqt6printsupport6                	      12      369       24        2      331
 2546 libqt6qml6                         	      12      376       23        3      338
 2547 libqt6xml6                         	      12      443       32        3      396
 2548 libreoffice-style-elementary       	      12      415      362       41        0
 2549 libsidplayfp6                      	      12      491       14        0      465
 2550 libsrtp2-1                         	      12     2985       54        1     2918
 2551 liburiparser1                      	      12      774       23        0      739
 2552 libxml-sax-expat-perl              	      12     1325     1266       47        0
 2553 libzeitgeist-2.0-0                 	      12      114       32        1       69
 2554 libzzip-0-13                       	      12      608       12        0      584
 2555 linux-image-6.1.0-28-amd64         	      12      603      587        3        1
 2556 linux-image-6.12.9+bpo-amd64       	      12       23        7        4        0
 2557 logcheck                           	      12       61       48        1        0
 2558 logtail                            	      12       75       61        2        0
 2559 lrzsz                              	      12     1180     1112       56        0
 2560 mate-control-center                	      12      510      468       30        0
 2561 mime-construct                     	      12       73       60        1        0
 2562 minidlna                           	      12       47       35        0        0
 2563 mkdocs                             	      12      120      102        6        0
 2564 mtools                             	      12      583      551       20        0
 2565 munin-node                         	      12       74       60        2        0
 2566 nfacct                             	      12     1270     1203       55        0
 2567 nvidia-kernel-common               	      12      216      196        8        0
 2568 nvidia-smi                         	      12      165      145        8        0
 2569 php7.4-zip                         	      12       52       40        0        0
 2570 php8.2-sqlite3                     	      12       43       31        0        0
 2571 printer-driver-foo2zjs             	      12      569      549        8        0
 2572 privoxy                            	      12       49       37        0        0
 2573 pwgen                              	      12      309      292        5        0
 2574 python-apt                         	      12      177      164        1        0
 2575 python-pkg-resources               	      12      443      427        3        1
 2576 python3-html5lib                   	      12     1293     1239       41        1
 2577 python3-ldb                        	      12     1275     1191       72        0
 2578 python3-packaging                  	      12     1138     1086       40        0
 2579 python3-samba                      	      12      920      845       63        0
 2580 python3-systemd                    	      12      220      205        3        0
 2581 python3-tk                         	      12      850      745       19       74
 2582 python3-tz                         	      12     1160     1099       45        4
 2583 qdbus-qt5                          	      12      592      521       47       12
 2584 qml-module-org-kde-pipewire        	      12      407       76       10      309
 2585 rtmpdump                           	      12      917      859       46        0
 2586 ruby-gettext                       	      12      109       96        1        0
 2587 ruby-xmlrpc                        	      12     1017      984       21        0
 2588 subversion                         	      12      384      363        9        0
 2589 systemd-standalone-tmpfiles        	      12      103       90        1        0
 2590 t1utils                            	      12     1100     1041       47        0
 2591 toilet                             	      12     1301     1220       69        0
 2592 uml-utilities                      	      12       57       43        2        0
 2593 uno-libs3                          	      12      184      169        3        0
 2594 uptimed                            	      12       55       43        0        0
 2595 wavemon                            	      12     1043      979       52        0
 2596 xfce4-netload-plugin               	      12     1660       67        0     1581
 2597 xfonts-scalable                    	      12     3062       51        0     2999
 2598 xpdf                               	      12      235      220        3        0
 2599 zeitgeist-core                     	      12       96       82        2        0
 2600 apticron                           	      11       47       35        1        0
 2601 arj                                	      11      203      186        6        0
 2602 caja-open-terminal                 	      11       97       53        2       31
 2603 debian-goodies                     	      11      112       99        2        0
 2604 debsecan                           	      11       50       39        0        0
 2605 dnsutils                           	      11     1110      283        3      813
 2606 evolution-common                   	      11      250       71        5      163
 2607 fish                               	      11       92       79        2        0
 2608 flex                               	      11      525      508        6        0
 2609 frei0r-plugins                     	      11      244      228        5        0
 2610 gnome-shell                        	      11      173      144       18        0
 2611 i3status                           	      11      158      143        4        0
 2612 ibus-data                          	      11      779       85        1      682
 2613 ibus-gtk                           	      11      367       31        0      325
 2614 icu-devtools                       	      11      936      898       27        0
 2615 inxi                               	      11      603      552       40        0
 2616 korganizer                         	      11      475      416       48        0
 2617 kpackagetool5                      	      11     1125     1046       68        0
 2618 ksystemstats                       	      11      433      375       47        0
 2619 libapr1t64                         	      11       84       20        0       53
 2620 libaprutil1t64                     	      11       83       20        0       52
 2621 libaugeas0                         	      11      393       57        0      325
 2622 libauparse0                        	      11       42       14        0       17
 2623 libauthen-pam-perl                 	      11       72       38        1       22
 2624 libavcodec-extra59                 	      11       74       54        0        9
 2625 libcscreensaver0                   	      11      261       50        2      198
 2626 libevent-pthreads-2.1-7            	      11      570       24        0      535
 2627 libffi-dev                         	      11     1049     1008       30        0
 2628 libfile-copy-recursive-perl        	      11      289      274        4        0
 2629 libgps28                           	      11      614       52        0      551
 2630 libicu63                           	      11      377       26        1      339
 2631 libkf5dbusaddons-bin               	      11     1154     1074       69        0
 2632 libkf5holidays5                    	      11      571       61        1      498
 2633 libkf5iconthemes-bin               	      11     1114     1035       68        0
 2634 libkf6configcore6                  	      11       85       29        3       42
 2635 libkf6notifications6               	      11       84       28        3       42
 2636 libkvazaar7                        	      11       55       27        1       16
 2637 libmime-lite-perl                  	      11      587      566       10        0
 2638 libminizip1t64                     	      11      154       36        2      105
 2639 libmonitoring-plugin-perl          	      11       28       17        0        0
 2640 libmujs2                           	      11      869       19        0      839
 2641 libnet-domain-tld-perl             	      11      745      699       35        0
 2642 libnetcf1                          	      11      156       56        0       89
 2643 libnginx-mod-http-geoip            	      11       53       42        0        0
 2644 libnginx-mod-http-image-filter     	      11       53       42        0        0
 2645 libnginx-mod-http-xslt-filter      	      11       52       41        0        0
 2646 libnginx-mod-mail                  	      11       52       41        0        0
 2647 libntfs-3g89                       	      11     2269       75        4     2179
 2648 libostree-1-1                      	      11      683       56        5      611
 2649 libpath-tiny-perl                  	      11      767      719       37        0
 2650 libplasma-geolocation-interface5   	      11      541       54        0      476
 2651 libpython3-dev                     	      11     1047      993       43        0
 2652 libpython3.11-dev                  	      11      778      738       29        0
 2653 libqalculate22                     	      11      456       60       14      371
 2654 libqt6opengl6                      	      11      412       21        3      377
 2655 libqt6sql6                         	      11      374       20        2      341
 2656 libreadonly-perl                   	      11      759      737       11        0
 2657 libreoffice-librelogo              	      11      277      261        5        0
 2658 libreoffice-uiconfig-common        	      11      253      225       17        0
 2659 libvncclient1                      	      11     1359       46        0     1302
 2660 mariadb-client-10.1                	      11       37       26        0        0
 2661 mariadb-server-10.1                	      11       32       21        0        0
 2662 minissdpd                          	      11       79       68        0        0
 2663 moc                                	      11     1034      966       57        0
 2664 mono-runtime-sgen                  	      11      320      301        8        0
 2665 neofetch                           	      11      434      402       21        0
 2666 nut-client                         	      11       69       57        1        0
 2667 nut-server                         	      11       64       52        1        0
 2668 nvidia-persistenced                	      11       76       63        1        1
 2669 php7.0-mbstring                    	      11       34       23        0        0
 2670 php7.3-xml                         	      11       42       31        0        0
 2671 php8.2-imap                        	      11       34       23        0        0
 2672 po-debconf                         	      11      928      902       15        0
 2673 python3-talloc                     	      11     1273     1188       73        1
 2674 qt6-image-formats-plugins          	      11      205       20        3      171
 2675 rpm-common                         	      11      338      319        8        0
 2676 ruby-debian                        	      11       90       78        1        0
 2677 slapd                              	      11       35       24        0        0
 2678 tcsh                               	      11      114      103        0        0
 2679 texlive-latex-extra                	      11      430      413        6        0
 2680 tk8.6-blt2.5                       	      11      898      867       20        0
 2681 virtualbox-7.1                     	      11       42       29        2        0
 2682 wine32                             	      11      319      301        7        0
 2683 wireshark-common                   	      11      369      355        3        0
 2684 wsdd                               	      11       75       56        8        0
 2685 x11vnc                             	      11      174      159        4        0
 2686 xbindkeys                          	      11       55       41        3        0
 2687 xdg-desktop-portal-gnome           	      11      109       83       15        0
 2688 xdotool                            	      11      260      240        9        0
 2689 xserver-xorg-input-evdev           	      11      255      240        4        0
 2690 xtrans-dev                         	      11     1181     1135       35        0
 2691 zutty                              	      11      398      357       30        0
 2692 7zip                               	      10      305      275       19        1
 2693 aisleriot                          	      10      417      370       37        0
 2694 attr                               	      10      533      516        7        0
 2695 bsdgames                           	      10     1040      974       56        0
 2696 caca-utils                         	      10     1267     1189       68        0
 2697 cmatrix                            	      10     1050      985       55        0
 2698 dc                                 	      10      804      784       10        0
 2699 debhelper                          	      10      910      880       20        0
 2700 dh-autoreconf                      	      10      905      880       15        0
 2701 dh-strip-nondeterminism            	      10      902      872       20        0
 2702 dwz                                	      10      866      840       16        0
 2703 exim4-daemon-heavy                 	      10       22       12        0        0
 2704 fbterm                             	      10     1047      983       54        0
 2705 fonts-noto-cjk-extra               	      10      846       59        0      777
 2706 fonts-unifont                      	      10      154       35        0      109
 2707 gedit                              	      10      383      344       29        0
 2708 genisoimage                        	      10     1082     1026       46        0
 2709 gir1.2-caja-2.0                    	      10       91       51        2       28
 2710 gufw                               	      10      120      106        4        0
 2711 icoutils                           	      10      313      296        7        0
 2712 kactivities-bin                    	      10     1043      970       63        0
 2713 konq-plugins                       	      10      478      421       47        0
 2714 kpackagelauncherqml                	      10     1054      978       66        0
 2715 kpartx                             	      10      232      218        4        0
 2716 libabsl20200923                    	      10      131       64        2       55
 2717 libapache2-mod-dnssd               	      10      443      395       38        0
 2718 libapache2-mod-php5                	      10       43       33        0        0
 2719 libappstream5                      	      10      176       43        5      118
 2720 libarchive13t64                    	      10      245       34        3      198
 2721 libavif9                           	      10      102       58        2       32
 2722 libcamel-1.2-64t64                 	      10       80       27        4       39
 2723 libcdio19t64                       	      10      229       13        1      205
 2724 libclang-cpp14                     	      10      339      322        7        0
 2725 libclone-perl                      	      10     3357       26        0     3321
 2726 libconfig-inifiles-perl            	      10      555      536        9        0
 2727 libdata-validate-ip-perl           	      10      529      490       29        0
 2728 libebackend-1.2-11t64              	      10       63       27        4       22
 2729 libebook-1.2-21t64                 	      10       63       27        4       22
 2730 libebook-contacts-1.2-4t64         	      10       68       27        4       27
 2731 libecap3                           	      10       50       28        0       12
 2732 libedata-book-1.2-27t64            	      10       63       27        4       22
 2733 libedataserver-1.2-27t64           	      10       78       27        4       37
 2734 libelf-dev                         	      10      316      298        8        0
 2735 libgav1-0                          	      10      102       58        2       32
 2736 libgee-0.8-2                       	      10     1433       50        2     1371
 2737 libgnome-bg-4-2                    	      10      301       66       15      210
 2738 libgnome-desktop-4-2               	      10      354       66       15      263
 2739 libhiredis0.14                     	      10      205       29        0      166
 2740 libhpmud0                          	      10      717       54        0      653
 2741 libilmbase25                       	      10      601       57        2      532
 2742 libkf6statusnotifieritem6          	      10       41       16        2       13
 2743 liblayershellqtinterface5          	      10      429       37        2      380
 2744 liblc3-0                           	      10      409       44        1      354
 2745 liblirc0                           	      10       60       27        0       23
 2746 libmono-corlib4.5-dll              	      10      268      251        7        0
 2747 libmono-security4.0-cil            	      10      319      302        7        0
 2748 libmpdec3                          	      10      765       42        2      711
 2749 libnet1                            	      10      311       17        0      284
 2750 libnextcloudsync0                  	      10       86       34        0       42
 2751 libnginx-mod-http-geoip2           	      10       35       25        0        0
 2752 libnginx-mod-stream-geoip2         	      10       34       24        0        0
 2753 libopenexr25                       	      10      597       57        2      528
 2754 libotr5                            	      10     1531       15        0     1506
 2755 libpam-tmpdir                      	      10       43       33        0        0
 2756 libqt6qmlmodels6                   	      10      374       20        3      341
 2757 libqt6quick6                       	      10      373       20        3      340
 2758 libregexp-assemble-perl            	      10      548      521       17        0
 2759 libreoffice-kf5                    	      10      410      349       51        0
 2760 libreoffice-plasma                 	      10      426      349       51       16
 2761 libreoffice-qt5                    	      10      539      469       60        0
 2762 librewolf                          	      10       95       77        8        0
 2763 libruby2.3                         	      10       73       63        0        0
 2764 libruby3.1t64                      	      10       82       69        3        0
 2765 libsasl2-modules-kdexoauth2        	      10      488       28        1      449
 2766 libtree-sitter0                    	      10      172        9        0      153
 2767 libvlc5                            	      10     1682       59        0     1613
 2768 libvlccore9                        	      10     1665       58        0     1597
 2769 libwine                            	      10      527      471       12       34
 2770 libwireplumber-0.4-0               	      10      838       53        1      774
 2771 libwww-mechanize-perl              	      10      534      494       30        0
 2772 libxau-dev                         	      10     1184     1138       36        0
 2773 libxdmcp-dev                       	      10     1184     1138       36        0
 2774 libxenmisc4.14                     	      10      126       58        0       58
 2775 libxenstore3.0                     	      10      228       59        0      159
 2776 libxfce4windowing-0-0              	      10       56       20        3       23
 2777 linux-image-6.1.0-29-amd64         	      10      127      108        7        2
 2778 linux-image-6.12.11-amd64          	      10       21        4        7        0
 2779 lirc                               	      10       44       34        0        0
 2780 llvm-14-linker-tools               	      10      276      261        5        0
 2781 lsscsi                             	      10      273      259        4        0
 2782 milou                              	      10      554       45        2      497
 2783 moreutils                          	      10      166      153        3        0
 2784 msmtp-mta                          	      10       63       52        1        0
 2785 netfilter-persistent               	      10      112      101        1        0
 2786 nm-connection-editor               	      10       70       49       11        0
 2787 ntpdate                            	      10      679      236        1      432
 2788 php7.0-gd                          	      10       25       15        0        0
 2789 php7.3-mysql                       	      10       38       28        0        0
 2790 php8.2-msgpack                     	      10       18        8        0        0
 2791 php8.2-redis                       	      10       27       17        0        0
 2792 python3-acme                       	      10      184      173        1        0
 2793 python3-blinker                    	      10      993      903       80        0
 2794 python3-caja                       	      10       86       51        2       23
 2795 python3-certbot-apache             	      10       69       59        0        0
 2796 python3-coloredlogs                	      10       66       55        1        0
 2797 python3-configobj                  	      10      500      479       11        0
 2798 python3-dev                        	      10     1036      982       43        1
 2799 python3-multidict                  	      10      116      103        3        0
 2800 python3-netifaces                  	      10      371      353        8        0
 2801 python3-pyatspi                    	      10     2365     2166      188        1
 2802 qml-module-org-kde-sonnet          	      10      466       70        9      377
 2803 reiserfsprogs                      	      10      157      145        2        0
 2804 rpm                                	      10      217      204        3        0
 2805 ruby-webrick                       	      10      742      716       16        0
 2806 shim-unsigned                      	      10     1508     1379      119        0
 2807 signal-desktop                     	      10      159       33        0      116
 2808 snmp                               	      10      155      144        1        0
 2809 squashfs-tools                     	      10      399      379       10        0
 2810 squid                              	      10       44       34        0        0
 2811 strace                             	      10      692      671       11        0
 2812 syslog-ng-core                     	      10       31       21        0        0
 2813 terminator                         	      10       97       83        4        0
 2814 texinfo                            	      10      670      650       10        0
 2815 ttyrec                             	      10     1021      958       53        0
 2816 virt-viewer                        	      10      363      348        5        0
 2817 virtinst                           	      10      360      340        5        5
 2818 xfce4-battery-plugin               	      10     1663       52        0     1601
 2819 xfce4-weather-plugin               	      10     1657       63        4     1580
 2820 xinput                             	      10      285      269        5        1
 2821 zabbix-agent                       	      10       50       39        1        0
 2822 abook                              	       9     1016      953       54        0
 2823 apt-dater-host                     	       9       28       18        1        0
 2824 catdoc                             	       9     1003      929       65        0
 2825 cinnamon-desktop-data              	       9      316       73        9      225
 2826 clex                               	       9     1014      953       52        0
 2827 cmake-data                         	       9      682      648       25        0
 2828 conky-std                          	       9       87       75        3        0
 2829 courier-authlib                    	       9       35       26        0        0
 2830 diodon                             	       9       55       44        2        0
 2831 doc-base                           	       9      173      164        0        0
 2832 eflite                             	       9     1001      939       53        0
 2833 entr                               	       9     1018      955       54        0
 2834 eskatos-monitoring-plugins         	       9       15        6        0        0
 2835 eskatos-reboot-notifier            	       9       15        6        0        0
 2836 exfat-fuse                         	       9      819      793       17        0
 2837 fbi                                	       9     1024      957       58        0
 2838 file-roller                        	       9      466      419       38        0
 2839 firefox                            	       9      116      103        3        1
 2840 firmware-amd-graphics              	       9     1009      972       28        0
 2841 fonts-arphic-gkai00mp              	       9      109       19        0       81
 2842 fonts-sil-gentium                  	       9      816       26        0      781
 2843 fonts-sil-gentium-basic            	       9      818       28        0      781
 2844 fping                              	       9      147      136        2        0
 2845 frameworkintegration               	       9      537       41        2      485
 2846 gcc-14                             	       9      196      174       13        0
 2847 gcc-14-x86-64-linux-gnu            	       9      191      169       13        0
 2848 gcc-x86-64-linux-gnu               	       9      215      192       14        0
 2849 gir1.2-atspi-2.0                   	       9     2649       71       11     2558
 2850 gir1.2-pluma-1.0                   	       9      488       15        2      462
 2851 gir1.2-rsvg-2.0                    	       9      715       59       10      637
 2852 gir1.2-soup-2.4                    	       9     1911       46        3     1853
 2853 gnome-screenshot                   	       9      462      418       35        0
 2854 gnome-settings-daemon              	       9      427       66       11      341
 2855 hexchat                            	       9      363      323       31        0
 2856 info                               	       9      612      596        7        0
 2857 inotify-tools                      	       9      153      140        4        0
 2858 jhead                              	       9     1042      979       54        0
 2859 kwrite                             	       9      547      489       49        0
 2860 libapache2-mod-fcgid               	       9       41       32        0        0
 2861 libavahi-ui-gtk3-0                 	       9      528       24        0      495
 2862 libavfilter7                       	       9      737       26        1      701
 2863 libbatik-java                      	       9      596      571       15        1
 2864 libcarp-clan-perl                  	       9      442      424        9        0
 2865 libconfig9                         	       9      343       35        2      297
 2866 libcpupower1                       	       9      578       28        2      539
 2867 libdata-validate-domain-perl       	       9      627      585       33        0
 2868 libdate-calc-perl                  	       9      434      416        9        0
 2869 libdatetime-format-strptime-perl   	       9      281      268        4        0
 2870 libdevel-globaldestruction-perl    	       9      481      466        6        0
 2871 libdjvulibre21                     	       9     3390       15        0     3366
 2872 libfcgi-bin                        	       9      945      899       37        0
 2873 libffmpegthumbnailer4v5            	       9      948       20        0      919
 2874 libfile-find-rule-perl             	       9      914      852       53        0
 2875 libfont-ttf-perl                   	       9      672      630       33        0
 2876 libgdm1                            	       9      201      173       19        0
 2877 libgeoclue-2-0                     	       9      560       52       11      488
 2878 libglib2.0-dev-bin                 	       9      790      739       42        0
 2879 libgmime-3.0-0                     	       9      107       11        0       87
 2880 libgpgmepp6t64                     	       9      223       33        2      179
 2881 libhtml-tokeparser-simple-perl     	       9      558      520       29        0
 2882 libical3t64                        	       9       98       40        3       46
 2883 libimport-into-perl                	       9      838      789       40        0
 2884 libio-pty-perl                     	       9      569       37        0      523
 2885 libip4tc2                          	       9     2135       33        1     2092
 2886 libivykis0                         	       9       29       14        0        6
 2887 libkf5newstuff-data                	       9      895       59        3      824
 2888 libkf6archive6                     	       9       70       19        3       39
 2889 libkf6breezeicons6                 	       9       67       17        3       38
 2890 libkf6codecs6                      	       9       68       17        3       39
 2891 libkf6colorscheme6                 	       9       81       23        3       46
 2892 libkf6configgui6                   	       9       81       23        3       46
 2893 libkf6configwidgets6               	       9       67       17        2       39
 2894 libkf6coreaddons-data              	       9       83       27        3       44
 2895 libkf6coreaddons6                  	       9       81       27        3       42
 2896 libkf6crash6                       	       9       72       25        3       35
 2897 libkf6dbusaddons6                  	       9       80       25        3       43
 2898 libkf6globalaccel6                 	       9       54       17        2       26
 2899 libkf6guiaddons6                   	       9       82       24        3       46
 2900 libkf6i18n6                        	       9       81       27        3       42
 2901 libkf6iconthemes6                  	       9       67       17        3       38
 2902 libkf6iconwidgets6                 	       9       67       17        3       38
 2903 libkf6itemviews6                   	       9       67       17        3       38
 2904 libkf6service6                     	       9       66       21        3       33
 2905 libkf6solid6                       	       9       67       21        3       34
 2906 libkf6widgetsaddons-data           	       9       82       23        3       47
 2907 libkf6widgetsaddons6               	       9       81       23        3       46
 2908 libkf6xmlgui6                      	       9       54       17        2       26
 2909 libksba8                           	       9     4118       26        1     4082
 2910 libltdl-dev                        	       9     1091     1054       28        0
 2911 liblxqt-l10n                       	       9      274       55        1      209
 2912 libmail-sendmail-perl              	       9      937      912       16        0
 2913 libmime-tools-perl                 	       9      338      323        6        0
 2914 libmono-system4.0-cil              	       9      319      303        7        0
 2915 libmspack0t64                      	       9       90       24        1       56
 2916 libnet-netmask-perl                	       9      547      506       32        0
 2917 libnet-snmp-perl                   	       9      142      131        2        0
 2918 libodbc2                           	       9      849       27        0      813
 2919 libpcap0.8t64                      	       9      248       33        1      205
 2920 libpostproc55                      	       9      762       28        1      724
 2921 libptytty0                         	       9      128       27        0       92
 2922 libqt5websockets5                  	       9      290       37        0      244
 2923 libqt6core5compat6                 	       9      156       22        2      123
 2924 libqt6multimedia6                  	       9      142       15        3      115
 2925 libqt6quickwidgets6                	       9      290       17        2      262
 2926 libraw23t64                        	       9      237       31        2      195
 2927 libreadline-dev                    	       9      438      417        7        5
 2928 libreoffice-gnome                  	       9      388      338       41        0
 2929 libreoffice-l10n-fr                	       9       89       75        5        0
 2930 libsane-hpaio                      	       9      611      542        8       52
 2931 libscalar-list-utils-perl          	       9      139       25        0      105
 2932 libsdl2-dev                        	       9      227      211        7        0
 2933 libuno-cppu3t64                    	       9      185      161       15        0
 2934 libuno-cppuhelpergcc3-3t64         	       9      185      161       15        0
 2935 libuno-sal3t64                     	       9      185      161       15        0
 2936 libuno-salhelpergcc3-3t64          	       9      185      161       15        0
 2937 libwacom-bin                       	       9     1345     1312       24        0
 2938 libwutil5                          	       9       85       19        0       57
 2939 libxcb-ewmh2                       	       9      190       32        2      147
 2940 libxml-simple-perl                 	       9      248      236        3        0
 2941 links2                             	       9     1109     1044       56        0
 2942 lintian                            	       9      659      617       33        0
 2943 linux-image-6.1.0-10-amd64         	       9     1263     1086      167        1
 2944 linux-image-6.1.0-31-amd64         	       9      229        0      219        1
 2945 llvm-15-dev                        	       9       56       47        0        0
 2946 lz4                                	       9      151      140        2        0
 2947 mariadb-client-10.5                	       9       71       61        1        0
 2948 mariadb-client-core-10.5           	       9      140      128        3        0
 2949 mate-applets-common                	       9      495       45        2      439
 2950 mercurial-common                   	       9      200      188        3        0
 2951 mono-runtime                       	       9      320      303        8        0
 2952 nextcloud-desktop                  	       9       86       77        0        0
 2953 nextcloud-desktop-l10n             	       9       96       42        0       45
 2954 nginx-core                         	       9       28       14        0        5
 2955 nullmailer                         	       9       26       17        0        0
 2956 openbox-lxde-session               	       9      182      157       16        0
 2957 opendoas                           	       9       76       65        1        1
 2958 pacman4console                     	       9      979      918       52        0
 2959 php-psr-container                  	       9       92       83        0        0
 2960 php-psr-log                        	       9       98       89        0        0
 2961 php-symfony-filesystem             	       9       92       83        0        0
 2962 php-symfony-service-contracts      	       9       91       82        0        0
 2963 php7.3-mbstring                    	       9       36       27        0        0
 2964 php8.2-memcached                   	       9       16        7        0        0
 2965 printer-driver-postscript-hp       	       9      676      659        8        0
 2966 python3-attr                       	       9      988      935       44        0
 2967 python3-frozenlist                 	       9       91       79        3        0
 2968 python3-openssl                    	       9      699      669       20        1
 2969 python3-ply                        	       9      797      761       27        0
 2970 python3-pyasn1-modules             	       9      346      321       16        0
 2971 python3-reportlab                  	       9      662      644        8        1
 2972 python3-software-properties        	       9     1040      953       78        0
 2973 python3-soupsieve                  	       9     1263     1212       42        0
 2974 python3-yarl                       	       9      103       91        3        0
 2975 python3.11-dev                     	       9      766      728       29        0
 2976 qml-module-qtquick-privatewidgets  	       9     1059       56        6      988
 2977 qt5ct                              	       9       91       81        1        0
 2978 quota                              	       9       56       46        1        0
 2979 remmina-plugin-rdp                 	       9      442       21        0      412
 2980 remmina-plugin-vnc                 	       9      443       23        0      411
 2981 rpl                                	       9     1012      950       53        0
 2982 ruby-did-you-mean                  	       9      192      182        1        0
 2983 ruby-minitest                      	       9      663      644       10        0
 2984 ruby-power-assert                  	       9      662      644        9        0
 2985 ruby-test-unit                     	       9      660      642        9        0
 2986 samba-dsdb-modules                 	       9      907        8        0      890
 2987 shim-helpers-amd64-signed          	       9     1503     1376      118        0
 2988 shim-signed                        	       9     1502     1375      118        0
 2989 slick-greeter                      	       9       70       52        9        0
 2990 software-properties-gtk            	       9      437      389       39        0
 2991 sudo-ldap                          	       9       16        7        0        0
 2992 swh-plugins                        	       9      229      215        5        0
 2993 swtpm                              	       9      278      263        6        0
 2994 systemd-standalone-sysusers        	       9      347      312       26        0
 2995 taskwarrior                        	       9     1028      964       55        0
 2996 tdb-tools                          	       9      429      407       13        0
 2997 teamviewer                         	       9       60       48        3        0
 2998 tftpd-hpa                          	       9       55       46        0        0
 2999 thunderbird-l10n-de                	       9       82       70        3        0
 3000 tigervnc-standalone-server         	       9      101       91        1        0
 3001 tightvncserver                     	       9      127      116        2        0
 3002 transmission-daemon                	       9       42       33        0        0
 3003 tumbler-common                     	       9     1830       72        4     1745
 3004 unhide.rb                          	       9      140      131        0        0
 3005 unscd                              	       9       16        7        0        0
 3006 vlc-plugin-skins2                  	       9     1115     1069       37        0
 3007 wodim                              	       9      747      698       40        0
 3008 yasr                               	       9     1007      944       54        0
 3009 zfsutils-linux                     	       9       74       61        4        0
 3010 zile                               	       9     1018      956       53        0
 3011 apper                              	       8       90       80        2        0
 3012 arandr                             	       8      166      154        4        0
 3013 cabextract                         	       8      572      554       10        0
 3014 caja-image-converter               	       8       62       27        2       25
 3015 caja-sendto                        	       8       70       58        4        0
 3016 calcurse                           	       8     1011      951       52        0
 3017 cdrdao                             	       8      826      769       49        0
 3018 clamav                             	       8      278      263        7        0
 3019 courier-base                       	       8       21       13        0        0
 3020 daemon                             	       8       88       80        0        0
 3021 debsums                            	       8      219      205        6        0
 3022 diffstat                           	       8      757      712       37        0
 3023 dos2unix                           	       8      225      217        0        0
 3024 dovecot-lmtpd                      	       8       41       32        1        0
 3025 dselect                            	       8      143      135        0        0
 3026 duplicity                          	       8      287      253       26        0
 3027 dvtm                               	       8     1010      950       52        0
 3028 easy-rsa                           	       8      468      449       11        0
 3029 edbrowse                           	       8     1019      955       56        0
 3030 elinks                             	       8      150      141        1        0
 3031 emacs-nox                          	       8      107       96        2        1
 3032 eskatos-config                     	       8       11        3        0        0
 3033 fonts-noto-ui-extra                	       8      846       34        0      804
 3034 gir1.2-gstreamer-1.0               	       8     2750       78        1     2663
 3035 gnustep-base-runtime               	       8      791      728       55        0
 3036 hasciicam                          	       8      983      923       52        0
 3037 i3lock                             	       8      158      144        6        0
 3038 iceweasel                          	       8       89       74        7        0
 3039 joe                                	       8      185      175        2        0
 3040 kde-spectacle                      	       8      519      462       49        0
 3041 kdeconnect-libs                    	       8       31       15        3        5
 3042 kded6                              	       8       66       52        6        0
 3043 keditbookmarks                     	       8      968      895       65        0
 3044 khelpcenter                        	       8      628      568       52        0
 3045 kwallet6                           	       8       80       66        6        0
 3046 kwalletmanager                     	       8      565      508       49        0
 3047 libaudio2                          	       8     2872       29        0     2835
 3048 libavahi-compat-libdnssd1          	       8      146       23        0      115
 3049 libcdio-cdda2t64                   	       8      189       11        1      169
 3050 libcdio-paranoia2t64               	       8      189       11        1      169
 3051 libcharon-extauth-plugins          	       8       67       57        2        0
 3052 libclang1-14                       	       8      375      358        9        0
 3053 libdiodon0                         	       8       55       20        1       26
 3054 libevdocument3-4                   	       8     1103       31        1     1063
 3055 libexiv2-28                        	       8      127       22        2       95
 3056 libflatpak0                        	       8      460       31        4      417
 3057 libfreerdp-client2-2               	       8      526       19        0      499
 3058 libfreerdp2-2                      	       8      682       20        0      654
 3059 libgjs0g                           	       8      551       65       10      468
 3060 libgl-dev                          	       8      808      771       29        0
 3061 libglib2.0-dev                     	       8      807      709       27       63
 3062 libgnome-menu-3-0                  	       8      293       37        1      247
 3063 libgtk-4-bin                       	       8      934      856       70        0
 3064 libimath-3-1-29t64                 	       8      248       31        2      207
 3065 libinireader0                      	       8      136       21        2      105
 3066 libjsoncpp25                       	       8      739       36        2      693
 3067 libkf5purpose-bin                  	       8      791       38        1      744
 3068 libkf6authcore6                    	       8       66       21        3       34
 3069 libkf6kiocore6                     	       8       66       21        3       34
 3070 libkf6kiogui6                      	       8       66       20        3       35
 3071 liblayershellqtinterface6          	       8       28       15        2        3
 3072 liblockfile1                       	       8     1530       29        0     1493
 3073 liblxc1                            	       8       87       20        0       59
 3074 libmcrypt4                         	       8      159       11        0      140
 3075 libmodule-pluggable-perl           	       8      319      306        5        0
 3076 libmono-system-core4.0-cil         	       8      318      303        7        0
 3077 libmousepad0                       	       8     1427       33        1     1385
 3078 libnet-cidr-perl                   	       8      171      159        4        0
 3079 libnginx-mod-stream-geoip          	       8       31       23        0        0
 3080 libpam-wtmpdb                      	       8       51       34        7        2
 3081 libperl4-corelibs-perl             	       8     1113     1054       51        0
 3082 libpipewire-0.3-common             	       8     2343       22        1     2312
 3083 libpng-tools                       	       8      763      726       29        0
 3084 libpython3.9                       	       8      674       39        0      627
 3085 libqrcodegencpp1                   	       8      607       44        1      554
 3086 libqt5virtualkeyboard5             	       8      507       34        7      458
 3087 libqt5xml5t64                      	       8      157       46        0      103
 3088 libqt6bluetooth6                   	       8       34       15        3        8
 3089 libqt6positioning6                 	       8      244       13        2      221
 3090 libqt6sql6-sqlite                  	       8      347       16        2      321
 3091 libqtermwidget5-1                  	       8      237       38        2      189
 3092 libregexp-common-perl              	       8      325      312        5        0
 3093 libreoffice-base-drivers           	       8      859      816       35        0
 3094 libreoffice-uiconfig-calc          	       8      241      214       19        0
 3095 libruby2.5                         	       8      119      110        1        0
 3096 libsctp1                           	       8      359       36        0      315
 3097 libssl1.0.0                        	       8      335       14        0      313
 3098 libstdc++-10-dev                   	       8      595      582        5        0
 3099 libstrictures-perl                 	       8      745      703       34        0
 3100 libstrongswan                      	       8       77       66        3        0
 3101 libstrongswan-standard-plugins     	       8       75       64        3        0
 3102 libtag-c2                          	       8       42        7        2       25
 3103 libtag2                            	       8       64        7        2       47
 3104 libvirglrenderer1                  	       8      538       23        0      507
 3105 libwings3                          	       8       85       14        0       63
 3106 libwinpr2-2                        	       8      685       20        0      657
 3107 libwireplumber-0.5-0               	       8      110       14        0       88
 3108 libwraster6                        	       8       82       14        0       60
 3109 libwtmpdb0                         	       8       54       34        7        5
 3110 lldpd                              	       8       24       16        0        0
 3111 lxqt-panel-l10n                    	       8      242       55        1      178
 3112 lxqt-runner-l10n                   	       8      243       55        1      179
 3113 lxqt-session-l10n                  	       8      261       55        1      197
 3114 mate-system-monitor                	       8      509      470       31        0
 3115 mcabber                            	       8     1007      945       54        0
 3116 mime-support                       	       8     3985      467        4     3506
 3117 monit                              	       8       27       19        0        0
 3118 multitail                          	       8     1031      969       54        0
 3119 nautilus                           	       8      212      187       17        0
 3120 network-manager-openconnect        	       8       49       38        3        0
 3121 notification-daemon                	       8     1385     1343       34        0
 3122 obs-studio                         	       8      155      142        5        0
 3123 open-iscsi                         	       8       47       38        1        0
 3124 patchutils                         	       8      749      707       34        0
 3125 pbzip2                             	       8      276      266        2        0
 3126 php-google-recaptcha               	       8       50       42        0        0
 3127 php-mariadb-mysql-kbs              	       8       50       42        0        0
 3128 php-phpmyadmin-motranslator        	       8       52       44        0        0
 3129 php-phpmyadmin-shapefile           	       8       50       42        0        0
 3130 php-phpmyadmin-sql-parser          	       8       49       41        0        0
 3131 php-psr-cache                      	       8       55       47        0        0
 3132 php-symfony-cache                  	       8       53       45        0        0
 3133 php-symfony-cache-contracts        	       8       52       44        0        0
 3134 php-symfony-config                 	       8       51       43        0        0
 3135 php-symfony-dependency-injection   	       8       51       43        0        0
 3136 php-symfony-expression-language    	       8       53       45        0        0
 3137 php-symfony-var-exporter           	       8       52       44        0        0
 3138 php-twig                           	       8       54       46        0        0
 3139 php-twig-i18n-extension            	       8       49       41        0        0
 3140 php5-gd                            	       8       32       23        0        1
 3141 php5-mysql                         	       8       37       28        0        1
 3142 php7.3-gd                          	       8       34       26        0        0
 3143 php7.4-pgsql                       	       8       25       17        0        0
 3144 phpmyadmin                         	       8       64       56        0        0
 3145 pinentry-gtk2                      	       8      376      364        4        0
 3146 plzip                              	       8      392      354       30        0
 3147 printer-driver-cups-pdf            	       8      204      188        8        0
 3148 printer-driver-hpcups              	       8      693      677        8        0
 3149 python                             	       8      504      491        5        0
 3150 python-crypto                      	       8      192      183        1        0
 3151 python-imaging                     	       8       59       51        0        0
 3152 python3-certbot-nginx              	       8       25       17        0        0
 3153 python3-dbus.mainloop.pyqt5        	       8      180      166        5        1
 3154 python3-gst-1.0                    	       8      219      207        4        0
 3155 python3-kiwisolver                 	       8      544      520       15        1
 3156 python3-markdown                   	       8      730      706       16        0
 3157 python3-more-itertools             	       8      764      726       30        0
 3158 python3-nacl                       	       8      461      423       30        0
 3159 python3-psycopg2                   	       8      142      131        3        0
 3160 python3-ptyprocess                 	       8     1152     1097       46        1
 3161 python3-sympy                      	       8      466      446       12        0
 3162 python3-websockets                 	       8      855      797       50        0
 3163 qml-module-qtquick-virtualkeyboard 	       8      522       34        7      473
 3164 rar                                	       8      204      190        6        0
 3165 razor                              	       8       23       15        0        0
 3166 realmd                             	       8      405      364       33        0
 3167 remmina-plugin-secret              	       8      434       22        0      404
 3168 sasl2-bin                          	       8       55       46        1        0
 3169 sc                                 	       8     1027      965       54        0
 3170 strongswan-charon                  	       8       71       61        2        0
 3171 strongswan-libcharon               	       8       73       63        2        0
 3172 strongswan-starter                 	       8       71       61        2        0
 3173 telegram-desktop                   	       8      141      131        2        0
 3174 thunderbird-l10n-fr                	       8       36       27        1        0
 3175 tigervnc-viewer                    	       8      163      153        2        0
 3176 tin                                	       8     1000      937       55        0
 3177 virtualbox-6.1                     	       8       56       48        0        0
 3178 vlc-plugin-base                    	       8     1625       52        0     1565
 3179 webmin                             	       8       66       57        1        0
 3180 wmaker                             	       8       70       61        1        0
 3181 x2goserver                         	       8       65       55        2        0
 3182 zerofree                           	       8      261      246        7        0
 3183 acct                               	       7       45       37        1        0
 3184 akregator                          	       7      473      418       48        0
 3185 apt-listbugs                       	       7       69       61        1        0
 3186 aspell-de                          	       7      386      364       15        0
 3187 backintime-common                  	       7       32       25        0        0
 3188 barrier                            	       7       35       28        0        0
 3189 bastet                             	       7      983      923       53        0
 3190 caja-eiciel                        	       7       58       47        4        0
 3191 caja-gtkhash                       	       7       60       27        2       24
 3192 cavezofphear                       	       7      991      933       51        0
 3193 cdparanoia                         	       7      524      504       13        0
 3194 chromium-l10n                      	       7      135      116       12        0
 3195 cowsay                             	       7     1049      990       52        0
 3196 debootstrap                        	       7      491      472       12        0
 3197 dh-python                          	       7      367      358        2        0
 3198 dhcpcd-base                        	       7       76       59       10        0
 3199 dictd                              	       7       37       29        1        0
 3200 discord                            	       7      133      119        7        0
 3201 emacs-bin-common                   	       7      457      439       11        0
 3202 espeak                             	       7     1044      982       55        0
 3203 espeakup                           	       7      979      916       56        0
 3204 evolution                          	       7      235      211       17        0
 3205 fdupes                             	       7      124      116        1        0
 3206 featherpad                         	       7      272      247       18        0
 3207 firebird3.0-utils                  	       7      707      679       21        0
 3208 fonts-hosny-amiri                  	       7      118       19        0       92
 3209 fonts-ipafont-gothic               	       7      157       30        0      120
 3210 fonts-terminus-otb                 	       7      181       26        0      148
 3211 fonts-unfonts-core                 	       7      122       17        0       98
 3212 fonts-wqy-zenhei                   	       7       78       26        1       44
 3213 g++-10                             	       7      553      541        5        0
 3214 galera-4                           	       7      275      262        6        0
 3215 gamemode-daemon                    	       7       82       71        4        0
 3216 gdebi                              	       7      462      423       32        0
 3217 geoip-bin                          	       7     1085     1024       54        0
 3218 gir1.2-gdesktopenums-3.0           	       7      465       48       10      400
 3219 gir1.2-polkit-1.0                  	       7     2361       52       11     2291
 3220 gir1.2-soup-3.0                    	       7      556       54       11      484
 3221 gnome-desktop3-data                	       7     1455       56       11     1381
 3222 gsettings-desktop-schemas          	       7     3757       23        1     3726
 3223 gucharmap                          	       7      427      392       28        0
 3224 haproxy                            	       7       15        8        0        0
 3225 hplip-gui                          	       7      112      103        2        0
 3226 java-wrappers                      	       7      671      647       17        0
 3227 jmtpfs                             	       7      172      161        4        0
 3228 kde-style-oxygen-qt5               	       7      526      474       45        0
 3229 kdialog                            	       7      535      480       48        0
 3230 kio6                               	       7       66       52        7        0
 3231 krita                              	       7      136      123        6        0
 3232 libalgorithm-c3-perl               	       7      684      667       10        0
 3233 libaliased-perl                    	       7      612      572       33        0
 3234 libc6-dbg                          	       7      629      593       23        6
 3235 libc6-dev-i386                     	       7      186      176        3        0
 3236 libcares2                          	       7       88       17        1       63
 3237 libclass-c3-perl                   	       7      684      667       10        0
 3238 libconfig-simple-perl              	       7       84       74        3        0
 3239 libconvert-asn1-perl               	       7       77       69        1        0
 3240 libcrypt-rc4-perl                  	       7      360      345        8        0
 3241 libdata-dpath-perl                 	       7      609      569       33        0
 3242 libdbd-mysql-perl                  	       7      269        7        0      255
 3243 libdebhelper-perl                  	       7      823      796       20        0
 3244 libdigest-perl-md5-perl            	       7      360      345        8        0
 3245 libeditorconfig0                   	       7      760       39        3      711
 3246 libegl-dev                         	       7      737      704       26        0
 3247 libeis1                            	       7       43       16        2       18
 3248 libevview3-3                       	       7     1102       15        0     1080
 3249 libfakekey0                        	       7      769       32        1      729
 3250 libfile-stripnondeterminism-perl   	       7      903      876       20        0
 3251 libfm-data                         	       7      339       27        4      301
 3252 libglu1-mesa-dev                   	       7      660      636       17        0
 3253 libglx-dev                         	       7      807      771       29        0
 3254 libgsasl18                         	       7     1441       18        0     1416
 3255 libgssglue1                        	       7     1495       18        0     1470
 3256 libgtk-4-media-gstreamer           	       7      224       16        3      198
 3257 libhtml-html5-entities-perl        	       7      610      570       33        0
 3258 libicu-dev                         	       7      931      896       28        0
 3259 libio-interactive-perl             	       7      522      483       32        0
 3260 libio-multiplex-perl               	       7      160      149        4        0
 3261 libipc-run3-perl                   	       7      698      654       37        0
 3262 libiterator-perl                   	       7      609      569       33        0
 3263 libiterator-util-perl              	       7      609      569       33        0
 3264 libkf5guiaddons-bin                	       7      834      765       62        0
 3265 libkf5texteditor5                  	       7      674       38        2      627
 3266 libkf6bookmarks6                   	       7       45       15        3       20
 3267 libkf6bookmarkswidgets6            	       7       45       15        3       20
 3268 libkf6completion6                  	       7       58       15        3       33
 3269 libkf6idletime6                    	       7       29       15        2        5
 3270 libkf6jobwidgets-data              	       7       67       15        3       42
 3271 libkf6jobwidgets6                  	       7       66       15        3       41
 3272 libkf6kiowidgets6                  	       7       58       15        3       33
 3273 libkf6package6                     	       7       41       15        2       17
 3274 libkf6screen8                      	       7       26       12        2        5
 3275 libkf6sonnetcore6                  	       7       41       13        2       19
 3276 libkf6sonnetui6                    	       7       38       13        2       16
 3277 libkf6textwidgets6                 	       7       38       13        2       16
 3278 libkf6wallet6                      	       7       80       19        2       52
 3279 libkscreen-bin                     	       7       26       17        2        0
 3280 libkwaylandclient6                 	       7       25       12        2        4
 3281 liblcms2-utils                     	       7      652      636        9        0
 3282 liblist-compare-perl               	       7      634      594       33        0
 3283 liblist-utilsby-perl               	       7      730      687       36        0
 3284 libmath-base85-perl                	       7      509      472       30        0
 3285 libmldbm-perl                      	       7      517      481       29        0
 3286 libmono-system-configuration4.0-cil	       7      319      305        7        0
 3287 libmono-system-xml4.0-cil          	       7      319      305        7        0
 3288 libmoox-aliases-perl               	       7      610      570       33        0
 3289 libmtp9                            	       7     2211       42        0     2162
 3290 libnet-dbus-perl                   	       7     3196       10        0     3179
 3291 libnet-ipv6addr-perl               	       7      531      494       30        0
 3292 libnet-ldap-perl                   	       7       67       60        0        0
 3293 libnma-common                      	       7     1993       41        1     1944
 3294 libnumber-compare-perl             	       7      928      868       53        0
 3295 libole-storage-lite-perl           	       7      364      349        8        0
 3296 libparse-recdescent-perl           	       7      491      474       10        0
 3297 libpolkit-qt6-1-1                  	       7       68       15        2       44
 3298 libproc-processtable-perl          	       7      900        4        0      889
 3299 libpsm-infinipath1                 	       7      338      322        3        6
 3300 libqca-qt6-2                       	       7       81       18        2       54
 3301 libqt6qmlworkerscript6             	       7      139       14        3      115
 3302 libqt6quickcontrols2-6             	       7      144       15        2      120
 3303 libqt6quicktemplates2-6            	       7      144       15        2      120
 3304 libqt6texttospeech6                	       7       59       13        2       37
 3305 libraqm0                           	       7     1409       17        0     1385
 3306 libreoffice-style-tango            	       7      171      160        3        1
 3307 libsasl2-modules-gssapi-mit        	       7       49       24        1       17
 3308 libsigc++-3.0-0                    	       7      299       40        1      251
 3309 libsmbclient0                      	       7      221       12        1      201
 3310 libsort-versions-perl              	       7      559      523       29        0
 3311 libspreadsheet-parseexcel-perl     	       7      359      344        8        0
 3312 libsub-override-perl               	       7      744      723       14        0
 3313 libtext-glob-perl                  	       7      932      872       53        0
 3314 libtext-template-perl              	       7      292      283        2        0
 3315 libtext-xslate-perl                	       7      605      564       34        0
 3316 libtime-duration-perl              	       7      728      684       37        0
 3317 libtokyocabinet9                   	       7     1503       14        0     1482
 3318 libtommath1                        	       7     1303       36        1     1259
 3319 libunity9                          	       7      434      397       30        0
 3320 libvirt-daemon-driver-network      	       7       22       14        1        0
 3321 libvirt-daemon-driver-nodedev      	       7       22       13        1        1
 3322 libvirt-daemon-driver-nwfilter     	       7       22       13        1        1
 3323 libvirt-daemon-driver-secret       	       7       22       13        1        1
 3324 libvirt-daemon-driver-storage      	       7       22       14        1        0
 3325 libvirt-daemon-log                 	       7       23       15        1        0
 3326 libwmf-bin                         	       7      639      615       17        0
 3327 libwxbase3.2-1                     	       7      734       22        0      705
 3328 libwxgtk3.2-1                      	       7      728       22        0      699
 3329 libx2go-log-perl                   	       7       65       56        2        0
 3330 libx2go-server-db-perl             	       7       65       56        2        0
 3331 libxdo3                            	       7      486       36        0      443
 3332 libxenstore4t64                    	       7       21       12        0        2
 3333 libxml-parser-perl                 	       7     3431       11        0     3413
 3334 libzxing3                          	       7      218       28        2      181
 3335 linux-image-6.1.0-26-amd64         	       7      456      449        0        0
 3336 linux-image-6.1.0-27-amd64         	       7      338      330        0        1
 3337 linux-image-6.12.12-amd64          	       7       16        0        9        0
 3338 lshw                               	       7      550      539        4        0
 3339 lxdm                               	       7       44       37        0        0
 3340 lxpanel-data                       	       7      226       21        4      194
 3341 lxqt-notificationd-l10n            	       7      262       52        1      202
 3342 mate-calc                          	       7      500      459       34        0
 3343 mate-polkit-common                 	       7      545       27        4      507
 3344 mate-user-share                    	       7       52       41        4        0
 3345 maven                              	       7       97       87        3        0
 3346 mercurial                          	       7      200      189        4        0
 3347 mlock                              	       7      166      156        3        0
 3348 monitoring-plugins-contrib         	       7       29       22        0        0
 3349 moria                              	       7      961      901       53        0
 3350 mosquitto                          	       7       29       22        0        0
 3351 munin                              	       7       23       16        0        0
 3352 munin-plugins-core                 	       7       74       65        2        0
 3353 neovim                             	       7      133      117        9        0
 3354 nm-tray                            	       7       38       31        0        0
 3355 obconf                             	       7      327      298       22        0
 3356 oidentd                            	       7       15        8        0        0
 3357 omega-rpg                          	       7      979      919       53        0
 3358 openjdk-11-jre-headless            	       7      727      707       12        1
 3359 osspd                              	       7       32       25        0        0
 3360 pandoc                             	       7      303      291        5        0
 3361 parallel                           	       7     1057      997       53        0
 3362 pass                               	       7       93       85        1        0
 3363 pente                              	       7      974      915       52        0
 3364 php-composer-ca-bundle             	       7       77       70        0        0
 3365 php-imagick                        	       7       91       18        0       66
 3366 php7.0-mysql                       	       7       23       16        0        0
 3367 php7.0-zip                         	       7       18       11        0        0
 3368 php7.3-curl                        	       7       32       25        0        0
 3369 php7.3-fpm                         	       7       15        8        0        0
 3370 php8.2-mcrypt                      	       7       13        6        0        0
 3371 php8.2-memcache                    	       7       15        8        0        0
 3372 picom                              	       7      142      120       15        0
 3373 pipewire-alsa                      	       7      169       18        0      144
 3374 pkg-config                         	       7     1537      569       10      951
 3375 plank                              	       7       49       37        5        0
 3376 postfix-sqlite                     	       7      136      127        2        0
 3377 postgresql-9.4                     	       7       26       19        0        0
 3378 postgresql-client-11               	       7       77       70        0        0
 3379 postgresql-client-17               	       7       24       17        0        0
 3380 powertop                           	       7     1608     1503       98        0
 3381 printer-driver-gutenprint          	       7      656      642        7        0
 3382 python-talloc                      	       7      283      272        4        0
 3383 python-xapian                      	       7       27       20        0        0
 3384 python3-aiohttp                    	       7       99       89        3        0
 3385 python3-bs4                        	       7     1267     1209       51        0
 3386 python3-configargparse             	       7      192      184        1        0
 3387 python3-evdev                      	       7      121      110        4        0
 3388 python3-future                     	       7      459      426       26        0
 3389 python3-josepy                     	       7      184      176        1        0
 3390 python3-jwt                        	       7      964      877       80        0
 3391 python3-libtorrent                 	       7      205      187       11        0
 3392 python3-oauthlib                   	       7      938      851       80        0
 3393 python3-olefile                    	       7     1558     1486       64        1
 3394 python3-parsedatetime              	       7      203      195        1        0
 3395 python3-pil.imagetk                	       7      503      482       14        0
 3396 python3-pycares                    	       7       41       33        1        0
 3397 python3-pyqt6                      	       7      246      234        5        0
 3398 python3-pyqt6.sip                  	       7      248      234        7        0
 3399 python3-regex                      	       7      342      326        9        0
 3400 python3-requests-toolbelt          	       7      624      609        8        0
 3401 python3-rfc3339                    	       7      184      176        1        0
 3402 python3-socks                      	       7      203      194        2        0
 3403 python3-tdb                        	       7      923      853       63        0
 3404 python3-uvloop                     	       7       38       30        1        0
 3405 python3-wheel                      	       7      783      756       17        3
 3406 python3.9                          	       7      726      700       18        1
 3407 qml-module-org-kde-kcm             	       7      966       41        7      911
 3408 qml6-module-qtqml-workerscript     	       7      133       14        2      110
 3409 qml6-module-qtquick-controls       	       7      138       14        2      115
 3410 qml6-module-qtquick-layouts        	       7      138       14        2      115
 3411 qml6-module-qtquick-templates      	       7      106       14        2       83
 3412 qml6-module-qtquick-window         	       7       98       14        2       75
 3413 r-base-core                        	       7      143      132        4        0
 3414 radio                              	       7      904      843       54        0
 3415 rdate                              	       7       54       46        1        0
 3416 rrdtool                            	       7      103       95        1        0
 3417 ruby-http-cookie                   	       7       71       63        1        0
 3418 ruby-httpclient                    	       7       60       53        0        0
 3419 ruby-soap4r                        	       7       69       61        1        0
 3420 ruby-unicode                       	       7       70       61        2        0
 3421 ruby-xmlparser                     	       7       71       62        2        0
 3422 steam-installer                    	       7      125      116        2        0
 3423 sudoku                             	       7     1009      951       51        0
 3424 swtpm-tools                        	       7      274      261        6        0
 3425 sysv-rc-conf                       	       7      205      194        4        0
 3426 timeshift                          	       7       67       57        3        0
 3427 tlp-rdw                            	       7       66       58        1        0
 3428 tshark                             	       7      128      120        1        0
 3429 unbound                            	       7       43       36        0        0
 3430 uuid-dev                           	       7      946      897       42        0
 3431 vorbis-tools                       	       7      457      444        6        0
 3432 webalizer                          	       7       24       17        0        0
 3433 wireguard-tools                    	       7      195      185        3        0
 3434 xorriso                            	       7      479      460       12        0
 3435 xsel                               	       7      259      245        7        0
 3436 xss-lock                           	       7      135      121        7        0
 3437 xymon-client                       	       7       14        7        0        0
 3438 zoom                               	       7      184      174        2        1
 3439 adb                                	       6      303      297        0        0
 3440 android-sdk-platform-tools-common  	       6      308      301        0        1
 3441 anydesk                            	       6       51       45        0        0
 3442 arch-test                          	       6      421      406        9        0
 3443 asterisk                           	       6       11        5        0        0
 3444 asterisk-modules                   	       6       11        5        0        0
 3445 autocutsel                         	       6       16       10        0        0
 3446 autossh                            	       6       48       42        0        0
 3447 ayatana-indicator-application      	       6       36       28        2        0
 3448 baloo6                             	       6       20       11        3        0
 3449 boinc-client                       	       6       29       23        0        0
 3450 bombardier                         	       6      986      927       53        0
 3451 bsdmainutils                       	       6      940      472        4      458
 3452 caja-seahorse                      	       6       53       43        4        0
 3453 caja-wallpaper                     	       6       56       27        2       21
 3454 calf-plugins                       	       6       73       66        1        0
 3455 clementine                         	       6      117      108        3        0
 3456 code                               	       6      120      100       14        0
 3457 courier-imap                       	       6       15        9        0        0
 3458 crawl                              	       6      973      915       52        0
 3459 curseofwar                         	       6      986      927       53        0
 3460 dovecot-mysql                      	       6       27       21        0        0
 3461 dragonplayer                       	       6      494      439       49        0
 3462 ecryptfs-utils                     	       6       45       38        1        0
 3463 empire                             	       6      974      915       53        0
 3464 erlang-base                        	       6       64       58        0        0
 3465 erlang-crypto                      	       6       62       56        0        0
 3466 evolution-plugin-pstimport         	       6      221      198       17        0
 3467 exfat-utils                        	       6      679      657       16        0
 3468 fig2dev                            	       6      674      650       18        0
 3469 firmware-intel-misc                	       6      105       94        5        0
 3470 flac                               	       6      402      390        6        0
 3471 flameshot                          	       6       93       85        2        0
 3472 fluxbox                            	       6      103       94        3        0
 3473 foomatic-db-engine                 	       6      559      546        7        0
 3474 freesweep                          	       6      983      923       54        0
 3475 fvwm                               	       6       68       62        0        0
 3476 galternatives                      	       6      282      257       19        0
 3477 gamin                              	       6       64       13        0       45
 3478 gfortran                           	       6      347      334        7        0
 3479 gir1.2-gdm-1.0                     	       6      183       48       10      119
 3480 gir1.2-geoclue-2.0                 	       6      252       57       10      179
 3481 gir1.2-gnomebluetooth-3.0          	       6      142       42       10       84
 3482 gir1.2-gweather-4.0                	       6      152       42       10       94
 3483 gir1.2-ibus-1.0                    	       6      576       86       10      474
 3484 gir1.2-malcontent-0                	       6      392       45       10      331
 3485 gkrelltop                          	       6       24       18        0        0
 3486 gnome-characters                   	       6      305      268       31        0
 3487 gnome-session-common               	       6      186      155       18        7
 3488 gnome-shell-common                 	       6      194      167       18        3
 3489 gnuchess                           	       6     1046      977       63        0
 3490 gnupg-l10n                         	       6     3933      120       20     3787
 3491 gnuplot-qt                         	       6      183      173        4        0
 3492 gnustep-common                     	       6      805      743       56        0
 3493 gnutls-bin                         	       6      548      522       20        0
 3494 greed                              	       6      976      919       51        0
 3495 growisofs                          	       6      694      647       41        0
 3496 gtk2-engines-murrine               	       6      919       38        1      874
 3497 handbrake                          	       6      223      196        4       17
 3498 hwinfo                             	       6      331      311       14        0
 3499 i2c-tools                          	       6      128      121        1        0
 3500 ieee-data                          	       6      395      380        9        0
 3501 iperf3                             	       6      197      189        2        0
 3502 ipmitool                           	       6       96       89        1        0
 3503 ipset                              	       6      119      110        3        0
 3504 iwd                                	       6       37       31        0        0
 3505 kaddressbook                       	       6      479      425       48        0
 3506 kde-config-sddm                    	       6      537      479       48        4
 3507 kimageformat6-plugins              	       6       22       11        2        3
 3508 kmix                               	       6       49       43        0        0
 3509 krb5-locales                       	       6     3871        4        0     3861
 3510 lame                               	       6      377      366        5        0
 3511 libapache2-mod-php7.0              	       6       22       16        0        0
 3512 libapache2-mod-wsgi-py3            	       6       19       13        0        0
 3513 libappstream-glib8                 	       6      171       22        2      141
 3514 libappstreamqt3                    	       6       25       12        2        5
 3515 libarchive-cpio-perl               	       6      725      705       14        0
 3516 libart-2.0-2                       	       6      345       20        0      319
 3517 libaudiofile1                      	       6      256       24        0      226
 3518 libbatterycontrol6                 	       6       22       11        2        3
 3519 libberkeleydb-perl                 	       6      533       17        0      510
 3520 libboinc7                          	       6       26       12        0        8
 3521 libbytes-random-secure-perl        	       6      211      203        2        0
 3522 libclang-cpp15                     	       6      118      110        2        0
 3523 libcompfaceg1                      	       6      493       35        0      452
 3524 libcpufreq0                        	       6      321       20        0      295
 3525 libcrypt-random-seed-perl          	       6      211      203        2        0
 3526 libcurses-ui-perl                  	       6      220      209        5        0
 3527 libdata-validate-uri-perl          	       6      503      468       29        0
 3528 libddcutil5                        	       6       24       11        2        5
 3529 libdmtx0t64                        	       6       65       26        2       31
 3530 libecryptfs1                       	       6       45       34        1        4
 3531 libemail-messageid-perl            	       6       76       68        2        0
 3532 libemail-mime-contenttype-perl     	       6       76       68        2        0
 3533 libemail-mime-encodings-perl       	       6       78       70        2        0
 3534 libemail-mime-perl                 	       6       76       68        2        0
 3535 libemail-simple-perl               	       6       85       77        2        0
 3536 libept1.6.0                        	       6     2169       75        4     2084
 3537 libetpan20                         	       6      165       26        0      133
 3538 libev4t64                          	       6       56        3        0       47
 3539 libfile-homedir-perl               	       6      514      500        8        0
 3540 libfile-slurper-perl               	       6      174      163        5        0
 3541 libfreetype-dev                    	       6      724      695       23        0
 3542 libfreezethaw-perl                 	       6      508      472       30        0
 3543 libgdata22                         	       6     1661       32        0     1623
 3544 libgles-dev                        	       6      652      624       22        0
 3545 libgmp-dev                         	       6      568      549       13        0
 3546 libgnome-bluetooth-3.0-13          	       6      328       45       11      266
 3547 libigdgmm11                        	       6      538       30        0      502
 3548 libimage-exiftool-perl             	       6      328      318        4        0
 3549 libimage-magick-perl               	       6      640      614       20        0
 3550 libirs-export161                   	       6       49       13        0       30
 3551 libisccfg-export163                	       6       49       13        0       30
 3552 libisns0                           	       6       26       13        0        7
 3553 libjpeg62-turbo-dev                	       6      714      686       22        0
 3554 libjxl0.9                          	       6      196      104        0       86
 3555 libkf5calendarsupport5abi1         	       6      472       49        1      416
 3556 libkf5eventviews5abi1              	       6      472       49        1      416
 3557 libkf5incidenceeditor5abi1         	       6      471       47        1      417
 3558 libkf6attica6                      	       6       34       14        2       12
 3559 libkf6calendarevents6              	       6       23       12        2        3
 3560 libkf6configqml6                   	       6       40       13        2       19
 3561 libkf6i18nlocaledata6              	       6       35       13        2       14
 3562 libkf6itemmodels6                  	       6       50       13        2       29
 3563 libkf6kcmutilscore6                	       6       65       15        2       42
 3564 libkf6kcmutilsquick6               	       6       65       15        2       42
 3565 libkf6kiofilewidgets6              	       6       44       15        3       20
 3566 libkf6modemmanagerqt6              	       6       35       12        3       14
 3567 libkf6networkmanagerqt6            	       6       25       13        2        4
 3568 libkf6newstuffcore6                	       6       34       14        2       12
 3569 libkf6newstuffwidgets6             	       6       33       13        2       12
 3570 libkf6prison6                      	       6       48       12        2       28
 3571 libkf6pty6                         	       6       33       12        2       13
 3572 libkf6pulseaudioqt5                	       6       34       13        3       12
 3573 libkf6runner6                      	       6       49       12        2       29
 3574 libkf6screendpms8                  	       6       26       11        2        7
 3575 libkf6style6                       	       6       25       14        2        3
 3576 libkf6svg6                         	       6       36       13        2       15
 3577 libkf6syndication6                 	       6       34       14        2       12
 3578 libkf6userfeedbackcore6            	       6       27       12        2        7
 3579 libkf6walletbackend6               	       6       80       17        2       55
 3580 libkgantt2                         	       6      482       49        1      426
 3581 libkglobalacceld0                  	       6       41       12        2       21
 3582 libkirigami6                       	       6       65       13        2       44
 3583 libkirigamidelegates6              	       6       65       13        2       44
 3584 libkirigamidialogs6                	       6       65       13        2       44
 3585 libkirigamilayouts6                	       6       65       13        2       44
 3586 libkirigamiplatform6               	       6       65       14        2       43
 3587 libkirigamiprimitives6             	       6       65       13        2       44
 3588 libkirigamiprivate6                	       6       65       13        2       44
 3589 libkmpris6                         	       6       22       12        2        2
 3590 libkpipewire6                      	       6       25       12        2        5
 3591 libkscreenlocker6                  	       6       22       12        2        2
 3592 libksysguardformatter2             	       6       23       12        2        3
 3593 libksysguardsensorfaces1           	       6      509       25        1      477
 3594 libksysguardsensors1               	       6      510       26        1      477
 3595 libksysguardsystemstats1           	       6      427       22        1      398
 3596 libkworkspace6-6                   	       6       22       13        2        1
 3597 liblc3-1                           	       6      203       11        0      186
 3598 liblist-someutils-perl             	       6      638      598       34        0
 3599 libmaa4                            	       6      106       24        1       75
 3600 libmath-random-isaac-perl          	       6      221      213        2        0
 3601 libmbedcrypto3                     	       6      258       22        0      230
 3602 libmbedtls12                       	       6      247       16        0      225
 3603 libmbedx509-0                      	       6      248       16        0      226
 3604 libmono-posix4.0-cil               	       6      267      254        7        0
 3605 libmozjs-102-0                     	       6      407       39       10      352
 3606 libmutter-11-0                     	       6      300       37       10      247
 3607 libneon27-gnutls                   	       6     1377       12        0     1359
 3608 libopenconnect5                    	       6      706       28        1      671
 3609 libopeniscsiusr                    	       6       43       14        0       23
 3610 libopusfile0                       	       6     1475       10        0     1459
 3611 libosinfo-1.0-0                    	       6      591       15        0      570
 3612 libpackagekitqt6-1                 	       6       28        9        2       11
 3613 libpam-krb5                        	       6       18       10        0        2
 3614 libplasma5support6                 	       6       22       13        2        1
 3615 libplasma6                         	       6       36       13        2       15
 3616 libplasmaactivities6               	       6       50       14        2       28
 3617 libplasmaactivitiesstats1          	       6       30       12        2       10
 3618 libplasmaquick6                    	       6       36       13        2       15
 3619 libprocesscore10                   	       6       23       12        2        3
 3620 libproxy1-plugin-networkmanager    	       6      131       61        8       56
 3621 libproxy1-plugin-webkit            	       6      131       61        8       56
 3622 libpskc0                           	       6      527       22        1      498
 3623 libpython3.13                      	       6       66        1        1       58
 3624 libqaccessibilityclient-qt6-0      	       6       22       12        2        2
 3625 libqcoro6dbus0t64                  	       6       23       11        2        4
 3626 libqt5printsupport5t64             	       6      147       39        0      102
 3627 libqt6sensors6                     	       6       27       12        2        7
 3628 libqt6shadertools6                 	       6       98       14        2       76
 3629 libqt6webchannel6                  	       6      235        2        2      225
 3630 libqt6webenginecore6               	       6      234        2        2      224
 3631 libqt6webenginewidgets6            	       6      230        2        2      220
 3632 libquickcharts1                    	       6       23       12        2        3
 3633 libquickchartscontrols1            	       6       23       10        2        5
 3634 libregexp-wildcards-perl           	       6      497      462       29        0
 3635 libreoffice-report-builder-bin     	       6      814      777       31        0
 3636 libreoffice-sdbc-firebird          	       6      712      673       33        0
 3637 libreoffice-uiconfig-writer        	       6      247      223       18        0
 3638 librlottie0-1                      	       6      140       36        1       97
 3639 librrds-perl                       	       6       77       11        0       60
 3640 libspandsp2                        	       6     2872       15        0     2851
 3641 libspf2-2                          	       6       21        8        0        7
 3642 libsqlite3-dev                     	       6      381      366        9        0
 3643 libstoken1                         	       6      656       24        1      625
 3644 libstroke0                         	       6       87       19        0       62
 3645 libtaskmanager6                    	       6       49       12        2       29
 3646 libtext-csv-perl                   	       6      291      278        7        0
 3647 libtomcrypt1                       	       6      727       31        1      689
 3648 libtss2-tctildr0                   	       6      757       23        1      727
 3649 libturbojpeg0                      	       6     2670       15        0     2649
 3650 libupsclient6                      	       6       47       16        0       25
 3651 libvirt-daemon-system              	       6      397      368        6       17
 3652 libvncserver1                      	       6      280       20        0      254
 3653 libwmflite-0.2-7                   	       6     2642       12        0     2624
 3654 libwnck-common                     	       6      383       16        4      357
 3655 libx11-xcb-dev                     	       6      207      197        4        0
 3656 libx2go-config-perl                	       6       59       51        2        0
 3657 libx2go-server-perl                	       6       65       57        2        0
 3658 libx2go-utils-perl                 	       6       59       51        2        0
 3659 libxext-dev                        	       6      964      928       30        0
 3660 libxmlsec1-openssl                 	       6      645       22        1      616
 3661 libxrender-dev                     	       6      773      740       27        0
 3662 light                              	       6       32       21        5        0
 3663 links                              	       6      187      177        4        0
 3664 lsp-plugins-lv2                    	       6       70       63        0        1
 3665 lua-bitop                          	       6      241        8        0      227
 3666 lua-cjson                          	       6       28        8        0       14
 3667 lximage-qt                         	       6      268      243       19        0
 3668 lxqt-powermanagement-l10n          	       6      259       54        1      198
 3669 lxsession-data                     	       6      237       30        4      197
 3670 lxsession-logout                   	       6      193      170       17        0
 3671 matanza                            	       6      978      919       53        0
 3672 module-assistant                   	       6      136      126        4        0
 3673 molly-guard                        	       6       38       32        0        0
 3674 mongodb-org-server                 	       6       27       21        0        0
 3675 mono-runtime-common                	       6      319      277        9       27
 3676 motion                             	       6       29       23        0        0
 3677 mscompress                         	       6      587      573        8        0
 3678 muffin-common                      	       6      290       63        8      213
 3679 ncftp                              	       6      134      125        3        0
 3680 nethack-console                    	       6      969      909       54        0
 3681 network-manager-vpnc               	       6       55       46        3        0
 3682 ninvaders                          	       6      980      921       53        0
 3683 node-acorn                         	       6      291      282        3        0
 3684 nvidia-installer-cleanup           	       6      249      234        9        0
 3685 nvidia-support                     	       6      220      205        9        0
 3686 php-fig-http-message-util          	       6       34       28        0        0
 3687 php-getallheaders                  	       6       39       33        0        0
 3688 php-nikic-fast-route               	       6       35       29        0        0
 3689 php-pear                           	       6      170      163        1        0
 3690 php-phpseclib                      	       6       52       46        0        0
 3691 php-psr-http-factory               	       6       39       33        0        0
 3692 php-psr-http-message               	       6       39       33        0        0
 3693 php-slim-psr7                      	       6       34       28        0        0
 3694 php-symfony-deprecation-contracts  	       6       76       70        0        0
 3695 php-symfony-polyfill-php80         	       6       40       34        0        0
 3696 php-tcpdf                          	       6       60       54        0        0
 3697 php-webmozart-assert               	       6       37       31        0        0
 3698 php7.3-zip                         	       6       24       18        0        0
 3699 php7.4-fpm                         	       6       34       28        0        0
 3700 php7.4-soap                        	       6       25       19        0        0
 3701 php8.2-pspell                      	       6       20       14        0        0
 3702 pipewire                           	       6     1405     1324       75        0
 3703 plasma-dataengines-addons          	       6      497       11        0      480
 3704 plasma-desktoptheme                	       6       36       13        2       15
 3705 plasma-wallpapers-addons           	       6      491        8        0      477
 3706 postgresql-17                      	       6       16       10        0        0
 3707 postgresql-client-9.6              	       6       55       49        0        0
 3708 printer-driver-escpr               	       6      589      576        7        0
 3709 printer-driver-foo2zjs-common      	       6      572      558        8        0
 3710 printer-driver-pxljr               	       6      558      546        6        0
 3711 proj-bin                           	       6      638      618       14        0
 3712 pulseaudio-module-jack             	       6       36       30        0        0
 3713 python-cryptography                	       6      151      144        1        0
 3714 python-ldb                         	       6       99       93        0        0
 3715 python-samba                       	       6       95       89        0        0
 3716 python-six                         	       6      374      362        3        3
 3717 python2                            	       6      569      558        5        0
 3718 python3-argcomplete                	       6      265      249       10        0
 3719 python3-click                      	       6      921      876       39        0
 3720 python3-colorama                   	       6      923      885       32        0
 3721 python3-defusedxml                 	       6      287      279        2        0
 3722 python3-mako                       	       6      767      711       50        0
 3723 python3-msgpack                    	       6      442      424       12        0
 3724 python3-protobuf                   	       6      246      234        6        0
 3725 python3-pytest-cov                 	       6       37       31        0        0
 3726 python3-rencode                    	       6      264      245       13        0
 3727 python3-roman                      	       6      381      363       11        1
 3728 python3-twisted                    	       6      293      272       15        0
 3729 python3-virtualenv                 	       6      161      155        0        0
 3730 python3-xapp                       	       6      318      281       31        0
 3731 qemu-kvm                           	       6       66       60        0        0
 3732 qemu-system-gui                    	       6      544      414       15      109
 3733 qml-module-org-kde-ksysguard       	       6      506       26        1      473
 3734 qml6-module-org-kde-coreaddons     	       6       36       12        2       16
 3735 qml6-module-org-kde-desktop        	       6       35       12        2       15
 3736 qml6-module-org-kde-draganddrop    	       6       22       12        2        2
 3737 qml6-module-org-kde-kcmutils       	       6       54       12        2       34
 3738 qml6-module-org-kde-kdeconnect     	       6       31        9        2       14
 3739 qml6-module-org-kde-kirigami       	       6       65       13        2       44
 3740 qml6-module-org-kde-kitemmodels    	       6       34       12        2       14
 3741 qml6-module-org-kde-kquickcontrolsaddons	       6       49       12        2       29
 3742 qml6-module-org-kde-ksvg           	       6       36       13        2       15
 3743 qml6-module-org-kde-kwindowsystem  	       6       23       12        2        3
 3744 qml6-module-org-kde-networkmanager 	       6       20        9        2        3
 3745 qml6-module-org-kde-notifications  	       6       23       11        2        4
 3746 qml6-module-org-kde-pipewire       	       6       22       12        2        2
 3747 qml6-module-org-kde-plasma-plasma5support	       6       22       13        2        1
 3748 qml6-module-org-kde-quickcharts    	       6       23       12        2        3
 3749 qml6-module-org-kde-sonnet         	       6       35       12        2       15
 3750 qml6-module-qt-labs-platform       	       6       75       12        2       55
 3751 qml6-module-qt-labs-qmlmodels      	       6       61       11        2       42
 3752 qml6-module-qt5compat-graphicaleffects	       6       55       14        2       33
 3753 qml6-module-qtcore                 	       6       53       10        2       35
 3754 qml6-module-qtquick-dialogs        	       6       81       11        3       61
 3755 qml6-module-qtquick-effects        	       6       27       12        2        7
 3756 qt6-gtk-platformtheme              	       6      517       15        1      495
 3757 quotatool                          	       6       25       19        0        0
 3758 remmina-common                     	       6      453       21        0      426
 3759 rpm2cpio                           	       6      277      266        5        0
 3760 rsnapshot                          	       6       50       44        0        0
 3761 ruby-domain-name                   	       6       71       63        2        0
 3762 ruby2.3                            	       6       69       63        0        0
 3763 shellcheck                         	       6       99       90        3        0
 3764 shotwell                           	       6      431      390       35        0
 3765 simple-scan                        	       6      543      498       39        0
 3766 sndiod                             	       6       21       15        0        0
 3767 syslog-ng-mod-add-contextual-data  	       6       26       20        0        0
 3768 syslog-ng-mod-graphite             	       6       26       20        0        0
 3769 syslog-ng-mod-mongodb              	       6       31       25        0        0
 3770 syslog-ng-mod-python               	       6       26       20        0        0
 3771 syslog-ng-mod-riemann              	       6       26       20        0        0
 3772 syslog-ng-mod-sql                  	       6       31       25        0        0
 3773 texlive-science                    	       6      261      252        3        0
 3774 tigervnc-common                    	       6      131      124        1        0
 3775 tomcat9-common                     	       6       16       10        0        0
 3776 torbrowser-launcher                	       6      105       98        1        0
 3777 tracker                            	       6      263      236       20        1
 3778 uidmap                             	       6      167      159        2        0
 3779 unison                             	       6       97       91        0        0
 3780 vbetool                            	       6      639      626        7        0
 3781 volumeicon-alsa                    	       6       89       82        1        0
 3782 vulkan-tools                       	       6      220      209        5        0
 3783 wakeonlan                          	       6      156      148        2        0
 3784 webp-pixbuf-loader                 	       6      744       25        4      709
 3785 wmctrl                             	       6      163      155        2        0
 3786 xcape                              	       6       14        8        0        0
 3787 xfce4-clipman-plugin               	       6     1658       27        1     1624
 3788 xfce4-cpufreq-plugin               	       6     1658       37        1     1614
 3789 xfce4-notes                        	       6      169      153       10        0
 3790 xmlstarlet                         	       6       63       57        0        0
 3791 xtightvncviewer                    	       6      157      149        2        0
 3792 yakuake                            	       6       47       40        1        0
 3793 zathura                            	       6      119      112        1        0
 3794 alpine                             	       5       71       63        3        0
 3795 android-libbase                    	       5      337       12        0      320
 3796 android-libboringssl               	       5      308       12        0      291
 3797 android-libcutils                  	       5      336       12        0      319
 3798 android-liblog                     	       5      337       12        0      320
 3799 apcupsd-doc                        	       5       47       42        0        0
 3800 appmenu-gtk3-module                	       5       61       21        0       35
 3801 apt-cacher-ng                      	       5       20       15        0        0
 3802 apt-transport-tor                  	       5       42       37        0        0
 3803 arc-theme                          	       5       67       18        2       42
 3804 aria2                              	       5      139      131        3        0
 3805 arping                             	       5       69       63        1        0
 3806 asterisk-voicemail                 	       5       10        5        0        0
 3807 audacious-plugins                  	       5      549       15        1      528
 3808 bamfdaemon                         	       5      130      116        9        0
 3809 btop                               	       5      154      141        8        0
 3810 bup                                	       5      497      443       49        0
 3811 busybox-static                     	       5       79       73        1        0
 3812 ca-certificates-mono               	       5      299      287        7        0
 3813 chafa                              	       5      410      378       27        0
 3814 cheese                             	       5      487      443       39        0
 3815 chromium-sandbox                   	       5      751      695       51        0
 3816 clang                              	       5      192      182        5        0
 3817 collectd-core                      	       5       30       25        0        0
 3818 cpufreqd                           	       5       29       24        0        0
 3819 darktable                          	       5      120      114        1        0
 3820 db5.3-util                         	       5      208      199        4        0
 3821 dbconfig-common                    	       5      121      116        0        0
 3822 detox                              	       5       39       34        0        0
 3823 dex                                	       5      137      127        5        0
 3824 dh-elpa-helper                     	       5      167      158        4        0
 3825 dovecot-pop3d                      	       5       48       41        2        0
 3826 dump                               	       5       42       37        0        0
 3827 dvd+rw-tools                       	       5      376      359       12        0
 3828 dvisvgm                            	       5      502      482       15        0
 3829 ebtables                           	       5       75       69        1        0
 3830 edac-utils                         	       5       30       24        1        0
 3831 enchant                            	       5      307      299        3        0
 3832 epiphany-browser                   	       5       84       78        1        0
 3833 equivs                             	       5      273      264        4        0
 3834 erlang-asn1                        	       5       40       35        0        0
 3835 evolution-ews-core                 	       5        8        1        2        0
 3836 evolution-plugin-bogofilter        	       5      217      195       17        0
 3837 evolution-plugins                  	       5      231      209       17        0
 3838 exuberant-ctags                    	       5      123      117        1        0
 3839 f2fs-tools                         	       5      233      223        5        0
 3840 filezilla                          	       5      298      285        8        0
 3841 firmware-nvidia-gsp                	       5      157      139       13        0
 3842 firmware-sof-signed                	       5       70       63        1        1
 3843 fish-common                        	       5       92       14        0       73
 3844 flashrom                           	       5       79       74        0        0
 3845 fonts-comic-neue                   	       5      163        9        0      149
 3846 fonts-inconsolata                  	       5      194       22        0      167
 3847 fonts-ubuntu                       	       5       49       22        1       21
 3848 fonts-wqy-microhei                 	       5       90       19        0       66
 3849 gcc-6                              	       5      378      373        0        0
 3850 gdb-minimal                        	       5      496      447       44        0
 3851 gh                                 	       5       36       30        1        0
 3852 gir1.2-cscreensaver-1.0            	       5      199       28        0      166
 3853 gir1.2-gck-1                       	       5      195       44       11      135
 3854 gir1.2-gcr-3                       	       5      194       44       11      134
 3855 gir1.2-gnomedesktop-3.0            	       5      417       44       10      358
 3856 gir1.2-gtk-vnc-2.0                 	       5      331       18        0      308
 3857 gir1.2-libosinfo-1.0               	       5      370       19        0      346
 3858 gir1.2-libvirt-glib-1.0            	       5      330       23        0      302
 3859 gir1.2-mutter-11                   	       5      129       37       10       77
 3860 gir1.2-spiceclientglib-2.0         	       5      305       14        0      286
 3861 gir1.2-spiceclientgtk-3.0          	       5      302       14        0      283
 3862 gkrellm-cpufreq                    	       5       29       24        0        0
 3863 gksu                               	       5      195      190        0        0
 3864 gnome-mahjongg                     	       5      408      367       36        0
 3865 gnome-weather                      	       5      118       98       15        0
 3866 gtk3-automnemonics                 	       5       21        9        0        7
 3867 hexchat-lua                        	       5      284       11        1      267
 3868 hexchat-perl                       	       5      357       11        1      340
 3869 hexchat-plugins                    	       5      357       11        1      340
 3870 ifplugd                            	       5       17       11        1        0
 3871 imvirt                             	       5       34       28        1        0
 3872 incron                             	       5       20       15        0        0
 3873 john                               	       5       86       80        1        0
 3874 juk                                	       5      486      433       48        0
 3875 kfind                              	       5      574      519       50        0
 3876 kglobalacceld                      	       5       41       33        3        0
 3877 krusader                           	       5       62       54        3        0
 3878 ksshaskpass                        	       5      552      498       49        0
 3879 layer-shell-qt                     	       5      450       19        3      423
 3880 libapache2-mod-perl2               	       5       32       27        0        0
 3881 libappmenu-gtk3-parser0            	       5       63       21        0       37
 3882 libapt-pkg6.0t64                   	       5      283       40        3      235
 3883 libarchive-tools                   	       5      116      105        6        0
 3884 libasound2-dev                     	       5      467      453        9        0
 3885 libaudclient2                      	       5       62        7        0       50
 3886 libaudcore5                        	       5      516       15        1      495
 3887 libaudgui5                         	       5      516       14        0      497
 3888 libavdevice58                      	       5      418       15        0      398
 3889 libavformat60                      	       5      112       10        0       97
 3890 libbabl-0.1-0                      	       5     2727       16        0     2706
 3891 libboost1.74-dev                   	       5      501      485       11        0
 3892 libbsd-dev                         	       5      195      185        5        0
 3893 libcamel-1.2-62                    	       5       98       29        0       64
 3894 libcgmanager0                      	       5      133       24        0      104
 3895 libclamav9                         	       5       68       18        0       45
 3896 libcolorcorrect6                   	       5       22       11        2        4
 3897 libdatetime-format-builder-perl    	       5      188      180        3        0
 3898 libdbus-1-dev                      	       5      553      532       16        0
 3899 libdist-checkconflicts-perl        	       5      410      399        6        0
 3900 libdlt2                            	       5       27        7        0       15
 3901 libdrm-amdgpu-amdgpu1              	       5       18        9        0        4
 3902 libdrm2-amdgpu                     	       5       18       13        0        0
 3903 libebackend-1.2-10                 	       5       93       29        0       59
 3904 libebook-1.2-20                    	       5       66       28        0       33
 3905 libebook-contacts-1.2-3            	       5       78       28        0       45
 3906 libecal-2.0-1                      	       5       62       28        0       29
 3907 libeclipse-jdt-core-java           	       5       58       51        2        0
 3908 libedata-book-1.2-26               	       5       66       28        0       33
 3909 libedata-cal-2.0-1                 	       5       57       28        0       24
 3910 libedataserver-1.2-25              	       5       81       28        0       48
 3911 libedataserverui-1.2-2             	       5       66       29        0       32
 3912 libfcgi0ldbl                       	       5      918       11        0      902
 3913 libfmt10                           	       5       72        3        0       64
 3914 libgamin0                          	       5       64        6        0       53
 3915 libgdbm6t64                        	       5      284        4        0      275
 3916 libgeocode-glib0                   	       5      165       29        0      131
 3917 libgksu2-0                         	       5      202      197        0        0
 3918 libgoffice-0.10-10                 	       5      166      157        4        0
 3919 libgpod4                           	       5      554       16        0      533
 3920 libgtk2.0-0t64                     	       5      225       18        0      202
 3921 libgtksourceview-3.0-1             	       5     2069       29        0     2035
 3922 libgweather-3-16                   	       5      102       28        0       69
 3923 libhtml-tableextract-perl          	       5      131      124        2        0
 3924 libhyperscan5                      	       5       24       11        0        8
 3925 libifd-cyberjack6                  	       5       13        8        0        0
 3926 libiksemel3                        	       5       26        7        0       14
 3927 libipc-shareable-perl              	       5      349      340        4        0
 3928 libjsoncpp24                       	       5      270       20        0      245
 3929 libkdecorations2-6                 	       5       23       12        1        5
 3930 libkdecorations2private11          	       5       23       12        1        5
 3931 libkexiv2qt6-0                     	       5       33       11        2       15
 3932 libkf5pulseaudioqt3                	       5      560       22        0      533
 3933 libkf6bluezqt6                     	       5       48       10        2       31
 3934 libkf6filemetadata3                	       5       34       10        2       17
 3935 libkf6i18nqml6                     	       5       27        3        2       17
 3936 libkf6purpose6                     	       5       48        4        2       37
 3937 libkf6purposewidgets6              	       5       48        4        2       37
 3938 libkf6solid-data                   	       5       68       13        2       48
 3939 libkf6windowsystem-data            	       5       86       12        2       67
 3940 libklipper6                        	       5       22       11        2        4
 3941 libkwin6                           	       5       22       12        2        3
 3942 liblastfm5-1                       	       5      119       15        0       99
 3943 liblog-agent-perl                  	       5       15       10        0        0
 3944 liblxqt0                           	       5       75       63        3        4
 3945 liblzma-dev                        	       5      723      696       22        0
 3946 libmagic1t64                       	       5      272        6        1      260
 3947 libmagickcore-6.q16-6-extra        	       5     2848        9        0     2834
 3948 libmateweather-common              	       5      523       27        3      488
 3949 libmbim-proxy                      	       5     2738      141        1     2591
 3950 libmd-dev                          	       5      179      169        5        0
 3951 libmemcachedutil2                  	       5       55       19        0       31
 3952 libmime-charset-perl               	       5      539      524       10        0
 3953 libmono-i18n-west4.0-cil           	       5      311      299        7        0
 3954 libmono-i18n4.0-cil                	       5      311      299        7        0
 3955 libmono-system-numerics4.0-cil     	       5      315      303        7        0
 3956 libmono-system-security4.0-cil     	       5      319      307        7        0
 3957 libmygpo-qt5-1                     	       5      118       15        0       98
 3958 libmypaint-1.5-1                   	       5     1548       14        0     1529
 3959 libnettle6                         	       5      959       11        0      943
 3960 libnih-dbus1                       	       5      136       26        0      105
 3961 libnih1                            	       5      136       26        0      105
 3962 libnode108                         	       5      256       15        0      236
 3963 libogdi4.1                         	       5      621      543       12       61
 3964 libopentracing-c-wrapper0          	       5        9        4        0        0
 3965 libopentracing1                    	       5        9        4        0        0
 3966 liboxygenstyle5-5                  	       5      527       24        3      495
 3967 libphonon4qt6-4t64                 	       5       34        4        0       25
 3968 libpoppler-glib8t64                	       5      218       18        0      195
 3969 libprotobuf23                      	       5      365       54        0      306
 3970 libqt5help5                        	       5     1425       27        0     1393
 3971 libqt5opengl5                      	       5     1245       15        0     1225
 3972 libqt5script5                      	       5      819       52        2      760
 3973 libqt5waylandcompositor5           	       5     2017       34        1     1977
 3974 libqt5webengine-data               	       5     1159       60       11     1083
 3975 libqt6core6                        	       5      441       12        0      424
 3976 libradcli4                         	       5       60        5        0       50
 3977 libreadline8t64                    	       5      289       24        1      259
 3978 libreoffice-l10n-it                	       5       75       66        4        0
 3979 libresample1                       	       5       26        6        0       15
 3980 libresid-builder0c2a               	       5     2198       23        0     2170
 3981 librtlsdr0                         	       5       71       65        1        0
 3982 libruby3.3                         	       5       13        4        4        0
 3983 libsgutils2-1.46-2                 	       5      481        3        0      473
 3984 libsidplay2                        	       5     2198       23        0     2170
 3985 libsnmp-session-perl               	       5       27       22        0        0
 3986 libsoup-3.0-common                 	       5     2503       12        2     2484
 3987 libsss-certmap0                    	       5       30       17        1        7
 3988 libswscale7                        	       5      114       11        0       98
 3989 libsybdb5                          	       5       62        8        0       49
 3990 libsysfs2                          	       5      148       19        0      124
 3991 libtcnative-1                      	       5       26       10        0       11
 3992 libtelepathy-glib0                 	       5      388       15        0      368
 3993 libterm-readkey-perl               	       5     1310        7        0     1298
 3994 libtfm1                            	       5       74       18        0       51
 3995 libtidy5deb1                       	       5     1131       12        0     1114
 3996 libtinfo5                          	       5      944        9        0      930
 3997 libtinyxml2.6.2v5                  	       5      356       20        0      331
 3998 libtype-tiny-perl                  	       5      364      352        7        0
 3999 libunibreak5                       	       5       58        4        0       49
 4000 libunity-protocol-private0         	       5      434       20        0      409
 4001 libuniversal-require-perl          	       5      126      120        1        0
 4002 libuno-purpenvhelpergcc3-3t64      	       5      185      163       17        0
 4003 libvirt-daemon-driver-interface    	       5       18       11        1        1
 4004 libvirt-daemon-driver-storage-disk 	       5       18       11        1        1
 4005 libvirt-daemon-driver-storage-logical	       5       18       11        1        1
 4006 libvirt-daemon-driver-storage-mpath	       5       18       11        1        1
 4007 libvirt-daemon-driver-storage-scsi 	       5       18       11        1        1
 4008 libvirt-glib-1.0-0                 	       5      397       20        0      372
 4009 libwebpdecoder3                    	       5      100        3        0       92
 4010 libxcb-render0-dev                 	       5      630      604       21        0
 4011 libxfixes-dev                      	       5      632      606       21        0
 4012 libxinerama-dev                    	       5      622      596       21        0
 4013 libxml-xpath-perl                  	       5      178      170        3        0
 4014 libxmlb1                           	       5       76       23        2       46
 4015 libxt-dev                          	       5      717      695       17        0
 4016 libxxf86vm-dev                     	       5      406      393        8        0
 4017 linux-image-6.1.0-23-amd64         	       5      462      457        0        0
 4018 linux-image-6.12.6-amd64           	       5       36       31        0        0
 4019 llvm-11-dev                        	       5       95       90        0        0
 4020 llvm-19-dev                        	       5       37       31        1        0
 4021 localepurge                        	       5       73       67        1        0
 4022 lua-sec                            	       5       38        7        0       26
 4023 lua-socket                         	       5      254       10        0      239
 4024 lua5.2                             	       5       51       46        0        0
 4025 lxappearance                       	       5      290      261       24        0
 4026 lxqt-policykit-l10n                	       5      260       39        1      215
 4027 lxqt-sudo                          	       5      272      248       19        0
 4028 lxrandr                            	       5      229      206       18        0
 4029 mariadb-client-core-10.1           	       5       45       40        0        0
 4030 mariadb-server-10.5                	       5       78       55        1       17
 4031 mariadb-server-core-10.3           	       5       50       45        0        0
 4032 mediainfo                          	       5      132      125        2        0
 4033 mksh                               	       5       78       72        1        0
 4034 mono-gac                           	       5      319      308        6        0
 4035 mosquitto-clients                  	       5       47       41        1        0
 4036 most                               	       5       59       52        2        0
 4037 mozc-server                        	       5       57       50        2        0
 4038 mpc                                	       5       59       52        2        0
 4039 ndiff                              	       5      295      288        2        0
 4040 needrestart-session                	       5       14        9        0        0
 4041 neomutt                            	       5       36       30        1        0
 4042 network-manager-pptp               	       5       47       39        3        0
 4043 network-manager-ssh                	       5       39       32        2        0
 4044 ninja-build                        	       5      302      287       10        0
 4045 nvidia-kernel-dkms                 	       5      169      153       11        0
 4046 nvme-cli                           	       5       82       76        1        0
 4047 openresolv                         	       5       54       48        1        0
 4048 optipng                            	       5      296      286        5        0
 4049 par2                               	       5      535      482       48        0
 4050 php-apcu-bc                        	       5       23       14        0        4
 4051 php-symfony-yaml                   	       5       35       30        0        0
 4052 php5-curl                          	       5       14        9        0        0
 4053 php7.0-bz2                         	       5       15       10        0        0
 4054 php7.0-curl                        	       5       14        9        0        0
 4055 php7.3-pgsql                       	       5       15       10        0        0
 4056 php7.4-bz2                         	       5       23       18        0        0
 4057 php8.2-cgi                         	       5       47       41        1        0
 4058 php8.2-tidy                        	       5       14        9        0        0
 4059 php8.4-common                      	       5        8        3        0        0
 4060 php8.4-opcache                     	       5        7        2        0        0
 4061 php8.4-readline                    	       5        7        2        0        0
 4062 pidgin-data                        	       5      413        9        0      399
 4063 pidgin-plugin-pack                 	       5       31       22        1        3
 4064 plasma-discover-backend-flatpak    	       5       49       23        1       20
 4065 pluma-common                       	       5      514        8        2      499
 4066 postfix-mysql                      	       5       28       23        0        0
 4067 postgresql-9.6                     	       5       37       32        0        0
 4068 postgresql-client-13               	       5      113      106        2        0
 4069 printer-driver-c2050               	       5      562      551        6        0
 4070 printer-driver-cjet                	       5      567      555        7        0
 4071 printer-driver-fujixerox           	       5      534      523        6        0
 4072 printer-driver-m2300w              	       5      556      544        7        0
 4073 printer-driver-min12xxw            	       5      568      558        5        0
 4074 printer-driver-pnm2ppa             	       5      562      551        6        0
 4075 printer-driver-ptouch              	       5      565      554        6        0
 4076 proftpd-core                       	       5       27       22        0        0
 4077 prosody                            	       5       16       11        0        0
 4078 psutils                            	       5      420      407        8        0
 4079 pure-ftpd-common                   	       5       27       22        0        0
 4080 python-blinker                     	       5       26       21        0        0
 4081 python-cffi-backend                	       5      146      140        1        0
 4082 python-chardet                     	       5      281      275        1        0
 4083 python-dnspython                   	       5       89       84        0        0
 4084 python-pexpect                     	       5       46       41        0        0
 4085 python-pil                         	       5      167      161        1        0
 4086 python-pycurl                      	       5      105       99        1        0
 4087 python3-appdirs                    	       5      510      494       11        0
 4088 python3-cap-ng                     	       5       45       37        3        0
 4089 python3-dotenv                     	       5      188      177        6        0
 4090 python3-feedparser                 	       5     1650     1525      120        0
 4091 python3-geoip                      	       5      212      196       11        0
 4092 python3-importlib-metadata         	       5      523      503       15        0
 4093 python3-kerberos                   	       5      139      130        4        0
 4094 python3-musicbrainzngs             	       5     1522     1399      118        0
 4095 python3-mysqldb                    	       5       66       60        1        0
 4096 python3-notify2                    	       5      190      182        3        0
 4097 python3-platformdirs               	       5      234      226        3        0
 4098 python3-pycparser                  	       5      144      136        3        0
 4099 python3-pytest                     	       5      298      284        9        0
 4100 python3-reportlab-accel            	       5      615      598        8        4
 4101 python3-scipy                      	       5      535      516       14        0
 4102 python3-sgmllib3k                  	       5     1347     1228      114        0
 4103 python3-typing-extensions          	       5      526      499       22        0
 4104 python3-ujson                      	       5       81       75        1        0
 4105 python3-wxgtk4.0                   	       5      270      256        9        0
 4106 qasmixer                           	       5       61       56        0        0
 4107 qemu-block-extra                   	       5      466      380       13       68
 4108 qml6-module-org-kde-bluezqt        	       5       48       10        2       31
 4109 qt5-qmake                          	       5      353      333       11        4
 4110 qt5-style-kvantum                  	       5       53       46        2        0
 4111 qt5-style-plugin-cleanlooks        	       5      303       16        0      282
 4112 rdnssd                             	       5       22       17        0        0
 4113 rofi                               	       5       78       69        4        0
 4114 rspamd                             	       5       17       12        0        0
 4115 ruby-unf                           	       5       73       67        1        0
 4116 samba-vfs-modules                  	       5      338        5        0      328
 4117 schroot                            	       5       50       45        0        0
 4118 seahorse                           	       5      457      414       38        0
 4119 sensible-mda                       	       5       38       33        0        0
 4120 smtube                             	       5      278      255       18        0
 4121 soundconverter                     	       5       68       62        1        0
 4122 spamc                              	       5      109      102        2        0
 4123 ssmtp                              	       5       27       22        0        0
 4124 sssd-common                        	       5       29       22        2        0
 4125 steam-devices                      	       5      148      139        4        0
 4126 sway                               	       5       50       43        2        0
 4127 swaybg                             	       5       52       45        2        0
 4128 sweeper                            	       5      495      442       48        0
 4129 swish++                            	       5       32       27        0        0
 4130 syslinux-common                    	       5      417      401       11        0
 4131 syslog-ng-mod-amqp                 	       5       24       19        0        0
 4132 syslog-ng-mod-examples             	       5       23       18        0        0
 4133 syslog-ng-mod-geoip2               	       5       23       18        0        0
 4134 syslog-ng-mod-http                 	       5       22       17        0        0
 4135 syslog-ng-mod-rdkafka              	       5       22       17        0        0
 4136 syslog-ng-mod-redis                	       5       24       19        0        0
 4137 syslog-ng-mod-slog                 	       5       22       17        0        0
 4138 syslog-ng-mod-smtp                 	       5       24       19        0        0
 4139 syslog-ng-mod-snmp                 	       5       22       17        0        0
 4140 syslog-ng-mod-stardate             	       5       22       17        0        0
 4141 syslog-ng-mod-stomp                	       5       24       19        0        0
 4142 syslog-ng-mod-xml-parser           	       5       22       17        0        0
 4143 tdelibs14-trinity                  	       5       39       34        0        0
 4144 tesseract-ocr                      	       5      253      244        4        0
 4145 testdisk                           	       5      246      238        3        0
 4146 texlive-latex-recommended          	       5      508      493       10        0
 4147 texlive-luatex                     	       5      292      284        3        0
 4148 texlive-plain-generic              	       5      415      402        8        0
 4149 tint2                              	       5       66       57        4        0
 4150 tinyproxy-bin                      	       5       11        6        0        0
 4151 tix                                	       5      501      486       10        0
 4152 unar                               	       5      776      716       55        0
 4153 unison-2.52                        	       5       67       62        0        0
 4154 update-glx                         	       5      268      253       10        0
 4155 uvcdynctrl                         	       5       76       71        0        0
 4156 vcdimager                          	       5      316      302        9        0
 4157 viewnior                           	       5       53       48        0        0
 4158 vim-addon-manager                  	       5      133      127        1        0
 4159 vim-nox                            	       5       97       91        1        0
 4160 vlc-plugin-video-output            	       5     1617       25        0     1587
 4161 vnstat                             	       5       45       40        0        0
 4162 wbritish                           	       5       62       56        1        0
 4163 wmaker-common                      	       5       84       77        2        0
 4164 xorgxrdp                           	       5      125      114        6        0
 4165 xserver-xorg-video-mga             	       5      152      145        2        0
 4166 xsltproc                           	       5      452      440        7        0
 4167 zam-plugins                        	       5       48       43        0        0
 4168 alacritty                          	       4       43       34        5        0
 4169 alien                              	       4      122      117        1        0
 4170 alsa-firmware-loaders              	       4       56       50        2        0
 4171 alsamixergui                       	       4      191      182        5        0
 4172 amavisd-new                        	       4       27       23        0        0
 4173 apt-transport-https                	       4      718       51        0      663
 4174 ash                                	       4       13        9        0        0
 4175 atool                              	       4       22       18        0        0
 4176 autogen                            	       4       53       49        0        0
 4177 autorandr                          	       4       12        8        0        0
 4178 avrdude                            	       4      136      130        2        0
 4179 binutils-aarch64-linux-gnu         	       4       54       48        2        0
 4180 binutils-i686-linux-gnu            	       4      129      122        3        0
 4181 binutils-multiarch                 	       4       41       36        1        0
 4182 bleachbit                          	       4      110      104        2        0
 4183 blender                            	       4      167      161        2        0
 4184 bogofilter-bdb                     	       4      290      267       19        0
 4185 breeze                             	       4      625      570       51        0
 4186 byobu                              	       4       81       76        1        0
 4187 caps                               	       4       85       79        2        0
 4188 checksecurity                      	       4       20       15        1        0
 4189 clamav-milter                      	       4        9        5        0        0
 4190 clipit                             	       4       54       48        2        0
 4191 compton                            	       4       61       56        1        0
 4192 conky-all                          	       4       39       35        0        0
 4193 conmon                             	       4       58       53        1        0
 4194 conntrack                          	       4       41       37        0        0
 4195 copyq                              	       4       19       15        0        0
 4196 copyq-plugins                      	       4       15       11        0        0
 4197 courier-authdaemon                 	       4       23       19        0        0
 4198 criu                               	       4       93       85        4        0
 4199 db-util                            	       4      184      176        4        0
 4200 debconf-kde-helper                 	       4      535      482       49        0
 4201 debtree                            	       4       27       23        0        0
 4202 debugedit                          	       4      234      227        3        0
 4203 dehydrated                         	       4       12        8        0        0
 4204 deluge-common                      	       4      216      201       11        0
 4205 dhelp                              	       4       14       10        0        0
 4206 dma                                	       4       13        9        0        0
 4207 dwww                               	       4       22       18        0        0
 4208 echoping                           	       4       15       11        0        0
 4209 emacs-lucid                        	       4       18       14        0        0
 4210 evolution-ews                      	       4       16       12        0        0
 4211 exif                               	       4      348      324       20        0
 4212 exiv2                              	       4      256      248        4        0
 4213 expect                             	       4      173      165        4        0
 4214 fancontrol                         	       4      117      111        2        0
 4215 fastfetch                          	       4       39       29        6        0
 4216 fcgiwrap                           	       4       16       12        0        0
 4217 finger                             	       4      124      118        2        0
 4218 firefox-esr-l10n-it                	       4       81       71        6        0
 4219 firmware-atheros                   	       4      290      283        3        0
 4220 firmware-intel-graphics            	       4      107       98        5        0
 4221 fonts-arphic-bkai00mp              	       4      119       14        0      101
 4222 fonts-arphic-uming                 	       4      122       13        0      105
 4223 fonts-baekmuk                      	       4      116        8        0      104
 4224 fonts-inter                        	       4      136       18        0      114
 4225 fonts-nanum                        	       4       80       15        0       61
 4226 fonts-sjfonts                      	       4       89        1        0       84
 4227 foomatic-db-compressed-ppds        	       4      488      478        6        0
 4228 freeradius                         	       4       30       26        0        0
 4229 ftp                                	       4     1302      466        6      826
 4230 fzf                                	       4       89       80        5        0
 4231 g++-14                             	       4      180      163       13        0
 4232 g++-14-x86-64-linux-gnu            	       4      175      158       13        0
 4233 g++-x86-64-linux-gnu               	       4      202      185       13        0
 4234 geany-common                       	       4      272      254       14        0
 4235 gfortran-10                        	       4      171      167        0        0
 4236 gfortran-12                        	       4      251      241        6        0
 4237 ghostwriter                        	       4       28       24        0        0
 4238 gir1.2-gexiv2-0.10                 	       4      127      123        0        0
 4239 gir1.2-gtksource-3.0               	       4     1729       17        0     1708
 4240 gir1.2-matemenu-2.0                	       4      458        9        0      445
 4241 gir1.2-matepanelapplet-4.0         	       4       79        9        0       66
 4242 glib-networking-common             	       4     3442       28        2     3408
 4243 gnome-calendar                     	       4      128      108       16        0
 4244 gnome-clocks                       	       4      137      118       15        0
 4245 gnome-control-center               	       4      402      364       34        0
 4246 gnome-shell-extensions             	       4      114       93       15        2
 4247 gnome-sound-recorder               	       4      356      316       36        0
 4248 gnome-terminal-data                	       4      440       47        8      381
 4249 gnote                              	       4      284      255       25        0
 4250 gnucash                            	       4       97       92        1        0
 4251 gnumeric                           	       4      134      128        2        0
 4252 gpgv2                              	       4       14       10        0        0
 4253 gphoto2                            	       4       78       74        0        0
 4254 gstreamer1.0-libav                 	       4     3027       40        1     2982
 4255 gstreamer1.0-tools                 	       4      149      136        9        0
 4256 gyp                                	       4      205      201        0        0
 4257 handlebars                         	       4      181      176        1        0
 4258 heimdall-flash                     	       4       61       57        0        0
 4259 hexchat-python3                    	       4      353       10        1      338
 4260 hfsplus                            	       4      125      117        4        0
 4261 hobbit-plugins                     	       4        5        1        0        0
 4262 hostapd                            	       4       44       40        0        0
 4263 icecast2                           	       4       14       10        0        0
 4264 icewm                              	       4       79       71        4        0
 4265 icinga2-common                     	       4       49       41        4        0
 4266 imagemagick-7.q16                  	       4       83       72        7        0
 4267 ingerman                           	       4      366      346       16        0
 4268 inn2                               	       4        6        2        0        0
 4269 inn2-inews                         	       4       13        9        0        0
 4270 iptraf-ng                          	       4      151      146        1        0
 4271 iswiss                             	       4      346      326       16        0
 4272 isympy-common                      	       4      418      403       11        0
 4273 isympy3                            	       4      418      403       11        0
 4274 isync                              	       4       33       29        0        0
 4275 jackd2                             	       4      158      151        3        0
 4276 jp2a                               	       4      367      340       23        0
 4277 k3b                                	       4      290      277        9        0
 4278 kaccessible                        	       4       20       16        0        0
 4279 kde-runtime                        	       4       44       40        0        0
 4280 kdelibs5-plugins                   	       4       47       43        0        0
 4281 kdepim-addons                      	       4      467      414       49        0
 4282 kdesktop-trinity                   	       4       35       31        0        0
 4283 kdoctools5                         	       4      631      575       52        0
 4284 kmag                               	       4      459      405       50        0
 4285 kmenuedit                          	       4      545      492       49        0
 4286 kmousetool                         	       4      450      396       50        0
 4287 kmouth                             	       4      457      403       50        0
 4288 kpat                               	       4       95       88        3        0
 4289 laptop-mode-tools                  	       4       31       26        1        0
 4290 ledmon                             	       4        7        3        0        0
 4291 libaec0                            	       4      808       11        0      793
 4292 libamd2                            	       4     2606       13        0     2589
 4293 libapache2-mod-security2           	       4       19       15        0        0
 4294 libapache2-mpm-itk                 	       4       11        7        0        0
 4295 libarts1c2a-trinity                	       4       40       36        0        0
 4296 libaudtag3                         	       4      515       11        0      500
 4297 libavahi-client-dev                	       4       73       69        0        0
 4298 libavahi-common-dev                	       4       77       73        0        0
 4299 libavahi-tqt-1                     	       4       41       11        0       26
 4300 libavdevice61                      	       4      132        5        1      122
 4301 libavfilter9                       	       4       85        6        0       75
 4302 libb64-0d                          	       4       81        5        0       72
 4303 libblkid-dev                       	       4      797      755       38        0
 4304 libboost-iostreams1.83.0           	       4      245       22        0      219
 4305 libboost-python1.74.0              	       4      358        6        0      348
 4306 libboost-thread1.83.0              	       4      220       20        0      196
 4307 libbz2-dev                         	       4      421      407       10        0
 4308 libcaca-dev                        	       4      201      192        5        0
 4309 libcamd2                           	       4     2603       13        0     2586
 4310 libcap-dev                         	       4      112      108        0        0
 4311 libccolamd2                        	       4     2603       13        0     2586
 4312 libcfitsio10                       	       4      778       15        0      759
 4313 libchamplain-0.12-0                	       4      225       22        0      199
 4314 libchamplain-gtk-0.12-0            	       4      224       22        0      198
 4315 libcholmod3                        	       4     2603       13        0     2586
 4316 libclang-cpp11                     	       4      136      131        0        1
 4317 libclass-factory-util-perl         	       4       35       31        0        0
 4318 libclass-load-perl                 	       4      144      138        2        0
 4319 libclass-xsaccessor-perl           	       4     1121        5        0     1112
 4320 libclone-choose-perl               	       4      121      115        2        0
 4321 libclutter-1.0-0                   	       4      819       27        1      787
 4322 libclutter-gtk-1.0-0               	       4      782       27        1      750
 4323 libcogl-pango20                    	       4      821       27        1      789
 4324 libcogl-path20                     	       4      821       27        1      789
 4325 libcogl20                          	       4      823       27        1      791
 4326 libcolamd2                         	       4     2812       13        0     2795
 4327 libconvert-binhex-perl             	       4      338      328        6        0
 4328 libcourier-unicode4                	       4       30        3        0       23
 4329 libcrypt-eksblowfish-perl          	       4       23        0        0       19
 4330 libcurl4-openssl-dev               	       4      247      236        7        0
 4331 libdb5.3-dev                       	       4       80       75        1        0
 4332 libdbus-1-tqt                      	       4       42       19        0       19
 4333 libdigest-bubblebabble-perl        	       4      319      311        4        0
 4334 libdrm-dev                         	       4      374      361        9        0
 4335 libeac3                            	       4       47       16        0       27
 4336 libebml5                           	       4     1508       21        0     1483
 4337 libecal-2.0-3                      	       4       33        6        1       22
 4338 libedata-cal-2.0-2t64              	       4       19        6        1        8
 4339 libedataserverui-1.2-4t64          	       4       18        6        1        7
 4340 libemail-address-perl              	       4       50       46        0        0
 4341 libffi6                            	       4     1156       20        0     1132
 4342 libfftw3-single3                   	       4     3367       18        1     3344
 4343 libfile-chdir-perl                 	       4      220      214        2        0
 4344 libfile-ncopy-perl                 	       4       24       20        0        0
 4345 libfl-dev                          	       4      471      460        7        0
 4346 libfontconfig-dev                  	       4      680      654       22        0
 4347 libfreeipmi17                      	       4      101        7        0       90
 4348 libfreeradius3                     	       4       34       30        0        0
 4349 libgcroots0                        	       4       48       22        1       21
 4350 libgd-perl                         	       4       73       61        1        7
 4351 libgdk-pixbuf-2.0-dev              	       4      484      459       21        0
 4352 libgegl-0.4-0                      	       4     2531       15        0     2512
 4353 libgimp2.0                         	       4     2607       15        0     2588
 4354 libglibmm-2.4-1t64                 	       4      188        8        1      175
 4355 libglibmm-2.68-1t64                	       4      172       14        0      154
 4356 libgnutls-openssl27t64             	       4       59        4        0       51
 4357 libgoa-backend-1.0-2               	       4       30       12        1       13
 4358 libgsf-bin                         	       4      152      132       16        0
 4359 libgspell-1-3                      	       4      131        4        0      123
 4360 libgtkmm-3.0-1t64                  	       4      143        6        1      132
 4361 libgucharmap-2-90-7                	       4      961        7        1      949
 4362 libhash-merge-perl                 	       4      125      119        2        0
 4363 libhdf5-103-1                      	       4      682       10        0      668
 4364 libhogweed4                        	       4      957       10        0      943
 4365 libice-dev                         	       4      934      903       27        0
 4366 libinline-perl                     	       4       30       26        0        0
 4367 libinotifytools0                   	       4      154       13        0      137
 4368 libircclient1                      	       4       52        6        0       42
 4369 libjack0                           	       4       65       12        0       49
 4370 libjcode-pm-perl                   	       4      364      352        8        0
 4371 libjs-codemirror                   	       4      119      109        1        5
 4372 libkdecorations2private7           	       4      104       44        1       55
 4373 libkf5holidays-data                	       4      571       33        0      534
 4374 libkf5js5                          	       4      770       22        0      744
 4375 libkf5jsapi5                       	       4      630       21        0      605
 4376 libkf5screen7                      	       4      172       47        1      120
 4377 libkf5sysguard-data                	       4      550       17        0      529
 4378 libkf5waylandserver5               	       4      126       45        1       76
 4379 libkf6archive-data                 	       4       70       11        2       53
 4380 libkf6auth-data                    	       4       67       13        2       48
 4381 libkf6baloo6                       	       4       28        6        2       16
 4382 libkf6balooengine6                 	       4       28        7        2       15
 4383 libkf6calendarcore6                	       4       11        0        2        5
 4384 libkf6codecs-data                  	       4       68       10        2       52
 4385 libkf6config-data                  	       4       86       10        2       70
 4386 libkf6contacts6                    	       4       31        1        2       24
 4387 libkf6dbusaddons-data              	       4       81       12        2       63
 4388 libkf6globalaccel-data             	       4       55       10        1       40
 4389 libkf6itemviews-data               	       4       68       10        2       52
 4390 libkf6kcmutils6                    	       4       50        8        2       36
 4391 libkf6notifications-data           	       4       85       12        2       67
 4392 libkf6notifyconfig6                	       4       31       12        2       13
 4393 libkf6parts6                       	       4       43        8        1       30
 4394 libkf6syntaxhighlighting6          	       4       31        0        2       25
 4395 libkf6textaddonswidgets1           	       4        8        0        2        2
 4396 libkf6textautocorrectioncore1      	       4        7        0        2        1
 4397 libkf6textcustomeditor1            	       4        8        0        2        2
 4398 libkf6textedittexttospeech1        	       4        8        0        2        2
 4399 libkf6textemoticonscore1           	       4        8        0        2        2
 4400 libkf6textemoticonswidgets1        	       4        8        0        2        2
 4401 libkf6texttemplate6                	       4       15        1        2        8
 4402 libkf6textutils1                   	       4        9        1        2        2
 4403 libkf6unitconversion6              	       4       22        5        2       11
 4404 libkonq4-trinity                   	       4       35       31        0        0
 4405 libkpim6akonadicalendar6           	       4        6        0        2        0
 4406 libkpim6akonadicontactcore6        	       4        8        1        2        1
 4407 libkpim6akonadicontactwidgets6     	       4        8        1        2        1
 4408 libkpim6akonadicore6               	       4       10        1        2        3
 4409 libkpim6akonadimime6               	       4        7        0        2        1
 4410 libkpim6akonadiprivate6            	       4       11        1        2        4
 4411 libkpim6akonadisearchpim6          	       4        6        0        2        0
 4412 libkpim6akonadiwidgets6            	       4       10        1        2        3
 4413 libkpim6calendarutils6             	       4        7        0        2        1
 4414 libkpim6grantleetheme6             	       4        8        1        2        1
 4415 libkpim6gravatar6                  	       4        6        0        2        0
 4416 libkpim6identitymanagementcore6    	       4        7        0        2        1
 4417 libkpim6identitymanagementwidgets6 	       4        7        0        2        1
 4418 libkpim6imap6                      	       4        6        0        2        0
 4419 libkpim6ldapcore6                  	       4        7        0        2        1
 4420 libkpim6libkdepim6                 	       4        6        0        2        0
 4421 libkpim6libkleo6                   	       4        6        0        2        0
 4422 libkpim6mailtransport6             	       4        7        0        2        1
 4423 libkpim6mbox6                      	       4        6        0        2        0
 4424 libkpim6messagecomposer6           	       4        6        0        2        0
 4425 libkpim6messagecore6               	       4        6        0        2        0
 4426 libkpim6messageviewer6             	       4        6        0        2        0
 4427 libkpim6mime6                      	       4        8        1        2        1
 4428 libkpim6mimetreeparser6            	       4        6        0        2        0
 4429 libkpim6pimcommon6                 	       4        6        0        2        0
 4430 libkpim6pimcommonakonadi6          	       4        6        0        2        0
 4431 libkpim6templateparser6            	       4        6        0        2        0
 4432 libkpim6textedit6                  	       4        7        0        2        1
 4433 libkpim6webengineviewer6           	       4        6        0        2        0
 4434 libkwaylandserver5                 	       4       90       44        1       41
 4435 libkwin4-effect-builtins1          	       4      111       44        1       62
 4436 libkwineffects12a                  	       4       89       43        1       41
 4437 libkwinglutils12                   	       4       90       43        1       42
 4438 libkwinxrenderutils12              	       4       90       43        1       42
 4439 liblist-moreutils-xs-perl          	       4      522       17        0      501
 4440 libllvm18                          	       4       60       20        0       36
 4441 liblog-dispatch-perl               	       4      347      340        3        0
 4442 liblwp-useragent-determined-perl   	       4       38       33        1        0
 4443 libmail-authenticationresults-perl 	       4      101       95        2        0
 4444 libmail-dmarc-perl                 	       4       51       46        1        0
 4445 libmatroska7                       	       4     1508       21        0     1483
 4446 libmetis5                          	       4     2614       13        0     2597
 4447 libmicrohttpd12                    	       4      178       14        0      160
 4448 libmodbus5                         	       4       44       10        0       30
 4449 libmodule-scandeps-perl            	       4      202      197        1        0
 4450 libmojolicious-perl                	       4      134      128        2        0
 4451 libmount-dev                       	       4      778      736       38        0
 4452 libnet-libidn2-perl                	       4       81       13        0       64
 4453 libnet-patricia-perl               	       4       31       14        0       13
 4454 libnetfilter-acct1                 	       4     1283        9        0     1270
 4455 libnfs14                           	       4      228        6        0      218
 4456 libnss-sss                         	       4       29       20        2        3
 4457 libodbcinst2                       	       4      571       10        0      557
 4458 libogg-dev                         	       4      315      306        5        0
 4459 libokular5core10                   	       4      486       17        0      465
 4460 libpackage-stash-xs-perl           	       4     1083        4        0     1075
 4461 libpam-ck-connector                	       4       93       18        0       71
 4462 libpam-sss                         	       4       29       21        2        2
 4463 libpam0t64                         	       4       16       12        0        0
 4464 libpcre2-posix3                    	       4      762       15        0      743
 4465 libpcre3-dev                       	       4      390      380        6        0
 4466 libphodav-3.0-0                    	       4      315        9        0      302
 4467 libpipeline1                       	       4     4135       22        1     4108
 4468 libpoppler-qt5-1                   	       4     1101       32        0     1065
 4469 libpoppler102                      	       4      591       44        0      543
 4470 libpoppler145                      	       4       42        2        0       36
 4471 libportal1                         	       4      399       13        2      380
 4472 libpostproc57                      	       4      104        6        0       94
 4473 libpugixml1v5                      	       4      611       10        0      597
 4474 libqalculate23                     	       4       54        9        2       39
 4475 libqt5concurrent5t64               	       4       95       18        0       73
 4476 libqt5webkit5                      	       4     1183       21        1     1157
 4477 libqt6keychain1                    	       4       27        1        2       20
 4478 libqt6openglwidgets6               	       4      348        3        0      341
 4479 libqt6webenginecore6-bin           	       4      234      222        8        0
 4480 libref-util-xs-perl                	       4      695        4        0      687
 4481 librelp0                           	       4       13        0        0        9
 4482 libreoffice-sdbc-mysql             	       4      557      525       28        0
 4483 librnp0                            	       4      111       16        0       91
 4484 librplay3                          	       4       76        6        0       66
 4485 libruby2.1                         	       4       73       69        0        0
 4486 libsdl1.2-dev                      	       4      191      183        4        0
 4487 libsdl1.2debian                    	       4     1954       15        1     1934
 4488 libsecret-tools                    	       4       76       69        3        0
 4489 libselinux1-dev                    	       4      798      759       34        1
 4490 libsepol-dev                       	       4      631      598       29        0
 4491 libsexpp0                          	       4       81       16        0       61
 4492 libsignal-protocol-c2.3.2          	       4       55        6        0       45
 4493 libsixel-bin                       	       4      353      324       25        0
 4494 libslang2-dev                      	       4      237      228        5        0
 4495 libslp1                            	       4       43       10        0       29
 4496 libsm-dev                          	       4      934      903       27        0
 4497 libsoundtouch1                     	       4     3029        9        0     3016
 4498 libspdlog1.10                      	       4      513       14        1      494
 4499 libspice-client-glib-2.0-8         	       4      458       20        0      434
 4500 libspice-client-gtk-3.0-5          	       4      448       20        0      424
 4501 libspreadsheet-writeexcel-perl     	       4      360      348        8        0
 4502 libsss-idmap0                      	       4       29       17        2        6
 4503 libstatgrab10                      	       4      252       21        1      226
 4504 libstring-shellquote-perl          	       4      330      321        5        0
 4505 libsub-identify-perl               	       4     1118        5        0     1109
 4506 libsuitesparseconfig5              	       4     2753       13        0     2736
 4507 libsvn1                            	       4      497       15        0      478
 4508 libsys-meminfo-perl                	       4       57        1        0       52
 4509 libsys-mmap-perl                   	       4       12        1        0        7
 4510 libsz2                             	       4      807       11        0      792
 4511 libtest-fatal-perl                 	       4      176      170        2        0
 4512 libtext-iconv-perl                 	       4     4150       10        0     4136
 4513 libtpms0                           	       4      294        2        0      288
 4514 libtqt3-mt                         	       4       43       26        0       13
 4515 libtqtinterface                    	       4       42       27        0       11
 4516 libtracker-miner-2.0-0             	       4       59       28        0       27
 4517 libtracker-sparql-2.0-0            	       4      142       32        0      106
 4518 libuim-scm0                        	       4       47       22        1       20
 4519 libuim8                            	       4       47       22        1       20
 4520 libumfpack5                        	       4     2600       13        0     2583
 4521 libunix-syslog-perl                	       4       36       15        0       17
 4522 libusbredirhost1                   	       4      432       19        0      409
 4523 libutf8proc3                       	       4       68        4        0       60
 4524 libuv1t64                          	       4      263       14        0      245
 4525 libvariable-magic-perl             	       4     1117        5        0     1108
 4526 libvdpau-va-gl1                    	       4     3241        7        0     3230
 4527 libvirt-daemon-driver-storage-iscsi	       4       16       11        1        0
 4528 libvulkan-dev                      	       4      410      392       13        1
 4529 libwayland-bin                     	       4      461      438       19        0
 4530 libwayland-dev                     	       4      460      437       19        0
 4531 libweather-ion7                    	       4      553       27        0      522
 4532 libwpe-1.0-1                       	       4      667       54        2      607
 4533 libwpebackend-fdo-1.0-1            	       4      666       54        2      606
 4534 libxcursor-dev                     	       4      577      553       20        0
 4535 libxdamage-dev                     	       4      515      493       18        0
 4536 libxencall1t64                     	       4       17       11        0        2
 4537 libxendevicemodel1t64              	       4       17       11        0        2
 4538 libxenevtchn1t64                   	       4       17       11        0        2
 4539 libxenforeignmemory1t64            	       4       17       11        0        2
 4540 libxengnttab1t64                   	       4       17       11        0        2
 4541 libxenhypfs1t64                    	       4       17       11        0        2
 4542 libxenmisc4.17t64                  	       4       18       12        0        2
 4543 libxentoolcore1t64                 	       4       17       11        0        2
 4544 libxentoollog1t64                  	       4       17       11        0        2
 4545 libxerces-c3.2                     	       4      979       14        0      961
 4546 libxkbcommon-dev                   	       4      458      437       17        0
 4547 libxml-treepp-perl                 	       4       28       24        0        0
 4548 libxml2-dev                        	       4      675      659       12        0
 4549 libxmlrpc-epi0                     	       4       33        7        0       22
 4550 libxrandr-dev                      	       4      603      577       22        0
 4551 libxstring-perl                    	       4      927        5        0      918
 4552 libyaml-perl                       	       4      264      257        3        0
 4553 libytnef0                          	       4      286       15        0      267
 4554 libz3-dev                          	       4      399      389        6        0
 4555 lighttpd-mod-deflate               	       4       49       45        0        0
 4556 lighttpd-mod-openssl               	       4       50       45        1        0
 4557 linux-headers-6.1.0-30-amd64       	       4       80       63       13        0
 4558 linux-headers-6.1.0-30-common      	       4       83       66       13        0
 4559 linux-image-6.1.0-25-amd64         	       4      545      541        0        0
 4560 linux-image-6.12.10-amd64          	       4       15       11        0        0
 4561 linux-image-6.12.9-amd64           	       4       20       16        0        0
 4562 live-tools                         	       4      165      157        4        0
 4563 lpr                                	       4       27       23        0        0
 4564 lua-expat                          	       4      228        7        0      217
 4565 lua-filesystem                     	       4      108        7        0       97
 4566 lxinput                            	       4      196      174       18        0
 4567 lxlock                             	       4      195      173       18        0
 4568 lxtask                             	       4      207      185       18        0
 4569 maildir-utils                      	       4       16       11        1        0
 4570 maxima                             	       4       47       43        0        0
 4571 minisat                            	       4       94       88        2        0
 4572 mono-4.0-gac                       	       4      319      309        6        0
 4573 mpg123                             	       4      174      168        2        0
 4574 mtp-tools                          	       4      146      142        0        0
 4575 mtr                                	       4      148      142        2        0
 4576 mumble                             	       4       57       53        0        0
 4577 ncmpcpp                            	       4       32       25        3        0
 4578 network-manager-config-connectivity-debian	       4       43       35        4        0
 4579 network-manager-fortisslvpn        	       4       44       38        2        0
 4580 newsboat                           	       4       43       38        1        0
 4581 nocache                            	       4       25       21        0        0
 4582 node-babel7                        	       4      181      176        1        0
 4583 node-browserslist                  	       4      183      177        2        0
 4584 node-escodegen                     	       4      181      176        1        0
 4585 node-esprima                       	       4      186      181        1        0
 4586 node-istanbul                      	       4      181      176        1        0
 4587 node-js-yaml                       	       4      186      181        1        0
 4588 node-jsesc                         	       4      182      177        1        0
 4589 node-json5                         	       4      184      179        1        0
 4590 node-postcss                       	       4      182      177        1        0
 4591 node-regjsparser                   	       4      181      176        1        0
 4592 node-rimraf                        	       4      218      213        1        0
 4593 node-semver                        	       4      220      215        1        0
 4594 node-sshpk                         	       4       67       62        1        0
 4595 node-tape                          	       4      181      176        1        0
 4596 nordvpn                            	       4       15       11        0        0
 4597 nxagent                            	       4       72       65        3        0
 4598 ocrmypdf                           	       4       59       54        1        0
 4599 onboard                            	       4       47       42        1        0
 4600 openconnect                        	       4       96       89        3        0
 4601 openjdk-8-jre-headless             	       4      201      196        1        0
 4602 opensysusers                       	       4       30       26        0        0
 4603 package-update-indicator           	       4       22       16        2        0
 4604 pahole                             	       4       64       60        0        0
 4605 palemoon                           	       4       61       56        1        0
 4606 pango1.0-tools                     	       4      473      448       21        0
 4607 partitionmanager                   	       4      540      488       48        0
 4608 pdfarranger                        	       4      102       97        1        0
 4609 pdfgrep                            	       4       65       61        0        0
 4610 perl-doc                           	       4      251      239        8        0
 4611 php-apcu                           	       4       70       14        0       52
 4612 php-cgi                            	       4       40       33        1        2
 4613 php5.6-cli                         	       4       13        9        0        0
 4614 php5.6-common                      	       4       14       10        0        0
 4615 php5.6-json                        	       4       13        9        0        0
 4616 php5.6-opcache                     	       4       13        9        0        0
 4617 php5.6-readline                    	       4       13        9        0        0
 4618 php7.0-intl                        	       4       16       12        0        0
 4619 php7.3-intl                        	       4       26       22        0        0
 4620 php7.3-soap                        	       4       11        7        0        0
 4621 php7.4-bcmath                      	       4       20       16        0        0
 4622 php7.4-gmp                         	       4       15       11        0        0
 4623 php7.4-ldap                        	       4       16       12        0        0
 4624 php7.4-sqlite3                     	       4       19       15        0        0
 4625 php7.4-xmlrpc                      	       4       17       13        0        0
 4626 php8.2-xmlrpc                      	       4        8        4        0        0
 4627 php8.4-cli                         	       4        7        3        0        0
 4628 plasma-systemmonitor               	       4      428      377       47        0
 4629 plasma-welcome                     	       4       25       18        3        0
 4630 podman                             	       4       58       53        1        0
 4631 postfix-pcre                       	       4       29       24        1        0
 4632 postgresql-client-9.4              	       4       31       27        0        0
 4633 printer-driver-dymo                	       4      547      536        7        0
 4634 printer-driver-hpijs               	       4      255      244        6        1
 4635 pv                                 	       4      464      448       12        0
 4636 python-gi                          	       4      221      213        4        0
 4637 python-gi-cairo                    	       4      108      102        2        0
 4638 python-libxml2                     	       4       89       84        1        0
 4639 python-lxml                        	       4      176      172        0        0
 4640 python-ptyprocess                  	       4       37       33        0        0
 4641 python-setuptools                  	       4      182      178        0        0
 4642 python-tdb                         	       4      100       96        0        0
 4643 python-wicd                        	       4      139      133        2        0
 4644 python3-automat                    	       4      294      275       15        0
 4645 python3-babel                      	       4      495      473       18        0
 4646 python3-backcall                   	       4      254      244        6        0
 4647 python3-cycler                     	       4      548      534       10        0
 4648 python3-decorator                  	       4      871      850       17        0
 4649 python3-dnspython                  	       4      606      594        8        0
 4650 python3-docutils                   	       4      380      369        6        1
 4651 python3-entrypoints                	       4      120      114        2        0
 4652 python3-fonttools                  	       4      460      444       12        0
 4653 python3-gdal                       	       4      148      140        4        0
 4654 python3-invoke                     	       4      376      342       30        0
 4655 python3-jdcal                      	       4       98       94        0        0
 4656 python3-jedi                       	       4      328      319        5        0
 4657 python3-lazr.restfulclient         	       4      835      760       71        0
 4658 python3-lazr.uri                   	       4      837      760       73        0
 4659 python3-parso                      	       4      328      319        5        0
 4660 python3-pikepdf                    	       4      168      162        2        0
 4661 python3-pivy                       	       4      114      109        1        0
 4662 python3-pyasn1                     	       4      456      436       16        0
 4663 python3-pyqt5.qtopengl             	       4      275      262        9        0
 4664 python3-pyqt5.qtsql                	       4      209      197        8        0
 4665 python3-pyrsistent                 	       4      291      280        7        0
 4666 python3-pythran                    	       4      403      389       10        0
 4667 python3-renderpm                   	       4      587      572        8        3
 4668 python3-scour                      	       4      586      565       17        0
 4669 python3-selinux                    	       4       72       66        2        0
 4670 python3-sniffio                    	       4      493      475       14        0
 4671 python3-sortedcontainers           	       4      194      186        4        0
 4672 python3-wadllib                    	       4      837      761       72        0
 4673 python3-wrapt                      	       4      200      193        3        0
 4674 python3-zc.lockfile                	       4       14       10        0        0
 4675 python3-zipp                       	       4      593      574       15        0
 4676 python3.11-venv                    	       4      374      354       16        0
 4677 python3.5                          	       4      210      206        0        0
 4678 qml-module-org-kde-newstuff        	       4     1047       25        6     1012
 4679 qpdfview                           	       4      322      300       18        0
 4680 qrencode                           	       4      248      240        4        0
 4681 qutebrowser                        	       4       55       49        2        0
 4682 rcs                                	       4      126      120        2        0
 4683 rdesktop                           	       4      208      201        3        0
 4684 re2c                               	       4      119      113        2        0
 4685 recode                             	       4      126      118        4        0
 4686 redis-server                       	       4       84       79        1        0
 4687 redshift-gtk                       	       4      111      103        4        0
 4688 rhythmbox                          	       4      397      356       37        0
 4689 rsyslog-relp                       	       4       13        0        0        9
 4690 rubberband-ladspa                  	       4       45       38        0        3
 4691 ruby-eventmachine                  	       4       20       16        0        0
 4692 ruby-rack                          	       4       42       38        0        0
 4693 ruby2.1                            	       4       65       61        0        0
 4694 sa-compile                         	       4       96       90        2        0
 4695 sakura                             	       4       46       42        0        0
 4696 scdaemon                           	       4       69       64        1        0
 4697 setserial                          	       4       67       62        1        0
 4698 slack-desktop                      	       4       44       40        0        0
 4699 slashem-common                     	       4       25       21        0        0
 4700 sleuthkit                          	       4      170      161        5        0
 4701 sntp                               	       4      382      376        2        0
 4702 spacefm                            	       4       42       38        0        0
 4703 spotify-client                     	       4       66       60        2        0
 4704 sqlitebrowser                      	       4      131      125        2        0
 4705 sshguard                           	       4       26       22        0        0
 4706 swtpm-libs                         	       4      278        1        0      273
 4707 sxhkd                              	       4       37       31        2        0
 4708 syncthing-gtk                      	       4       47       42        1        0
 4709 tailscale                          	       4       12        8        0        0
 4710 tap-plugins                        	       4       78       72        2        0
 4711 tdebase-tdeio-plugins-trinity      	       4       35       31        0        0
 4712 tdebase-trinity-bin                	       4       36       32        0        0
 4713 tdednssd-trinity                   	       4       24       20        0        0
 4714 tdegraphics-tdefile-plugins-trinity	       4       28       24        0        0
 4715 tdepowersave-trinity               	       4       26       22        0        0
 4716 telepathy-mission-control-5        	       4      341      333        4        0
 4717 tiger                              	       4       21       17        0        0
 4718 transmission-cli                   	       4       71       67        0        0
 4719 udev                               	       4     1973       56        1     1912
 4720 udftools                           	       4       61       57        0        0
 4721 uim                                	       4       36       31        1        0
 4722 uim-xim                            	       4       36       31        1        0
 4723 ulogd2                             	       4       11        7        0        0
 4724 unace                              	       4       81       58        6       13
 4725 unclutter                          	       4       22       18        0        0
 4726 unclutter-xfixes                   	       4        6        2        0        0
 4727 unifi                              	       4       19       15        0        0
 4728 unrar-free                         	       4      233      227        2        0
 4729 v4l-utils                          	       4      108      103        1        0
 4730 valgrind                           	       4      203      196        3        0
 4731 vifm                               	       4       33       29        0        0
 4732 vpnc                               	       4       84       77        3        0
 4733 w3m-img                            	       4      418      390       24        0
 4734 watchdog                           	       4       16       11        1        0
 4735 wireshark-qt                       	       4      282      275        3        0
 4736 wkhtmltopdf                        	       4       65       61        0        0
 4737 wngerman                           	       4      386      366       16        0
 4738 wx-common                          	       4      151      145        2        0
 4739 x2goserver-extensions              	       4       61       54        3        0
 4740 xapps-common                       	       4      407      367       36        0
 4741 xfce4-datetime-plugin              	       4      464       26        0      434
 4742 xfce4-fsguard-plugin               	       4     1656       16        0     1636
 4743 xfce4-wavelan-plugin               	       4     1651       13        1     1633
 4744 xfonts-100dpi                      	       4     3085       14        0     3067
 4745 xfstt                              	       4       10        6        0        0
 4746 xl2tpd                             	       4       60       54        2        0
 4747 xournal                            	       4       85       81        0        0
 4748 xscreensaver-gl                    	       4      324       75        0      245
 4749 xserver-xorg-input-mouse           	       4      216      209        3        0
 4750 xvfb                               	       4      171      163        4        0
 4751 zeitgeist-datahub                  	       4       17       13        0        0
 4752 zoneminder                         	       4       11        7        0        0
 4753 abiword                            	       3       91       85        3        0
 4754 accountwizard                      	       3      467      415       49        0
 4755 ace-of-penguins                    	       3       53       50        0        0
 4756 adequate                           	       3       26       23        0        0
 4757 adwaita-qt                         	       3      106        7        0       96
 4758 aha                                	       3      435      385       47        0
 4759 alsaplayer-common                  	       3       76       73        0        0
 4760 amanda-client                      	       3       15       12        0        0
 4761 amanda-common                      	       3       15       12        0        0
 4762 analog                             	       3      311      286       22        0
 4763 and                                	       3        8        5        0        0
 4764 antiword                           	       3       81       77        1        0
 4765 antlr                              	       3      112      106        3        0
 4766 apache2-suexec-pristine            	       3       29       26        0        0
 4767 apt-rdepends                       	       3       67       63        1        0
 4768 arp-scan                           	       3       97       92        2        0
 4769 artsbuilder-trinity                	       3       26       23        0        0
 4770 autojump                           	       3       20       16        1        0
 4771 avahi-dnsconfd                     	       3        9        6        0        0
 4772 awesfx                             	       3        9        6        0        0
 4773 axel                               	       3       33       28        2        0
 4774 backintime-qt                      	       3       30       27        0        0
 4775 backup2l                           	       3        6        3        0        0
 4776 baobab                             	       3      197      178       16        0
 4777 battery-stats                      	       3        9        6        0        0
 4778 bgscripts-core                     	       3       17       12        2        0
 4779 bind9utils                         	       3      115       30        0       82
 4780 birdtray                           	       3       13        9        1        0
 4781 brightness-udev                    	       3       53       50        0        0
 4782 brightnessctl                      	       3       53       50        0        0
 4783 bspwm                              	       3       24       20        1        0
 4784 caja-share                         	       3       19        9        0        7
 4785 calamares                          	       3       10        5        2        0
 4786 calamares-settings-debian          	       3        6        3        0        0
 4787 calendar                           	       3      125      122        0        0
 4788 calibre                            	       3      222      216        3        0
 4789 cargo                              	       3       94       91        0        0
 4790 catatonit                          	       3       42       38        1        0
 4791 catfish                            	       3       62       57        2        0
 4792 ccache                             	       3      136      128        5        0
 4793 ccrypt                             	       3       63       58        2        0
 4794 ccze                               	       3       40       37        0        0
 4795 cdrskin                            	       3       46       42        1        0
 4796 clamav-unofficial-sigs             	       3       13       10        0        0
 4797 clamdscan                          	       3       88       85        0        0
 4798 clang-14                           	       3      195      187        5        0
 4799 claws-mail-i18n                    	       3      162       14        0      145
 4800 cmst                               	       3      107      101        3        0
 4801 colordiff                          	       3       71       67        1        0
 4802 comerr-dev                         	       3      193      187        3        0
 4803 compiz-core                        	       3       50       45        2        0
 4804 compiz-mate                        	       3       35       30        2        0
 4805 compiz-plugins                     	       3       50       45        2        0
 4806 compiz-plugins-extra               	       3       36       31        2        0
 4807 compiz-plugins-main                	       3       50       45        2        0
 4808 connman-gtk                        	       3      100       88        9        0
 4809 conntrackd                         	       3        7        4        0        0
 4810 console-common                     	       3      119      114        2        0
 4811 containernetworking-plugins        	       3       64       60        1        0
 4812 corosync                           	       3       11        8        0        0
 4813 coturn                             	       3       16       13        0        0
 4814 courier-authlib-userdb             	       3       22       19        0        0
 4815 courier-mta                        	       3        8        5        0        0
 4816 cpp-4.9                            	       3      160      155        1        1
 4817 cryptsetup-run                     	       3      149       19        0      127
 4818 csh                                	       3       48       43        2        0
 4819 cvs                                	       3      230      222        5        0
 4820 dblatex                            	       3       76       72        1        0
 4821 dconf-editor                       	       3      183      173        7        0
 4822 debconf-utils                      	       3      100       95        2        0
 4823 deluge-gtk                         	       3      212      198       11        0
 4824 dfu-util                           	       3       43       40        0        0
 4825 dh-make                            	       3       72       67        2        0
 4826 dhcpcd5                            	       3       22        2        0       17
 4827 dnscrypt-proxy                     	       3       19       16        0        0
 4828 dosbox                             	       3      199      192        4        0
 4829 doxygen                            	       3      162      158        1        0
 4830 dropbox                            	       3       31       28        0        0
 4831 earlyoom                           	       3       10        7        0        0
 4832 easytag                            	       3       97       93        1        0
 4833 elpa-htmlize                       	       3       52       48        1        0
 4834 emacs-common                       	       3      457      415       11       28
 4835 erlang-public-key                  	       3       39       36        0        0
 4836 erlang-ssl                         	       3       38       35        0        0
 4837 erlang-yaws                        	       3        5        2        0        0
 4838 eslint                             	       3      171      167        1        0
 4839 evtest                             	       3      108      102        2        1
 4840 exa                                	       3       36       28        5        0
 4841 exiftran                           	       3      194      187        4        0
 4842 ext4magic                          	       3       57       54        0        0
 4843 extlinux                           	       3      181      174        4        0
 4844 extrepo                            	       3       60       53        4        0
 4845 falkon                             	       3       85       82        0        0
 4846 fatcat                             	       3       30       27        0        0
 4847 fd-find                            	       3       32       27        2        0
 4848 findimagedupes                     	       3       20       17        0        0
 4849 firefox-esr-l10n-pl                	       3       46       41        2        0
 4850 firehol                            	       3       21       18        0        0
 4851 firehol-common                     	       3       21       18        0        0
 4852 firewalld                          	       3       48       42        3        0
 4853 firmware-intel-sound               	       3      110      105        2        0
 4854 fonts-adf-accanthis                	       3      177        9        0      165
 4855 fonts-cabin                        	       3      186        8        0      175
 4856 fonts-dustin                       	       3      103        2        0       98
 4857 fonts-ipaexfont-mincho             	       3       85        1        0       81
 4858 fonts-lohit-beng-bengali           	       3       71        4        0       64
 4859 fonts-lohit-telu                   	       3       59        3        0       53
 4860 fonts-oflb-asana-math              	       3      191       13        0      175
 4861 fonts-paratype                     	       3      135        7        0      125
 4862 fonts-powerline                    	       3       49       11        0       35
 4863 fonts-smc-meera                    	       3       31        8        0       20
 4864 fonts-texgyre-math                 	       3      418       16        0      399
 4865 fonts-wine                         	       3      524        7        0      514
 4866 fossil                             	       3       34       30        1        0
 4867 fp-compiler-3.2.2                  	       3       90       82        5        0
 4868 freerdp2-x11                       	       3      192      184        5        0
 4869 fxload                             	       3       74       69        2        0
 4870 g++-6                              	       3      118      115        0        0
 4871 g15daemon                          	       3        5        2        0        0
 4872 gamemode                           	       3       65       59        3        0
 4873 gcc-12-aarch64-linux-gnu           	       3       19       15        1        0
 4874 gcc-4.9                            	       3      142      138        0        1
 4875 gcc-aarch64-linux-gnu              	       3       34       30        1        0
 4876 gdbserver                          	       3       89       85        1        0
 4877 ghp-import                         	       3      118      108        7        0
 4878 gir1.2-gmenu-3.0                   	       3      245        9        0      233
 4879 gir1.2-gtk-4.0                     	       3      448        3        1      441
 4880 gir1.2-gtop-2.0                    	       3       38       10        0       25
 4881 gir1.2-wnck-3.0                    	       3     2534       21        0     2510
 4882 gitk                               	       3      197      187        7        0
 4883 gkrellm-leds                       	       3       17       14        0        0
 4884 gkrellmoon                         	       3       25       22        0        0
 4885 gmrun                              	       3       31       28        0        0
 4886 gnome-epub-thumbnailer             	       3       33       27        3        0
 4887 gnome-software-plugin-flatpak      	       3       45        7        2       33
 4888 gnome-sudoku                       	       3      382      343       36        0
 4889 gnome-system-monitor               	       3      402      364       35        0
 4890 gnome-system-tools                 	       3      239      215       21        0
 4891 gnome-text-editor                  	       3      103       85       15        0
 4892 gnuplot-x11                        	       3      136      132        1        0
 4893 golang-1.19-go                     	       3      117      110        4        0
 4894 gpart                              	       3      125      121        1        0
 4895 gpicview                           	       3      230      208       19        0
 4896 gramps                             	       3       38       35        0        0
 4897 greybird-gtk-theme                 	       3      193       17        5      168
 4898 groff                              	       3      168      163        2        0
 4899 gthumb                             	       3      133      126        4        0
 4900 guake                              	       3       29       26        0        0
 4901 gvfs-bin                           	       3      110      107        0        0
 4902 gvncviewer                         	       3       32       29        0        0
 4903 heif-thumbnailer                   	       3       45       39        3        0
 4904 hplip-data                         	       3      618      546        8       61
 4905 hunspell                           	       3      110      105        2        0
 4906 hunspell-de-de                     	       3      313      291       15        4
 4907 i2pd                               	       3       24       21        0        0
 4908 ibus-mozc                          	       3       14       11        0        0
 4909 icecc                              	       3       10        7        0        0
 4910 icewm-common                       	       3       80       73        4        0
 4911 inetutils-syslogd                  	       3       12        9        0        0
 4912 inputattach                        	       3       72       69        0        0
 4913 intel-media-va-driver-non-free     	       3       32        6        0       23
 4914 ipcalc                             	       3      108      104        1        0
 4915 iprange                            	       3       28       25        0        0
 4916 iputils-arping                     	       3       83       79        1        0
 4917 iputils-tracepath                  	       3       91       88        0        0
 4918 ipython3                           	       3      127      121        3        0
 4919 joystick                           	       3       69       66        0        0
 4920 jpegoptim                          	       3       35       32        0        0
 4921 junit                              	       3      159      155        1        0
 4922 kalarm                             	       3       58       52        3        0
 4923 kcontrol-trinity                   	       3       34       31        0        0
 4924 kdelibs-bin                        	       3       48       45        0        0
 4925 kdiff3                             	       3       82       75        4        0
 4926 keepassxc-full                     	       3       23       19        1        0
 4927 keychain                           	       3       38       34        1        0
 4928 kgpg                               	       3       67       62        2        0
 4929 kicker-trinity                     	       3       34       31        0        0
 4930 kmilo-trinity                      	       3       24       21        0        0
 4931 kmix-trinity                       	       3       31       28        0        0
 4932 kodi                               	       3       85       81        1        0
 4933 kolourpaint                        	       3      106       99        4        0
 4934 konsole-trinity                    	       3       33       30        0        0
 4935 kontrast                           	       3      426      373       50        0
 4936 korganizer-trinity                 	       3       28       25        0        0
 4937 krb5-multidev                      	       3      162      155        4        0
 4938 krb5-user                          	       3       76       71        2        0
 4939 krfb-trinity                       	       3       23       20        0        0
 4940 kross                              	       3      527      478       46        0
 4941 ksmserver-trinity                  	       3       33       30        0        0
 4942 kstart                             	       3       11        7        1        0
 4943 ktexteditor-data                   	       3      679       11        0      665
 4944 leafpad                            	       3       59       56        0        0
 4945 libadwaitaqt1                      	       3      111        7        0      101
 4946 libadwaitaqtpriv1                  	       3      111        7        0      101
 4947 libakonadisearch-plugins           	       3        6        0        2        1
 4948 libantlr3c-3.4-0                   	       3       38        1        0       34
 4949 libapache2-mod-authnz-external     	       3        9        6        0        0
 4950 libapache2-mod-php7.3              	       3       40       37        0        0
 4951 libapache2-mod-python              	       3       19       16        0        0
 4952 libapache2-mod-wsgi                	       3        6        3        0        0
 4953 libarmadillo11                     	       3      463        7        0      453
 4954 libarpack2                         	       3      664       10        0      651
 4955 libasn1-8-heimdal                  	       3       80        6        0       71
 4956 libatk1.0-dev                      	       3      427      406       18        0
 4957 libatlas3-base                     	       3      162       13        0      146
 4958 libatrilview3                      	       3     1909       26        0     1880
 4959 libattr1                           	       3     4168        6        0     4159
 4960 libavdevice60                      	       3       68        0        0       65
 4961 libbamf3-2                         	       3      108       16        0       89
 4962 libbasicobjects0t64                	       3       16       12        1        0
 4963 libbinutils                        	       3     2697       23        0     2671
 4964 libblosc1                          	       3      616       10        0      603
 4965 libc6-dev-arm64-cross              	       3       38       34        1        0
 4966 libcairo2-dev                      	       3      567      543       21        0
 4967 libcbor0.10                        	       3      286       12        2      269
 4968 libcharon-extra-plugins            	       3       20       16        1        0
 4969 libclamunrar11                     	       3       14        9        0        2
 4970 libclang-common-14-dev             	       3      236      227        6        0
 4971 libclang1-11                       	       3      159      155        0        1
 4972 libclass-tiny-perl                 	       3      131      124        4        0
 4973 libcollection4t64                  	       3       16       12        1        0
 4974 libcompizconfig0                   	       3       48       43        2        0
 4975 libcompress-raw-zlib-perl          	       3       34        6        0       25
 4976 libconfig-file-perl                	       3       35       32        0        0
 4977 libconfig-grammar-perl             	       3       16       13        0        0
 4978 libconfig11                        	       3        8        0        0        5
 4979 libcrypt-passwdmd5-perl            	       3       41       38        0        0
 4980 libcuda1                           	       3      176        4        2      167
 4981 libdate-tz3                        	       3       89       13        1       72
 4982 libdatetime-perl                   	       3      376        1        0      372
 4983 libdazzle-1.0-0                    	       3      119        3        0      113
 4984 libdbus-glib-1-dev-bin             	       3       78       73        2        0
 4985 libdecoration0                     	       3       49        9        0       37
 4986 libdhash1                          	       3       13        7        0        3
 4987 libdhash1t64                       	       3       16       12        1        0
 4988 libdolphinvcs6                     	       3       21        3        0       15
 4989 libdrm-amdgpu-radeon1              	       3       12        6        0        3
 4990 libedit-dev                        	       3       52       48        1        0
 4991 libenca0                           	       3      780        8        0      769
 4992 libeudev-dev                       	       3      412      405        4        0
 4993 libev-perl                         	       3      265        0        0      262
 4994 libevent-2.1-6                     	       3      270        5        0      262
 4995 libevent-extra-2.1-7               	       3      161        4        0      154
 4996 libevent-openssl-2.1-7             	       3      161        4        0      154
 4997 libevent-perl                      	       3       24       19        2        0
 4998 libfile-readbackwards-perl         	       3      107      103        1        0
 4999 libfinance-quote-perl              	       3      106      102        1        0
 5000 libflac-dev                        	       3      237      229        5        0
 5001 libfm-qt8                          	       3       56       19        1       33
 5002 libfreexl1                         	       3      667       10        0      654
 5003 libfwupdplugin1                    	       3       69       15        2       49
 5004 libfyba0                           	       3      596       10        0      583
 5005 libg15-1                           	       3       11        0        0        8
 5006 libg15render1                      	       3       11        0        0        8
 5007 libgail18t64                       	       3      207        7        0      197
 5008 libgamemode0                       	       3       82        0        1       78
 5009 libgconf-2-4                       	       3      487       19        0      465
 5010 libgdal32                          	       3      460        7        0      450
 5011 libgdiplus                         	       3      250      243        4        0
 5012 libgeos-c1v5                       	       3      612       10        0      599
 5013 libgeos3.11.1                      	       3      472        8        0      461
 5014 libgeotiff5                        	       3      623       10        0      610
 5015 libgetopt-long-descriptive-perl    	       3      210      203        4        0
 5016 libgl1-amdgpu-mesa-dri             	       3        9        5        0        1
 5017 libglib-perl                       	       3     2613       11        0     2599
 5018 libglibmm-2.68-1                   	       3      109       23        1       82
 5019 libglvnd-core-dev                  	       3      536      507       20        6
 5020 libgpg-error-dev                   	       3      196      191        2        0
 5021 libgraphicsmagick-q16-3            	       3      538      522       13        0
 5022 libgssrpc4                         	       3      197        3        0      191
 5023 libgtk-3-dev                       	       3      283      267       13        0
 5024 libgtksourceview-3.0-common        	       3     2080       13        0     2064
 5025 libgumbo1                          	       3      461        7        0      451
 5026 libgweather-4-0t64                 	       3       30        8        1       18
 5027 libgweather-4-common               	       3      422        4        0      415
 5028 libhackrf0                         	       3       54       50        1        0
 5029 libharfbuzz-dev                    	       3      520      495       22        0
 5030 libhcrypto5-heimdal                	       3       44        6        0       35
 5031 libhdf4-0-alt                      	       3      667       10        0      654
 5032 libhdf5-hl-100                     	       3      627       10        0      614
 5033 libheimbase1-heimdal               	       3       78        6        0       69
 5034 libhtml-selector-xpath-perl        	       3      100       96        1        0
 5035 libhtml-treebuilder-xpath-perl     	       3      106      102        1        0
 5036 libimlib2t64                       	       3      124       19        1      101
 5037 libini-config5t64                  	       3       16       12        1        0
 5038 libio-sessiondata-perl             	       3      244      239        2        0
 5039 libio-socket-socks-perl            	       3      126      121        2        0
 5040 libiperf0                          	       3      197       29        0      165
 5041 libiso9660-11t64                   	       3       35        5        0       27
 5042 libjs-popper.js                    	       3      318      305       10        0
 5043 libkdb5-10                         	       3      150        3        0      144
 5044 libkdecore5                        	       3       50       47        0        0
 5045 libkf5kontactinterface5            	       3      494       18        0      473
 5046 libkf5libkdepim-data               	       3      506       10        0      493
 5047 libkf5texteditor-bin               	       3      664      611       50        0
 5048 libkf5unitconversion-data          	       3      497       17        0      477
 5049 libkf6baloowidgets6                	       3       16        3        0       10
 5050 libkf6bookmarks-data               	       3       46        8        2       33
 5051 libkf6completion-data              	       3       59        8        2       46
 5052 libkf6configwidgets-data           	       3       68        8        1       56
 5053 libkf6i18n-data                    	       3       82        7        1       71
 5054 libkf6sonnet-data                  	       3       41        8        1       29
 5055 libkf6statusnotifieritem-data      	       3       42        8        1       30
 5056 libkf6userfeedbackwidgets6         	       3       22        3        0       16
 5057 libkmlbase1                        	       3      608       10        0      595
 5058 libkmldom1                         	       3      608       10        0      595
 5059 libkmlengine1                      	       3      607       10        0      594
 5060 libkrb5-dev                        	       3      147      140        4        0
 5061 libkscreen-data                    	       3       27        7        1       16
 5062 liblept5                           	       3      465        8        0      454
 5063 liblinux-inotify2-perl             	       3       14        1        0       10
 5064 liblirc-client0                    	       3     1916       23        0     1890
 5065 libllvm14                          	       3      537        9        0      525
 5066 liblocale-gettext-perl             	       3     4154       20        0     4131
 5067 liblog-any-perl                    	       3      211      206        2        0
 5068 liblog-message-perl                	       3      101       97        1        0
 5069 liblog-message-simple-perl         	       3      101       97        1        0
 5070 liblxqt-globalkeys-ui0             	       3       65       21        1       40
 5071 liblxqt-globalkeys0                	       3       69       21        1       44
 5072 libmarkdown2                       	       3     1117       17        1     1096
 5073 libmate-desktop-2-17t64            	       3       23       11        1        8
 5074 libmate-sensors-applet-plugin0     	       3      107       20        0       84
 5075 libmbedcrypto7t64                  	       3      117       15        0       99
 5076 libmodule-build-perl               	       3      151      147        1        0
 5077 libmodule-signature-perl           	       3      141      137        1        0
 5078 libmono-btls-interface4.0-cil      	       3      282      270        9        0
 5079 libmono-sqlite4.0-cil              	       3      128      123        2        0
 5080 libmono-system-data4.0-cil         	       3      201      194        4        0
 5081 libmono-system-drawing4.0-cil      	       3      245      237        5        0
 5082 libmono-system-transactions4.0-cil 	       3      201      194        4        0
 5083 libmono-system-web4.0-cil          	       3      127      122        2        0
 5084 libmono-system-windows-forms4.0-cil	       3      196      189        4        0
 5085 libmpdclient2t64                   	       3       20        6        0       11
 5086 libmtp9t64                         	       3      196        5        0      188
 5087 libmujs3                           	       3      138        5        0      130
 5088 libmxml1                           	       3      110        3        0      104
 5089 libnagios-plugin-perl              	       3        6        3        0        0
 5090 libnet-telnet-perl                 	       3       31       26        2        0
 5091 libnetcdf19                        	       3      495        8        0      484
 5092 libnetfilter-log1                  	       3       20        8        0        9
 5093 libnetfilter-queue1                	       3       40       11        0       26
 5094 libnotmuch5                        	       3       54        3        0       48
 5095 libnumber-bytes-human-perl         	       3       42       38        1        0
 5096 libnvidia-allocator1               	       3      127        6        0      118
 5097 libnvidia-gpucomp                  	       3       12        5        0        4
 5098 libnvidia-ml1                      	       3      187        6        1      177
 5099 libopenblas-pthread-dev            	       3      375      364        8        0
 5100 libopenobex2                       	       3       38       35        0        0
 5101 libopts25-dev                      	       3       54       51        0        0
 5102 liborcus-0.16-0                    	       3      462       23        0      436
 5103 liborcus-parser-0.16-0             	       3      462       23        0      436
 5104 libosinfo-l10n                     	       3      435        2        0      430
 5105 libotf0                            	       3      111        3        0      105
 5106 libpackage-deprecationmanager-perl 	       3      119      114        2        0
 5107 libpango1.0-dev                    	       3      477      453       21        0
 5108 libparse-debianchangelog-perl      	       3      244      240        1        0
 5109 libparted-fs-resize0t64            	       3       94        1        0       90
 5110 libparted2t64                      	       3      234        1        0      230
 5111 libpath-utils1t64                  	       3       18       12        1        2
 5112 libpcrecpp0v5                      	       3      556       13        0      540
 5113 libperl5.38t64                     	       3      121      118        0        0
 5114 libphonon-l10n                     	       3       75       16        0       56
 5115 libplank1                          	       3       51       23        0       25
 5116 libpod-readme-perl                 	       3      142      138        1        0
 5117 libpolkit-tqt                      	       3       24       13        0        8
 5118 libpoppler140                      	       3      190       12        0      175
 5119 libportaudio2                      	       3     1659        9        0     1647
 5120 libpresage1v5                      	       3       27        8        0       16
 5121 libprocps8                         	       3     1065       10        0     1052
 5122 libproj25                          	       3      564        8        0      553
 5123 libpulse-dev                       	       3      393      380       10        0
 5124 libpython3.9-dev                   	       3      183      177        2        1
 5125 libqgpgmeqt6-15                    	       3       12        1        2        6
 5126 libqhull-r8.0                      	       3      752        8        0      741
 5127 libqmi-proxy                       	       3     2735      125        1     2606
 5128 libqt5sql5t64                      	       3      144       35        0      106
 5129 libqt5test5                        	       3     1374       20        1     1350
 5130 libqt6webengine6-data              	       3      236        1        1      231
 5131 libref-array1t64                   	       3       16       12        1        0
 5132 libreoffice-style-galaxy           	       3       68       63        1        1
 5133 libreoffice-uiconfig-impress       	       3      237      216       18        0
 5134 librevenge-0.0-0                   	       3     2927       13        0     2911
 5135 libridl-java                       	       3      399      381       15        0
 5136 libroken19-heimdal                 	       3       46        6        0       37
 5137 librttopo1                         	       3      628       10        0      615
 5138 libsdl2-image-dev                  	       3       73       69        1        0
 5139 libsdl2-mixer-dev                  	       3       54       49        2        0
 5140 libsdl2-ttf-dev                    	       3       60       56        1        0
 5141 libset-scalar-perl                 	       3       74       70        1        0
 5142 libsexp1                           	       3       44        3        0       38
 5143 libsnmp40t64                       	       3      214       18        0      193
 5144 libsoap-lite-perl                  	       3      243      238        2        0
 5145 libsocket-perl                     	       3       55        6        0       46
 5146 libspatialite7                     	       3      609        9        0      597
 5147 libspreadsheet-xlsx-perl           	       3      100       96        1        0
 5148 libsrt1.5-openssl                  	       3      131        3        0      125
 5149 libssl1.0.2                        	       3      554        5        0      546
 5150 libstdc++-6-dev                    	       3      137      134        0        0
 5151 libstring-util-perl                	       3       81       77        1        0
 5152 libsub-name-perl                   	       3     1535        0        0     1532
 5153 libsuperlu5                        	       3      631        9        0      619
 5154 libsvtav1enc1d1                    	       3       51       14        0       34
 5155 libtask-weaken-perl                	       3      277      271        3        0
 5156 libtdepim1a-trinity                	       3       33       30        0        0
 5157 libtemplate-perl                   	       3       65       59        3        0
 5158 libterm-ui-perl                    	       3       98       94        1        0
 5159 libtesseract5                      	       3      394        3        1      387
 5160 libtext-charwidth-perl             	       3     4136       11        0     4122
 5161 libtext-english-perl               	       3       20       17        0        0
 5162 libtiff-tools                      	       3      182      173        6        0
 5163 libtool-bin                        	       3      184      180        1        0
 5164 libtss2-esys-3.0.2-0t64            	       3      111       16        2       90
 5165 libtss2-mu-4.0.1-0t64              	       3      112       18        2       89
 5166 libtss2-sys1t64                    	       3      112       18        2       89
 5167 libuim-custom2                     	       3       38       19        1       15
 5168 liburcu8                           	       3      290        5        0      282
 5169 liburcu8t64                        	       3      249        9        0      237
 5170 libusb-1.0-0-dev                   	       3      235      228        4        0
 5171 libvidstab1.2                      	       3       50        1        1       45
 5172 libvirt-daemon-common              	       3       23       19        1        0
 5173 libvmaf3                           	       3       17        8        1        5
 5174 libvorbis-dev                      	       3      289      281        5        0
 5175 libvorbisidec1                     	       3      553        6        0      544
 5176 libweb-scraper-perl                	       3       99       95        1        0
 5177 libwebp-dev                        	       3      552      528       21        0
 5178 libwlroots10                       	       3       68       11        1       53
 5179 libwpd-0.10-10                     	       3     2908       12        0     2893
 5180 libwpg-0.3-3                       	       3     2906       12        0     2891
 5181 libwww-form-urlencoded-perl        	       3       17       14        0        0
 5182 libxcb-dri2-0-dev                  	       3      115      111        1        0
 5183 libxcb-dri3-dev                    	       3      121      117        1        0
 5184 libxcb-glx0-dev                    	       3      130      125        2        0
 5185 libxcb-present-dev                 	       3      129      124        2        0
 5186 libxcb-randr0-dev                  	       3      162      156        3        0
 5187 libxcb-shape0-dev                  	       3      187      181        3        0
 5188 libxcb-sync-dev                    	       3      134      129        2        0
 5189 libxcb-xfixes0-dev                 	       3      180      174        3        0
 5190 libxenmisc4.19                     	       3        6        1        1        1
 5191 libxft-dev                         	       3      616      590       23        0
 5192 libxml-writer-perl                 	       3      149      143        3        0
 5193 libxshmfence-dev                   	       3      110      106        1        0
 5194 libxss-dev                         	       3      360      350        7        0
 5195 libyaml-dev                        	       3       65       62        0        0
 5196 libyaml-pp-perl                    	       3       42       38        1        0
 5197 libzstd-dev                        	       3      579      555       21        0
 5198 linux-headers-6.1.0-28-common      	       3      202      198        1        0
 5199 linux-headers-6.1.0-31-common      	       3       52        0       49        0
 5200 linux-headers-6.12.9+bpo-amd64     	       3       14        7        4        0
 5201 linux-headers-6.12.9+bpo-common    	       3       14        7        4        0
 5202 linux-image-6.1.0-21-amd64         	       3      278      274        0        1
 5203 llvm-15-linker-tools               	       3       60       56        1        0
 5204 lutris                             	       3       76       71        2        0
 5205 lxhotkey-core                      	       3      180      159       18        0
 5206 lxqt-about                         	       3      248      228       17        0
 5207 lxsession-edit                     	       3      187      166       18        0
 5208 lynx-common                        	       3     2594       15        0     2576
 5209 lyx                                	       3       97       93        1        0
 5210 lzip                               	       3      351      339        9        0
 5211 lzma                               	       3       91       88        0        0
 5212 mariadb-client-10.3                	       3       50       47        0        0
 5213 mariadb-server-core-10.1           	       3       40       37        0        0
 5214 markdown                           	       3       72       66        3        0
 5215 mate-applet-brisk-menu             	       3      468       22        1      442
 5216 mate-notification-daemon           	       3      522      486       33        0
 5217 mate-sensors-applet                	       3      105       20        0       82
 5218 mdns-scan                          	       3       35       32        0        0
 5219 mediainfo-gui                      	       3       80       77        0        0
 5220 megasync                           	       3       32       29        0        0
 5221 meld                               	       3      197      192        2        0
 5222 memtest86+                         	       3      150      143        4        0
 5223 menulibre                          	       3       73       68        2        0
 5224 meson                              	       3      195      183        9        0
 5225 meteo-qt                           	       3      221      200       18        0
 5226 mono-xsp4                          	       3       57       52        2        0
 5227 mono-xsp4-base                     	       3       59       54        2        0
 5228 mtd-utils                          	       3       77       72        2        0
 5229 munin-libvirt-plugins              	       3        7        4        0        0
 5230 mupdf                              	       3      125      121        1        0
 5231 mupdf-tools                        	       3      433      414       16        0
 5232 nala                               	       3       69       61        5        0
 5233 ncompress                          	       3      134      127        4        0
 5234 netavark                           	       3       59       55        1        0
 5235 netdiscover                        	       3       39       35        1        0
 5236 network-manager-l2tp               	       3       38       33        2        0
 5237 network-manager-openvpn-gnome      	       3      119      101        4       11
 5238 network-manager-strongswan         	       3       26       21        2        0
 5239 nginx-full                         	       3       51       16        0       32
 5240 nmon                               	       3       63       58        2        0
 5241 node-ajv                           	       3      215      211        1        0
 5242 node-cacache                       	       3      194      191        0        0
 5243 node-normalize.css                 	       3     2432      437       13     1979
 5244 node-readable-stream               	       3      219      215        1        0
 5245 nomarch                            	       3       42       39        0        0
 5246 normalize-audio                    	       3      111      106        2        0
 5247 npm                                	       3      193      190        0        0
 5248 nsis                               	       3       11        8        0        0
 5249 nvidia-driver-bin                  	       3      160      147       10        0
 5250 nvtop                              	       3       39       34        2        0
 5251 obs-plugins                        	       3      152        4        0      145
 5252 oddjob                             	       3       15       11        1        0
 5253 openjdk-17-jre                     	       3     1047       16        2     1026
 5254 openprinting-ppds                  	       3      491      482        6        0
 5255 opera-stable                       	       3       68       61        4        0
 5256 orage                              	       3       72       68        1        0
 5257 oss-compat                         	       3      180      176        1        0
 5258 owncloud-client                    	       3       21       18        0        0
 5259 p7zip-rar                          	       3      104       85        2       14
 5260 pacemaker                          	       3       11        8        0        0
 5261 pasystray                          	       3       33       30        0        0
 5262 pavucontrol-qt                     	       3      193      176       14        0
 5263 pdftk-java                         	       3      283      275        5        0
 5264 pgadmin4-server                    	       3       14       11        0        0
 5265 php-php-gettext                    	       3       37       34        0        0
 5266 php5.6-curl                        	       3       10        7        0        0
 5267 php5.6-gd                          	       3       11        8        0        0
 5268 php5.6-intl                        	       3        7        4        0        0
 5269 php5.6-mbstring                    	       3       10        7        0        0
 5270 php5.6-xml                         	       3        9        6        0        0
 5271 php5.6-xmlrpc                      	       3        6        3        0        0
 5272 php5.6-zip                         	       3        7        4        0        0
 5273 php7.0-fpm                         	       3       13       10        0        0
 5274 php7.0-ldap                        	       3        4        1        0        0
 5275 php7.0-pgsql                       	       3        6        3        0        0
 5276 php7.0-soap                        	       3       10        7        0        0
 5277 php7.3-bcmath                      	       3        8        5        0        0
 5278 php7.3-xmlrpc                      	       3        8        5        0        0
 5279 php7.4-apcu                        	       3       10        7        0        0
 5280 php7.4-igbinary                    	       3        5        2        0        0
 5281 php8.0-common                      	       3       19       16        0        0
 5282 php8.0-curl                        	       3       10        7        0        0
 5283 php8.0-gd                          	       3       13       10        0        0
 5284 php8.0-intl                        	       3       13       10        0        0
 5285 php8.0-mbstring                    	       3       13       10        0        0
 5286 php8.0-mysql                       	       3       13       10        0        0
 5287 php8.0-opcache                     	       3       18       15        0        0
 5288 php8.0-readline                    	       3       18       15        0        0
 5289 php8.0-soap                        	       3       10        7        0        0
 5290 php8.0-xml                         	       3       16       13        0        0
 5291 php8.0-zip                         	       3       12        9        0        0
 5292 php8.2-raphf                       	       3        6        3        0        0
 5293 php8.2-xdebug                      	       3       10        7        0        0
 5294 php8.3-bcmath                      	       3        6        3        0        0
 5295 php8.3-cli                         	       3       10        7        0        0
 5296 php8.3-common                      	       3       10        7        0        0
 5297 php8.3-curl                        	       3        9        6        0        0
 5298 php8.3-gd                          	       3       10        7        0        0
 5299 php8.3-intl                        	       3        9        6        0        0
 5300 php8.3-mbstring                    	       3       10        7        0        0
 5301 php8.3-mysql                       	       3        8        5        0        0
 5302 php8.3-opcache                     	       3       10        7        0        0
 5303 php8.3-readline                    	       3       10        7        0        0
 5304 php8.3-xml                         	       3        9        6        0        0
 5305 php8.3-zip                         	       3        8        5        0        0
 5306 php8.4-apcu                        	       3        3        0        0        0
 5307 php8.4-imagick                     	       3        4        1        0        0
 5308 pia                                	       3       26       23        0        0
 5309 pim-data-exporter                  	       3      455      404       48        0
 5310 pipewire-jack                      	       3       42       36        3        0
 5311 pipx                               	       3      141      130        8        0
 5312 pixz                               	       3      209      204        2        0
 5313 pnmixer                            	       3       24       20        1        0
 5314 postfix-pgsql                      	       3       10        6        1        0
 5315 postfix-policyd-spf-perl           	       3        6        3        0        0
 5316 prerex                             	       3      128      123        2        0
 5317 printer-driver-brlaser             	       3      582      571        8        0
 5318 printer-driver-c2esp               	       3      562      553        6        0
 5319 printer-driver-indexbraille        	       3      372      365        4        0
 5320 printer-driver-oki                 	       3      373      364        6        0
 5321 printer-driver-sag-gdi             	       3      562      553        6        0
 5322 printer-driver-splix               	       3      547      538        6        0
 5323 procinfo                           	       3       43       39        1        0
 5324 publicsuffix                       	       3     3558        4        0     3551
 5325 pure-ftpd-mysql                    	       3       13       10        0        0
 5326 pxz                                	       3        8        5        0        0
 5327 pyhoca-cli                         	       3       17       13        1        0
 5328 python-backports.functools-lru-cache	       3       92       89        0        0
 5329 python-bs4                         	       3      147      143        0        1
 5330 python-debian                      	       3      128      124        1        0
 5331 python-docutils                    	       3       78       74        1        0
 5332 python-egenix-mxdatetime           	       3       34       31        0        0
 5333 python-html5lib                    	       3      153      149        0        1
 5334 python-ipaddr                      	       3       24       21        0        0
 5335 python-is-python2                  	       3      134      130        1        0
 5336 python-libvirt                     	       3       21       18        0        0
 5337 python-numpy                       	       3      229      224        2        0
 5338 python-openssl                     	       3      125      122        0        0
 5339 python-psycopg2                    	       3       29       26        0        0
 5340 python-pyasn1                      	       3      103      100        0        0
 5341 python-roman                       	       3       82       78        1        0
 5342 python-webencodings                	       3      150      147        0        0
 5343 python3-apsw                       	       3      212      207        2        0
 5344 python3-asn1crypto                 	       3      191      184        4        0
 5345 python3-beniget                    	       3      406      393       10        0
 5346 python3-bsddb3                     	       3       45       42        0        0
 5347 python3-contourpy                  	       3      444      431       10        0
 5348 python3-deprecation                	       3      179      174        2        0
 5349 python3-distlib                    	       3      143      140        0        0
 5350 python3-django                     	       3       18       14        1        0
 5351 python3-dulwich                    	       3       81       76        2        0
 5352 python3-fasteners                  	       3      331      302       26        0
 5353 python3-filelock                   	       3      159      155        1        0
 5354 python3-fs                         	       3      460      445       12        0
 5355 python3-gast                       	       3      406      393       10        0
 5356 python3-gnucash                    	       3       81       77        1        0
 5357 python3-ifaddr                     	       3      298      288        7        0
 5358 python3-img2pdf                    	       3      151      146        2        0
 5359 python3-ipython                    	       3      293      285        5        0
 5360 python3-ipython-genutils           	       3      116      111        2        0
 5361 python3-jaraco.context             	       3      215      199       13        0
 5362 python3-jaraco.functools           	       3      223      207       13        0
 5363 python3-jeepney                    	       3      386      371       12        0
 5364 python3-jinja2                     	       3      577      550       24        0
 5365 python3-keyutils                   	       3       26       23        0        0
 5366 python3-kivy                       	       3        7        4        0        0
 5367 python3-lz4                        	       3      500      486       11        0
 5368 python3-markdown-it                	       3      473      458       12        0
 5369 python3-mock                       	       3       93       90        0        0
 5370 python3-mpmath                     	       3      468      455       10        0
 5371 python3-newt                       	       3       77       73        1        0
 5372 python3-outcome                    	       3       71       65        3        0
 5373 python3-pandocfilters              	       3       90       85        2        0
 5374 python3-paramiko                   	       3      404      370       31        0
 5375 python3-pastescript                	       3       11        8        0        0
 5376 python3-pbr                        	       3      164      159        2        0
 5377 python3-phply                      	       3       44       39        2        0
 5378 python3-pluggy                     	       3      360      349        8        0
 5379 python3-pyodbc                     	       3       17       14        0        0
 5380 python3-pypdf2                     	       3       66       61        2        0
 5381 python3-pyqt5.qtmultimedia         	       3      165      157        5        0
 5382 python3-pyqt6.qtqml                	       3      193      187        3        0
 5383 python3-pyqt6.qtwebchannel         	       3      191      185        3        0
 5384 python3-pyqt6.qtwebengine          	       3      191      186        2        0
 5385 python3-ruamel.yaml.clib           	       3      149      142        4        0
 5386 python3-secretstorage              	       3      301      288       10        0
 5387 python3-sqlalchemy-ext             	       3       87       81        3        0
 5388 python3-stem                       	       3       50       46        1        0
 5389 python3-testpath                   	       3       16       13        0        0
 5390 python3-tinycss2                   	       3      361      331       27        0
 5391 python3-tornado                    	       3      724      661       60        0
 5392 python3-trio                       	       3       71       65        3        0
 5393 python3-ufolib2                    	       3      451      438       10        0
 5394 python3-uritemplate                	       3      277      264       10        0
 5395 python3-xlsxwriter                 	       3       25       22        0        0
 5396 python3-zmq                        	       3      230      222        5        0
 5397 python3.13-tk                      	       3       73       57       13        0
 5398 qalc                               	       3       83       79        1        0
 5399 qalculate-gtk                      	       3       63       58        2        0
 5400 qastools-common                    	       3       67        8        0       56
 5401 qemu-user-static                   	       3       83       80        0        0
 5402 qml6-module-org-kde-kirigamiaddons-components	       3       35        8        2       22
 5403 qmmp                               	       3       54       46        5        0
 5404 qmmp-plugin-projectm               	       3       11        8        0        0
 5405 qpdfview-pdf-poppler-plugin        	       3      305      284       18        0
 5406 qps                                	       3      271      249       19        0
 5407 qpwgraph                           	       3       28       25        0        0
 5408 qt5-qmake-bin                      	       3      349      335       11        0
 5409 qtbase5-dev                        	       3      332      318       11        0
 5410 qtbase5-dev-tools                  	       3      381      360       12        6
 5411 qterminal-l10n                     	       3      264       21        2      238
 5412 qtspeech5-speechd-plugin           	       3     1084       35        9     1037
 5413 qualitis-utils                     	       3        4        1        0        0
 5414 r-cran-bookdown                    	       3       34       30        1        0
 5415 r-cran-cluster                     	       3      137      129        5        0
 5416 r-cran-crosstalk                   	       3       36       32        1        0
 5417 r-cran-lattice                     	       3      140      132        5        0
 5418 r-cran-mass                        	       3      139      131        5        0
 5419 r-cran-matrix                      	       3      139      131        5        0
 5420 r-cran-mgcv                        	       3      139      131        5        0
 5421 r-cran-rmarkdown                   	       3       41       37        1        0
 5422 r-cran-shiny                       	       3       41       36        1        1
 5423 r-cran-survival                    	       3      137      129        5        0
 5424 rabbitmq-server                    	       3        8        5        0        0
 5425 racc                               	       3       84       77        4        0
 5426 radeontop                          	       3       87       83        1        0
 5427 ranger                             	       3       74       70        1        0
 5428 rarian-compat                      	       3       99       95        1        0
 5429 rawtherapee                        	       3       52       49        0        0
 5430 rcconf                             	       3       61       58        0        0
 5431 rclone                             	       3       89       85        1        0
 5432 repo                               	       3       17       13        1        0
 5433 rng-tools-debian                   	       3       34       31        0        0
 5434 ruby-mime-types                    	       3       70       65        2        0
 5435 ruby-mime-types-data               	       3       66       61        2        0
 5436 ruby-pkg-config                    	       3      117      112        2        0
 5437 ruby-text                          	       3      109      103        3        0
 5438 ruby2.7                            	       3      230      217       10        0
 5439 rustc                              	       3       98       95        0        0
 5440 rygel                              	       3      416      379       34        0
 5441 s-nail                             	       3       65       62        0        0
 5442 salt-common                        	       3        6        3        0        0
 5443 scantv                             	       3       26       23        0        0
 5444 screengrab                         	       3      250      230       17        0
 5445 selinux-utils                      	       3      105      100        2        0
 5446 sg3-utils                          	       3       94       88        3        0
 5447 sgt-puzzles                        	       3       40       37        0        0
 5448 simplescreenrecorder               	       3      165      158        4        0
 5449 slirp4netns                        	       3       64       60        1        0
 5450 smistrip                           	       3       64       61        0        0
 5451 smokeping                          	       3       16       13        0        0
 5452 smplayer-l10n                      	       3      484        9        0      472
 5453 solaar                             	       3       36       33        0        0
 5454 spamd                              	       3       59       55        1        0
 5455 splunkforwarder                    	       3       10        7        0        0
 5456 stunnel4                           	       3       85       81        1        0
 5457 supervisor                         	       3       56       52        1        0
 5458 swayidle                           	       3       23       17        3        0
 5459 sxiv                               	       3       68       64        1        0
 5460 syslinux                           	       3      368      354       11        0
 5461 syslog-ng-mod-getent               	       3        6        3        0        0
 5462 syslog-ng-mod-map-value-pairs      	       3        6        3        0        0
 5463 system-tools-backends              	       3      257      232       22        0
 5464 systemctl                          	       3       45       41        1        0
 5465 systemctl-service-shim             	       3       28       24        1        0
 5466 tcptraceroute                      	       3       45       42        0        0
 5467 tdelibs-data-trinity               	       3       40       37        0        0
 5468 tdm-trinity                        	       3       32       29        0        0
 5469 teams                              	       3       58       55        0        0
 5470 teams-for-linux                    	       3       15        2        0       10
 5471 texlive-extra-utils                	       3      301      294        4        0
 5472 texlive-font-utils                 	       3      252      245        4        0
 5473 texlive-formats-extra              	       3      158      153        2        0
 5474 texlive-pictures                   	       3      437      426        8        0
 5475 thunderbird-l10n-it                	       3       26       23        0        0
 5476 tidy                               	       3      111      108        0        0
 5477 tightvncpasswd                     	       3      141      136        2        0
 5478 timidity                           	       3      186      178        5        0
 5479 tomcat9                            	       3       15       12        0        0
 5480 transmission-remote-gtk            	       3       22       19        0        0
 5481 trash-cli                          	       3       27       24        0        0
 5482 tripwire                           	       3       20       16        1        0
 5483 twin-trinity                       	       3       34       31        0        0
 5484 udhcpc                             	       3        8        5        0        0
 5485 uim-gtk3                           	       3       33       29        1        0
 5486 uim-gtk3-immodule                  	       3       34       14        0       17
 5487 uim-plugins                        	       3       36       17        1       15
 5488 universal-ctags                    	       3      119      107        9        0
 5489 usbip                              	       3       23       18        2        0
 5490 util-vserver                       	       3       21       18        0        0
 5491 util-vserver-core                  	       3       21       18        0        0
 5492 uucp                               	       3       12        8        1        0
 5493 uwsgi-core                         	       3       17       14        0        0
 5494 v4l-conf                           	       3       42       39        0        0
 5495 variety                            	       3       27       24        0        0
 5496 veracrypt                          	       3       22       19        0        0
 5497 vim-scripts                        	       3      102       97        2        0
 5498 vivaldi-stable                     	       3      111       97       11        0
 5499 vlan                               	       3      115      110        2        0
 5500 vlc-l10n                           	       3     1100       24        0     1073
 5501 wdm                                	       3       25       22        0        0
 5502 x2goclient                         	       3       76       71        2        0
 5503 x2goserver-x2goagent               	       3       64       58        3        0
 5504 xawtv                              	       3       24       21        0        0
 5505 xen-utils-common                   	       3       14       11        0        0
 5506 xenstore-utils                     	       3       16       13        0        0
 5507 xfsdump                            	       3       49       46        0        0
 5508 xpra                               	       3       76       72        1        0
 5509 xsecurelock                        	       3        9        6        0        0
 5510 xserver-xorg-video-openchrome      	       3      146      141        2        0
 5511 yandex-browser-stable              	       3       27       23        1        0
 5512 yaws                               	       3        4        1        0        0
 5513 youtubedl-gui                      	       3       48       44        1        0
 5514 znc                                	       3       14       11        0        0
 5515 zsync                              	       3       17       13        1        0
 5516 0ad                                	       2       59       56        1        0
 5517 a2jmidid                           	       2       31       29        0        0
 5518 a7xpg                              	       2        9        7        0        0
 5519 aardvark-dns                       	       2       56       52        2        0
 5520 abe                                	       2        9        6        1        0
 5521 acpi-support                       	       2      139      119        5       13
 5522 aesfix                             	       2       25       23        0        0
 5523 aeskeyfind                         	       2       26       24        0        0
 5524 aide-common                        	       2       12       10        0        0
 5525 aircrack-ng                        	       2       74       71        1        0
 5526 alsa-oss                           	       2       94       91        1        0
 5527 alsa-tools                         	       2      156      151        3        0
 5528 alttab                             	       2       13       10        1        0
 5529 android-file-transfer              	       2       44       42        0        0
 5530 ansible                            	       2       66       63        1        0
 5531 apg                                	       2      512      473       37        0
 5532 apksigner                          	       2       51       49        0        0
 5533 apparmor-notify                    	       2       19       17        0        0
 5534 aptly                              	       2        6        4        0        0
 5535 arbtt                              	       2        3        1        0        0
 5536 arc                                	       2       39       37        0        0
 5537 ardour-video-timeline              	       2       64       61        1        0
 5538 arduino                            	       2       92       88        2        0
 5539 arduino-builder                    	       2       85       81        2        0
 5540 arduino-ctags                      	       2       86       82        2        0
 5541 argyll                             	       2       32       30        0        0
 5542 arno-iptables-firewall             	       2        9        7        0        0
 5543 arpalert                           	       2        9        7        0        0
 5544 arpwatch                           	       2       21       19        0        0
 5545 aspell-de-1901                     	       2       73       70        1        0
 5546 asterisk-ooh323                    	       2        2        0        0        0
 5547 atril-common                       	       2     1996       13        0     1981
 5548 audacious-plugins-data             	       2      552        4        1      545
 5549 autopostgresqlbackup               	       2        4        2        0        0
 5550 avr-libc                           	       2      133      128        3        0
 5551 awesome                            	       2       47       44        1        0
 5552 awscli                             	       2       20       17        1        0
 5553 awstats                            	       2       20       18        0        0
 5554 ayatana-indicator-bluetooth        	       2        6        4        0        0
 5555 b3sum                              	       2        6        4        0        0
 5556 barcode                            	       2       53       51        0        0
 5557 bat                                	       2       40       38        0        0
 5558 besagent                           	       2        9        7        0        0
 5559 bible-kjv                          	       2       10        8        0        0
 5560 binutils-arm-none-eabi             	       2       57       55        0        0
 5561 binutils-avr                       	       2      137      133        2        0
 5562 bird2                              	       2        5        3        0        0
 5563 bittornado                         	       2       64       62        0        0
 5564 blobwars                           	       2       11        9        0        0
 5565 blobwars-data                      	       2       11        9        0        0
 5566 bluez-alsa-utils                   	       2       54       49        3        0
 5567 bnd                                	       2       55       52        1        0
 5568 bookletimposer                     	       2       14       12        0        0
 5569 brotli                             	       2       35       33        0        0
 5570 bruteforce-luks                    	       2       20       18        0        0
 5571 buildah                            	       2       59       54        3        0
 5572 bumblebee                          	       2       20       18        0        0
 5573 bzflag-client                      	       2       19       17        0        0
 5574 c-icap                             	       2        4        2        0        0
 5575 caja-actions                       	       2       18       16        0        0
 5576 caja-xattr-tags                    	       2        9        4        0        3
 5577 calibre-bin                        	       2      223      218        3        0
 5578 callaudiod                         	       2        5        2        1        0
 5579 catdvi                             	       2       26       23        1        0
 5580 cava                               	       2       18       15        1        0
 5581 cgoban                             	       2       23       21        0        0
 5582 check-postgres                     	       2        3        1        0        0
 5583 checkinstall                       	       2       94       92        0        0
 5584 chntpw                             	       2       36       32        2        0
 5585 chromium-driver                    	       2       73       62        9        0
 5586 ckb-next                           	       2        2        0        0        0
 5587 clamsmtp                           	       2        5        3        0        0
 5588 clamtk                             	       2       94       92        0        0
 5589 clang-15                           	       2       53       50        1        0
 5590 cluster-glue                       	       2       13       11        0        0
 5591 clzip                              	       2       28       26        0        0
 5592 cme                                	       2       24       22        0        0
 5593 cmospwd                            	       2       20       18        0        0
 5594 cmus                               	       2       61       58        1        0
 5595 cmus-plugin-ffmpeg                 	       2       60       57        1        0
 5596 color-picker                       	       2       14       11        1        0
 5597 compiz-gnome                       	       2       39       35        2        0
 5598 compiz-plugins-experimental        	       2       10        7        1        0
 5599 confget                            	       2        5        3        0        0
 5600 connman-vpn                        	       2       16       14        0        0
 5601 conspy                             	       2        6        4        0        0
 5602 convlit                            	       2       24       20        2        0
 5603 convmv                             	       2       49       47        0        0
 5604 courier-pop                        	       2        4        2        0        0
 5605 cpp-13                             	       2      156      152        2        0
 5606 cpp-13-x86-64-linux-gnu            	       2      137      133        2        0
 5607 cpuid                              	       2       86       83        1        0
 5608 cpulimit                           	       2       39       34        3        0
 5609 cronolog                           	       2        4        2        0        0
 5610 crossover                          	       2       11        8        0        1
 5611 crun                               	       2       50       47        1        0
 5612 cryfs                              	       2      438      389       47        0
 5613 cscope                             	       2       52       49        1        0
 5614 cuda-opencl-12-4                   	       2        2        0        0        0
 5615 cultivation                        	       2       10        8        0        0
 5616 cwdaemon                           	       2        7        5        0        0
 5617 cwp                                	       2       16       14        0        0
 5618 cyrus-common                       	       2        4        2        0        0
 5619 d-feet                             	       2       10        8        0        0
 5620 daemontools                        	       2       28       26        0        0
 5621 dares                              	       2       25       23        0        0
 5622 dbvis                              	       2        6        2        0        2
 5623 dc3dd                              	       2       28       26        0        0
 5624 dcfldd                             	       2       43       41        0        0
 5625 ddclient                           	       2       18       16        0        0
 5626 ddgr                               	       2       17       14        1        0
 5627 debian-security-support            	       2       15       13        0        0
 5628 dejagnu                            	       2       25       23        0        0
 5629 dict                               	       2       87       81        4        0
 5630 ding                               	       2       21       19        0        0
 5631 direwolf                           	       2       14       12        0        0
 5632 dirvish                            	       2       13       11        0        0
 5633 disktype                           	       2       46       44        0        0
 5634 dislocker                          	       2       29       27        0        0
 5635 djvulibre-bin                      	       2       92       87        3        0
 5636 docx2txt                           	       2       52       50        0        0
 5637 dovecot-gssapi                     	       2        7        5        0        0
 5638 dovecot-pgsql                      	       2        9        6        1        0
 5639 drbd-utils                         	       2       11        9        0        0
 5640 dreamchess                         	       2       15       13        0        0
 5641 dsniff                             	       2       45       43        0        0
 5642 dstat                              	       2       53       51        0        0
 5643 dtach                              	       2       36       33        1        0
 5644 duplicati                          	       2        7        5        0        0
 5645 dvb-apps                           	       2       27       25        0        0
 5646 dvipng                             	       2      253      245        6        0
 5647 e16                                	       2        6        4        0        0
 5648 element-desktop                    	       2       44        7        0       35
 5649 elfutils                           	       2      101       95        4        0
 5650 elpa-apache-mode                   	       2       38       35        1        0
 5651 elpa-company                       	       2       43       41        0        0
 5652 elpa-csv-mode                      	       2       39       37        0        0
 5653 elpa-graphviz-dot-mode             	       2       40       38        0        0
 5654 elpa-markdown-mode                 	       2       54       51        1        0
 5655 elpa-muttrc-mode                   	       2       38       36        0        0
 5656 encfs                              	       2       53       50        1        0
 5657 enigma                             	       2       30       28        0        0
 5658 enscript                           	       2      130      124        4        0
 5659 epson-printer-utility              	       2       12       10        0        0
 5660 epub-utils                         	       2       23       19        2        0
 5661 erlang-ftp                         	       2       33       31        0        0
 5662 erlang-inets                       	       2       38       36        0        0
 5663 erlang-mnesia                      	       2       44       42        0        0
 5664 erlang-runtime-tools               	       2       44       42        0        0
 5665 erlang-syntax-tools                	       2       61       59        0        0
 5666 erlang-tftp                        	       2       33       31        0        0
 5667 erlang-tools                       	       2       42       40        0        0
 5668 erlang-xmerl                       	       2       47       45        0        0
 5669 etherwake                          	       2       79       77        0        0
 5670 ethstatus                          	       2       28       26        0        0
 5671 evemu-tools                        	       2      102       97        2        1
 5672 exifprobe                          	       2       46       44        0        0
 5673 exiftags                           	       2       43       41        0        0
 5674 ext3grep                           	       2       37       35        0        0
 5675 extremetuxracer                    	       2       44       42        0        0
 5676 extundelete                        	       2       80       78        0        0
 5677 f3                                 	       2       45       42        1        0
 5678 farbfeld                           	       2       10        8        0        0
 5679 fastboot                           	       2      183      181        0        0
 5680 fbpanel                            	       2       19       17        0        0
 5681 fcitx-bin                          	       2       21       19        0        0
 5682 fcitx-module-dbus                  	       2       24        8        0       14
 5683 fcitx-module-kimpanel              	       2       23        8        0       13
 5684 fcitx-module-lua                   	       2       23        8        0       13
 5685 fcitx-module-x11                   	       2       24        8        0       14
 5686 fcitx-modules                      	       2       24        8        0       14
 5687 fcitx-ui-classic                   	       2       20        8        0       10
 5688 fcrackzip                          	       2       45       43        0        0
 5689 feathernotes                       	       2      240      221       17        0
 5690 feedbackd                          	       2        9        6        1        0
 5691 festival                           	       2      107      103        2        0
 5692 filelight                          	       2      113      108        3        0
 5693 fingerd                            	       2        9        7        0        0
 5694 firebird2.5-common                 	       2       19       16        1        0
 5695 firefox-esr-l10n-el                	       2       20       18        0        0
 5696 firefox-esr-l10n-sv-se             	       2       14       12        0        0
 5697 firmware-brcm80211                 	       2      229      224        3        0
 5698 flare-engine                       	       2       12       10        0        0
 5699 flightgear                         	       2       21       19        0        0
 5700 font-manager-common                	       2       91       12        0       77
 5701 fontforge-extras                   	       2       53       50        1        0
 5702 fonts-alee                         	       2       22        2        0       18
 5703 fonts-ancient-scripts              	       2       40        9        0       29
 5704 fonts-arphic-ukai                  	       2       23        2        0       19
 5705 fonts-clear-sans                   	       2      142        6        0      134
 5706 fonts-gujr-extra                   	       2       58        6        0       50
 5707 fonts-ipaexfont-gothic             	       2       85        3        0       80
 5708 fonts-junicode                     	       2      178        6        0      170
 5709 fonts-kanjistrokeorders            	       2       55        2        0       51
 5710 fonts-khmeros                      	       2       17        2        0       13
 5711 fonts-linex                        	       2       33        4        0       27
 5712 fonts-lohit-deva                   	       2       60        4        0       54
 5713 fonts-lohit-taml                   	       2       60        5        0       53
 5714 fonts-sil-andika                   	       2      193       11        0      180
 5715 fonts-sil-charis                   	       2      162        9        0      151
 5716 fonts-sil-padauk                   	       2      111        1        0      108
 5717 fonts-tlwg-loma-otf                	       2      106       10        0       94
 5718 foot                               	       2       52       45        5        0
 5719 foremost                           	       2       38       35        1        0
 5720 forticlient                        	       2        6        4        0        0
 5721 fp-ide-3.2.2                       	       2       76       70        4        0
 5722 fp-units-rtl-3.2.2                 	       2       91       83        5        1
 5723 fp-utils-3.2.2                     	       2       92       85        5        0
 5724 freecad-python3                    	       2      110      107        1        0
 5725 freeciv-client-gtk3                	       2       52       50        0        0
 5726 freeciv-server                     	       2       57       55        0        0
 5727 freecol                            	       2       17       15        0        0
 5728 freedroidrpg                       	       2       11        9        0        0
 5729 freeipmi-tools                     	       2       41       39        0        0
 5730 freeorion                          	       2       18       16        0        0
 5731 frr                                	       2        7        5        0        0
 5732 fsa                                	       2        3        1        0        0
 5733 fsarchiver                         	       2       29       27        0        0
 5734 funnyboat                          	       2       10        8        0        0
 5735 fuse-overlayfs                     	       2       72       66        4        0
 5736 fuseiso                            	       2      269      260        7        0
 5737 fusion-icon                        	       2       11        7        2        0
 5738 fusioninventory-agent              	       2        8        6        0        0
 5739 g++-8                              	       2      126      124        0        0
 5740 gcc-8                              	       2      170      168        0        0
 5741 gcc-avr                            	       2      137      133        2        0
 5742 gconf-service                      	       2      483       20        0      461
 5743 gerbera                            	       2        8        6        0        0
 5744 getmail6                           	       2       18       15        1        0
 5745 ghc                                	       2       99       94        3        0
 5746 gir1.2-adw-1                       	       2      396        0        1      393
 5747 gir1.2-eom-1.0                     	       2      454        5        0      447
 5748 gir1.2-girepository-2.0            	       2      250       13        1      234
 5749 gir1.2-gnomedesktop-4.0            	       2      294        4        0      288
 5750 gir1.2-gsound-1.0                  	       2      273       15        4      252
 5751 gir1.2-gst-plugins-base-1.0        	       2     2025       54        1     1968
 5752 gir1.2-javascriptcoregtk-4.0       	       2     1833        6        1     1824
 5753 gir1.2-matedesktop-2.0             	       2       73        0        0       71
 5754 gir1.2-spice-client-glib-2.0       	       2       19       17        0        0
 5755 gir1.2-spice-client-gtk-3.0        	       2       18       16        0        0
 5756 gir1.2-telepathyglib-0.12          	       2      289        7        0      280
 5757 gir1.2-telepathylogger-0.2         	       2       22        5        0       15
 5758 gir1.2-webkit2-4.0                 	       2     1815        6        1     1806
 5759 gitlab-runner                      	       2        3        1        0        0
 5760 gitolite3                          	       2       11        9        0        0
 5761 gkbd-capplet                       	       2      435      397       36        0
 5762 gkrellm-bfm                        	       2       21       19        0        0
 5763 gkrellmd                           	       2       13       11        0        0
 5764 glances                            	       2       40       38        0        0
 5765 glimpse                            	       2       15       13        0        0
 5766 gmtp                               	       2       55       53        0        0
 5767 gnome-browser-connector            	       2      132      113       17        0
 5768 gnome-chess                        	       2      371      333       36        0
 5769 gnome-color-manager                	       2      124      106       16        0
 5770 gnome-flashback                    	       2       59       49        8        0
 5771 gnome-font-viewer                  	       2      374      337       35        0
 5772 gnome-maps                         	       2      118      100       16        0
 5773 gnome-mines                        	       2      377      339       36        0
 5774 gnome-orca                         	       2       99       57        1       39
 5775 gnome-robots                       	       2      363      325       36        0
 5776 gnome-shell-extension-prefs        	       2      158      139       17        0
 5777 gnome-software-plugin-deb          	       2       26        7        2       15
 5778 gnome-software-plugin-fwupd        	       2       27        7        2       16
 5779 gnome-sushi                        	       2      198      178       18        0
 5780 gnome-tetravex                     	       2      372      334       36        0
 5781 gnome-tweaks                       	       2      151      131       18        0
 5782 gnu-which                          	       2        5        3        0        0
 5783 gnugo                              	       2       94       89        3        0
 5784 gnupg1                             	       2       50       48        0        0
 5785 golang-1.19-src                    	       2      117      111        4        0
 5786 golang-go                          	       2      173      161       10        0
 5787 golly                              	       2       11        9        0        0
 5788 google-earth-pro-stable            	       2       58       54        2        0
 5789 gpac                               	       2       30       28        0        0
 5790 gpsd-clients                       	       2       25       22        1        0
 5791 gpsd-tools                         	       2       74       70        2        0
 5792 gradle                             	       2       50       48        0        0
 5793 grafana                            	       2        8        6        0        0
 5794 graphite-carbon                    	       2        2        0        0        0
 5795 graphite-web                       	       2        2        0        0        0
 5796 groovy                             	       2       80       76        2        0
 5797 grsync                             	       2       74       69        3        0
 5798 grub-customizer                    	       2       68       58        8        0
 5799 gsmartcontrol                      	       2      125      119        4        0
 5800 gstreamer1.0-x                     	       2     3120        9        0     3109
 5801 gtk-vector-screenshot              	       2        5        3        0        0
 5802 gv                                 	       2      141      136        3        0
 5803 handbrake-cli                      	       2       75       73        0        0
 5804 hcxdumptool                        	       2       25       23        0        0
 5805 heirloom-mailx                     	       2       20       17        0        1
 5806 hexchat-common                     	       2      364        2        0      360
 5807 hexedit                            	       2       90       87        1        0
 5808 hfsprogs                           	       2       39       36        1        0
 5809 hfsutils                           	       2       41       38        1        0
 5810 hp-health                          	       2        4        2        0        0
 5811 hp-snmp-agents                     	       2        2        0        0        0
 5812 hping3                             	       2       47       45        0        0
 5813 html2text                          	       2      332      308       22        0
 5814 hunspell-de-med                    	       2       12        9        1        0
 5815 hunspell-en-med                    	       2       17       14        1        0
 5816 hwloc                              	       2       89       84        3        0
 5817 hylafax-client                     	       2        7        5        0        0
 5818 hylafax-server                     	       2        5        3        0        0
 5819 hyperrogue                         	       2        9        7        0        0
 5820 icaclient                          	       2       16       12        0        2
 5821 icinga-cgi-bin                     	       2        5        3        0        0
 5822 icinga-core                        	       2        4        2        0        0
 5823 icinga-php-thirdparty              	       2        4        2        0        0
 5824 icinga2-ido-pgsql                  	       2        4        1        0        1
 5825 idzebra-2.0-utils                  	       2        2        0        0        0
 5826 ifmetric                           	       2        5        3        0        0
 5827 ifupdown-ng                        	       2        7        5        0        0
 5828 inetutils-telnetd                  	       2       32       30        0        0
 5829 influxdb                           	       2        7        5        0        0
 5830 integrit                           	       2        4        2        0        0
 5831 intel-gpu-tools                    	       2       49       45        2        0
 5832 iperf                              	       2      148      146        0        0
 5833 ipv6calc                           	       2       30       28        0        0
 5834 ipv6toolkit                        	       2       25       23        0        0
 5835 iscan                              	       2       17       15        0        0
 5836 isenkram                           	       2        7        5        0        0
 5837 isolinux                           	       2      214      206        6        0
 5838 javacc                             	       2       12        9        1        0
 5839 jfsutils                           	       2       63       61        0        0
 5840 jigdo-file                         	       2       19       17        0        0
 5841 kate-trinity                       	       2       33       31        0        0
 5842 kate5-data                         	       2      586       10        0      574
 5843 kazam                              	       2       53       51        0        0
 5844 kde-cli-tools-data                 	       2      621        3        1      615
 5845 kde-config-tablet                  	       2       11        9        0        0
 5846 kde-style-breeze-qt4               	       2       23       21        0        0
 5847 kde-style-qtcurve-qt5              	       2       72        7        0       63
 5848 kdepim-themeeditors                	       2      460      410       48        0
 5849 kerneloops                         	       2        6        4        0        0
 5850 kf5-messagelib-data                	       2      489        8        0      479
 5851 kicad                              	       2      121      118        1        0
 5852 kid3                               	       2       37       34        1        0
 5853 kid3-core                          	       2       50       47        1        0
 5854 kig-trinity                        	       2       20       18        0        0
 5855 kio-extras-data                    	       2      682       29        1      650
 5856 kiriki                             	       2       61       56        3        0
 5857 klipper-trinity                    	       2       33       31        0        0
 5858 knockd                             	       2       14       12        0        0
 5859 knot-resolver                      	       2        7        4        1        0
 5860 kobodeluxe                         	       2       13       11        0        0
 5861 kodi-bin                           	       2       84       25        0       57
 5862 koha-common                        	       2        2        0        0        0
 5863 kolf-trinity                       	       2       22       20        0        0
 5864 konq-plugins-trinity               	       2       26       24        0        0
 5865 konqueror-trinity                  	       2       34       32        0        0
 5866 kontact                            	       2       60       55        3        0
 5867 konwert                            	       2      240      211       27        0
 5868 krb5-admin-server                  	       2        8        6        0        0
 5869 krb5-auth-dialog                   	       2       16       13        1        0
 5870 krb5-kdc                           	       2       13       11        0        0
 5871 krdc                               	       2       86       81        3        0
 5872 krfb                               	       2       56       51        3        0
 5873 krita-gmic                         	       2      106      100        4        0
 5874 ksh93u+m                           	       2       61       57        2        0
 5875 ksysguard                          	       2      112      108        2        0
 5876 ksysguardd                         	       2      123      119        2        0
 5877 ktexteditor-katepart               	       2      672        2        0      668
 5878 lazpaint-gtk2                      	       2        9        7        0        0
 5879 lcdproc                            	       2        8        6        0        0
 5880 ldmtool                            	       2      110      104        4        0
 5881 liba52-0.7.4                       	       2     3098       15        0     3081
 5882 libaa1                             	       2     3412       12        0     3398
 5883 libabw-0.1-1                       	       2     2854        8        0     2844
 5884 libaccounts-qt6-1                  	       2       35        2        0       31
 5885 libacl1-dev                        	       2       71       68        1        0
 5886 libairspy0                         	       2       54       51        1        0
 5887 libalgorithm-checkdigits-perl      	       2        3        1        0        0
 5888 libanyevent-i3-perl                	       2      144      136        6        0
 5889 libapache2-mod-passenger           	       2       15       13        0        0
 5890 libapache2-mod-php5.6              	       2        7        5        0        0
 5891 libapache2-mod-php8.1              	       2       11        9        0        0
 5892 libapache2-mod-php8.4              	       2        4        2        0        0
 5893 libapache2-mod-svn                 	       2       11        9        0        0
 5894 libapp-cmd-perl                    	       2       29       27        0        0
 5895 libappconfig-perl                  	       2       80       74        4        0
 5896 libaprutil1-ldap                   	       2     1167        3        0     1162
 5897 libarchive-extract-perl            	       2       97       94        1        0
 5898 libaribb24-0t64                    	       2      139       14        1      122
 5899 libarray-utils-perl                	       2       10        8        0        0
 5900 libasprintf-dev                    	       2      115      110        3        0
 5901 libatrildocument3t64               	       2       82       10        1       69
 5902 libattr1-dev                       	       2       96       93        1        0
 5903 libaudio-scrobbler-perl            	       2      104      100        2        0
 5904 libaudit-dev                       	       2       45       43        0        0
 5905 libauth-googleauth-perl            	       2        3        1        0        0
 5906 libavahi-compat-libdnssd-dev       	       2       18       16        0        0
 5907 libavcodec-dev                     	       2      223      218        3        0
 5908 libavcodec-extra58                 	       2       33       10        0       21
 5909 libavformat-dev                    	       2      185      180        3        0
 5910 libavresample4                     	       2      670        3        0      665
 5911 libavutil-dev                      	       2      229      224        3        0
 5912 libayatana-common0                 	       2        9        3        0        4
 5913 libbasicobjects0                   	       2       13        5        0        6
 5914 libbcg729-0                        	       2      341        1        0      338
 5915 libbctoolbox1                      	       2       60        1        0       57
 5916 libbelcard1                        	       2       34        1        0       31
 5917 libbellesip1                       	       2       27        1        0       24
 5918 libbelr1                           	       2       34        1        0       31
 5919 libbiblio-endnotestyle-perl        	       2        2        0        0        0
 5920 libbladerf2                        	       2       52       49        1        0
 5921 libboolean-perl                    	       2       41       39        0        0
 5922 libboost-context1.83.0             	       2       25       13        0       10
 5923 libboost-coroutine1.83.0           	       2       24       13        0        9
 5924 libboost-filesystem1.83.0          	       2      143       18        0      123
 5925 libboost-locale1.83.0              	       2      194        5        0      187
 5926 libboost-program-options1.83.0     	       2      132       18        0      112
 5927 libboost-random1.74.0              	       2      110        0        0      108
 5928 libbotan-2-17                      	       2       46        1        0       43
 5929 libbusiness-isbn-data-perl         	       2      120      115        3        0
 5930 libbusiness-isbn-perl              	       2      120      115        3        0
 5931 libbusiness-issn-perl              	       2      116      111        3        0
 5932 libbzrtp0                          	       2       53        1        0       50
 5933 libc6-i686                         	       2        9        1        0        6
 5934 libcache-memcached-fast-perl       	       2        2        0        0        0
 5935 libcache-memcached-fast-safe-perl  	       2        2        0        0        0
 5936 libcache-memcached-perl            	       2       16       14        0        0
 5937 libcairomm-1.16-1                  	       2      173        5        0      166
 5938 libcallaudio-0-1                   	       2        5        1        0        2
 5939 libcanlock3                        	       2      997        2        0      993
 5940 libcap-ng-dev                      	       2       52       50        0        0
 5941 libcaribou0                        	       2      302       12        0      288
 5942 libcarp-assert-more-perl           	       2       28       26        0        0
 5943 libcdr-0.1-1                       	       2     2858        8        0     2848
 5944 libcec6                            	       2      101       12        0       87
 5945 libcgi-compile-perl                	       2       16       14        0        0
 5946 libcgi-emulate-psgi-perl           	       2       16       14        0        0
 5947 libcgi-session-perl                	       2       11        9        0        0
 5948 libchromaprint-tools               	       2       64       62        0        0
 5949 libclamav12                        	       2       14       11        0        1
 5950 libclang-common-15-dev             	       2       86       82        2        0
 5951 libclang-cpp15t64                  	       2       11        9        0        0
 5952 libclang-cpp16t64                  	       2       38       36        0        0
 5953 libclang-cpp9                      	       2       50       48        0        0
 5954 libclang1-15                       	       2       89       85        2        0
 5955 libclang1-15t64                    	       2       12       10        0        0
 5956 libclang1-16t64                    	       2       39       37        0        0
 5957 libclass-accessor-chained-perl     	       2       40       36        2        0
 5958 libclass-accessor-grouped-perl     	       2        6        4        0        0
 5959 libclass-c3-componentised-perl     	       2        6        4        0        0
 5960 libclass-dbi-mysql-perl            	       2       22       20        0        0
 5961 libclass-dbi-perl                  	       2       29       27        0        0
 5962 libclass-trigger-perl              	       2       32       30        0        0
 5963 libclucene-contribs1t64            	       2      189        4        0      183
 5964 libclucene-core1t64                	       2      190        4        0      184
 5965 libcoarrays-dev                    	       2      176      151        2       21
 5966 libcodec2-0.8.1                    	       2      211        2        0      207
 5967 libcollection4                     	       2       13        5        0        6
 5968 libconfig-auto-perl                	       2       38       35        1        0
 5969 libconfig-general-perl             	       2      100       97        1        0
 5970 libconfig-model-lcdproc-perl       	       2       23       21        0        0
 5971 libconfig-model-perl               	       2       26       24        0        0
 5972 libcontext-preserve-perl           	       2        7        5        0        0
 5973 libconvert-base32-perl             	       2        3        1        0        0
 5974 libconvert-tnef-perl               	       2       28       26        0        0
 5975 libcookie-baker-perl               	       2       16       14        0        0
 5976 libcookie-baker-xs-perl            	       2       12        0        0       10
 5977 libcpan-changes-perl               	       2       92       90        0        0
 5978 libcpp-httplib0.11                 	       2       24        0        0       22
 5979 libcroco3                          	       2      467        2        0      463
 5980 libcrossguid0                      	       2       92       10        0       80
 5981 libcrypt-cbc-perl                  	       2        9        7        0        0
 5982 libcrypto++8                       	       2       95        8        0       85
 5983 libcrystalhd3                      	       2      522        2        0      518
 5984 libdata-dumper-concise-perl        	       2       15       13        0        0
 5985 libdata-page-perl                  	       2       28       24        2        0
 5986 libdata-perl-perl                  	       2      106      103        1        0
 5987 libdata-section-perl               	       2      151      148        1        0
 5988 libdate-calc-xs-perl               	       2      420        2        0      416
 5989 libdatetime-format-iso8601-perl    	       2       78       76        0        0
 5990 libdatetime-format-mysql-perl      	       2        8        6        0        0
 5991 libdav1d5                          	       2       37       19        0       16
 5992 libdbd-pg-perl                     	       2      126        1        0      123
 5993 libdbix-class-perl                 	       2        6        4        0        0
 5994 libdbix-contextualfetch-perl       	       2       29       27        0        0
 5995 libdbus-glib-1-dev                 	       2       80       76        2        0
 5996 libdca0                            	       2     3147       14        0     3131
 5997 libddccontrol0                     	       2       18       12        0        4
 5998 libdeflate-dev                     	       2      553      530       21        0
 5999 libdesktop-notify-perl             	       2        3        1        0        0
 6000 libdevel-callchecker-perl          	       2     1208        0        0     1206
 6001 libdevel-overloadinfo-perl         	       2      103       99        2        0
 6002 libdevel-partialdump-perl          	       2       98       94        2        0
 6003 libdistro-info-perl                	       2      259      252        5        0
 6004 libdnssec9                         	       2       11        4        1        4
 6005 libdouble-conversion1              	       2      229        1        0      226
 6006 libdvbpsi10                        	       2     1639       19        0     1618
 6007 libdw-dev                          	       2       94       90        2        0
 6008 libe-book-0.1-1                    	       2     2848        8        0     2838
 6009 libeclipse-jdt-annotation-java     	       2       57       54        1        0
 6010 libegl1-amdgpu-mesa                	       2        8        5        0        1
 6011 libegl1-mesa-dev                   	       2      378      357       19        0
 6012 libell0                            	       2       61       19        0       40
 6013 libemail-abstract-perl             	       2       75       71        2        0
 6014 libemail-find-perl                 	       2       23       21        0        0
 6015 libemail-sender-perl               	       2       72       68        2        0
 6016 libemail-stuffer-perl              	       2        2        0        0        0
 6017 libemail-valid-perl                	       2      169      165        2        0
 6018 libencode-detect-perl              	       2       49        6        0       41
 6019 libepubgen-0.1-1                   	       2     2784        8        0     2774
 6020 libetonyek-0.1-1                   	       2     2854        9        0     2843
 6021 libexporter-lite-perl              	       2       69       67        0        0
 6022 libextutils-pkgconfig-perl         	       2      445      433       10        0
 6023 libfcitx-config4                   	       2       29        8        0       19
 6024 libfcitx-core0                     	       2       26        8        0       16
 6025 libfcitx-utils0                    	       2       30       11        0       17
 6026 libfile-dirlist-perl               	       2      244      240        2        0
 6027 libfile-pushd-perl                 	       2       60       58        0        0
 6028 libfile-touch-perl                 	       2      249      245        2        0
 6029 libfilehandle-unget-perl           	       2       17       15        0        0
 6030 libfilezilla34                     	       2      196        2        0      192
 6031 libflac14                          	       2        5        0        2        1
 6032 libfluidsynth2                     	       2      511        5        1      503
 6033 libfreecad-python3-0.20            	       2       81       78        1        0
 6034 libfreerdp-client3-3               	       2       47        2        0       43
 6035 libfreerdp3-3                      	       2       55        2        0       51
 6036 libfstrcmp0                        	       2       90       10        0       78
 6037 libfuse2t64                        	       2       89        4        0       83
 6038 libfwupd3                          	       2       47        4        2       39
 6039 libgail-3-0t64                     	       2       49       22        2       23
 6040 libganglia1                        	       2        8        5        0        1
 6041 libgbm1-amdgpu                     	       2        8        5        0        1
 6042 libgcj-common                      	       2       65       63        0        0
 6043 libgd-barcode-perl                 	       2        5        3        0        0
 6044 libgdbm-dev                        	       2       90       88        0        0
 6045 libgettextpo-dev                   	       2      134      129        3        0
 6046 libgirara-gtk3-3                   	       2      110        5        0      103
 6047 libgit-wrapper-perl                	       2      207      203        2        0
 6048 libgl1-amdgpu-mesa-glx             	       2        9        5        0        2
 6049 libglapi-amdgpu-mesa               	       2        9        5        0        2
 6050 libglew2.1                         	       2      377        2        0      373
 6051 libglew2.2                         	       2      489       12        0      475
 6052 libglvnd-dev                       	       2      640      112        0      526
 6053 libgnome-bluetooth13               	       2      116        7        0      107
 6054 libgnomecanvas2-0                  	       2      233        1        0      230
 6055 libgnutls28-dev                    	       2      257      247        8        0
 6056 libgoogle-perftools4               	       2       37        3        0       32
 6057 libgpgme-dev                       	       2       32       30        0        0
 6058 libgradle-plugins-java             	       2       51       49        0        0
 6059 libgsf-1-114                       	       2      699        4        0      693
 6060 libgstreamer-plugins-base1.0-dev   	       2       48       45        1        0
 6061 libgstreamer1.0-dev                	       2       69       66        1        0
 6062 libgtk-vnc-2.0-0                   	       2      465        4        0      459
 6063 libgtk2.0-dev                      	       2      265      257        6        0
 6064 libgtkglext1                       	       2      162        3        0      157
 6065 libgtkmm-4.0-0                     	       2      170        5        0      163
 6066 libgtksourceview-5-0               	       2      357        2        1      352
 6067 libgvnc-1.0-0                      	       2      467        4        0      461
 6068 libhamlib4t64                      	       2       12        9        1        0
 6069 libhash-multivalue-perl            	       2       19       17        0        0
 6070 libhtml-fromtext-perl              	       2       22       20        0        0
 6071 libhtml-scrubber-perl              	       2       11        9        0        0
 6072 libhttp-cache-transparent-perl     	       2       16       14        0        0
 6073 libhttp-cookiejar-perl             	       2       16       14        0        0
 6074 libhttp-entity-parser-perl         	       2       15       13        0        0
 6075 libhttp-headers-fast-perl          	       2       15       13        0        0
 6076 libhttp-multipartparser-perl       	       2       15       13        0        0
 6077 libhttp-parser-xs-perl             	       2        7        0        0        5
 6078 libhttp-server-simple-perl         	       2       50       47        1        0
 6079 libhttp-tiny-perl                  	       2        4        2        0        0
 6080 libhx32                            	       2       25        9        0       14
 6081 libidzebra-2.0-0                   	       2        2        0        0        0
 6082 libidzebra-2.0-mod-alvis           	       2        2        0        0        0
 6083 libidzebra-2.0-mod-dom             	       2        2        0        0        0
 6084 libidzebra-2.0-mod-grs-marc        	       2        2        0        0        0
 6085 libidzebra-2.0-mod-grs-regx        	       2        2        0        0        0
 6086 libidzebra-2.0-mod-grs-xml         	       2        2        0        0        0
 6087 libidzebra-2.0-mod-safari          	       2        2        0        0        0
 6088 libidzebra-2.0-mod-text            	       2        2        0        0        0
 6089 libilmbase23                       	       2      223        0        0      221
 6090 libima-dbi-perl                    	       2       29       27        0        0
 6091 libini-config5                     	       2       13        5        0        6
 6092 libinline-c-perl                   	       2       29       27        0        0
 6093 libinline-files-perl               	       2       25       23        0        0
 6094 libio-tiecombine-perl              	       2       29       27        0        0
 6095 libipa-hbac0t64                    	       2       16       11        2        1
 6096 libipt2                            	       2     1108        3        0     1103
 6097 libixml11t64                       	       2      139       12        0      125
 6098 libjbig-dev                        	       2      599      577       20        0
 6099 libjson-c2                         	       2      256        7        0      247
 6100 libjson-c3                         	       2      389        4        0      383
 6101 libjson-validator-perl             	       2        3        1        0        0
 6102 libjssc-java                       	       2       85       82        1        0
 6103 libjuh-java                        	       2      399      382       15        0
 6104 libjwt-gnutls0                     	       2        8        0        0        6
 6105 libkaccounts6-2                    	       2       34        2        0       30
 6106 libkadm5srv-mit12                  	       2      192        2        0      188
 6107 libkdecorations2private6           	       2       27        0        0       25
 6108 libkf5akonadisearchdebug5          	       2      469       16        0      451
 6109 libkf5calendarsupport-data         	       2      486        4        0      480
 6110 libkf5dav5                         	       2      466        9        0      455
 6111 libkf5grantleetheme-plugins        	       2      527        6        0      519
 6112 libkf5konq6                        	       2      528       28       11      487
 6113 libkf5libkdepimakonadi5            	       2       23        0        0       21
 6114 libkf5pimcommon-data               	       2      483       10        0      471
 6115 libkf5pimtextedit-data             	       2      510        8        0      500
 6116 libkf6service-bin                  	       2       66       56        8        0
 6117 libkf6userfeedback-data            	       2       28        7        1       18
 6118 libkf6xmlgui-data                  	       2       55        8        1       44
 6119 libkgantt2-l10n                    	       2      451       25        0      424
 6120 libkirigami-data                   	       2       66        7        1       56
 6121 libkissfft-float131                	       2       71       10        0       59
 6122 libkmime2-trinity                  	       2       33        9        0       22
 6123 libknot13                          	       2       10        3        1        4
 6124 libkpimgapi-data                   	       2      488       15        0      471
 6125 libkpimgapicalendar5               	       2      479        3        0      474
 6126 libkpimgapicontacts5               	       2      479        3        0      474
 6127 libkpimgapicore5abi1               	       2      484       27        0      455
 6128 libkpimgapitasks5                  	       2      479        3        0      474
 6129 libkpipewire-data                  	       2       26        5        1       18
 6130 libktnef1-trinity                  	       2       33        9        0       22
 6131 libkvazaar6                        	       2       21       16        0        3
 6132 libkwineffects11                   	       2       24        0        0       22
 6133 libkwinglutils11                   	       2       24        0        0       22
 6134 libkwinxrenderutils11              	       2       24        0        0       22
 6135 liblerc-dev                        	       2      491      469       20        0
 6136 libleveldb1d                       	       2       99        6        0       91
 6137 liblibrary-callnumber-lc-perl      	       2        3        1        0        0
 6138 liblingua-preferred-perl           	       2       15       13        0        0
 6139 liblingua-stem-perl                	       2        4        2        0        0
 6140 liblinphone++10                    	       2       27        1        0       24
 6141 liblinphone10                      	       2       27        1        0       24
 6142 liblirc-client0t64                 	       2      174        4        0      168
 6143 libllvm17t64                       	       2       96       38        0       56
 6144 libllvm18.1-amdgpu                 	       2        5        2        0        1
 6145 liblocale-currency-format-perl     	       2        2        0        0        0
 6146 liblog-tracemessages-perl          	       2       15       13        0        0
 6147 liblomiri-url-dispatcher0          	       2       11        3        0        6
 6148 liblttng-ust-common1               	       2       26        8        0       16
 6149 liblttng-ust1                      	       2       26        8        0       16
 6150 libmagic-dev                       	       2       52       48        2        0
 6151 libmagickcore-6.q16-7t64           	       2      129        0        0      127
 6152 libmagickcore-7.q16-10             	       2      124        2        0      120
 6153 libmagickwand-6.q16-7t64           	       2      129        0        0      127
 6154 libmagickwand-7.q16-10             	       2      123        2        0      119
 6155 libmail-mbox-messageparser-perl    	       2       17       15        0        0
 6156 libmarc-charset-perl               	       2        3        1        0        0
 6157 libmarc-record-perl                	       2        3        1        0        0
 6158 libmarc-xml-perl                   	       2        3        1        0        0
 6159 libmariadb-dev                     	       2      133      129        2        0
 6160 libmariadb-dev-compat              	       2      110      107        1        0
 6161 libmath-random-isaac-xs-perl       	       2      186        0        0      184
 6162 libmath-random-mt-perl             	       2        4        0        0        2
 6163 libmediainfo0v5                    	       2      196       13        0      181
 6164 libmikmod-dev                      	       2      115      109        4        0
 6165 libmission-control-plugins0        	       2      336        9        0      325
 6166 libmodern-perl-perl                	       2        6        4        0        0
 6167 libmodule-runtime-conflicts-perl   	       2      106      102        2        0
 6168 libmojo-jwt-perl                   	       2        2        0        0        0
 6169 libmojolicious-plugin-openapi-perl 	       2        3        1        0        0
 6170 libmono-accessibility4.0-cil       	       2      196      190        4        0
 6171 libmono-system-runtime-serialization4.0-cil	       2      110      106        2        0
 6172 libmono-system-web-services4.0-cil 	       2      127      123        2        0
 6173 libmoox-handlesvia-perl            	       2      106      103        1        0
 6174 libmoox-types-mooselike-perl       	       2       78       74        2        0
 6175 libmousex-nativetraits-perl        	       2       32       30        0        0
 6176 libmousex-strictconstructor-perl   	       2       26       24        0        0
 6177 libmpeg2-4                         	       2     3092       13        0     3077
 6178 libmpv2                            	       2       72        2        0       68
 6179 libmwaw-0.3-3                      	       2     2853        9        0     2842
 6180 libnative-platform-jni             	       2       51       49        0        0
 6181 libnet-cidr-lite-perl              	       2       41       39        0        0
 6182 libnet-ident-perl                  	       2       16       14        0        0
 6183 libnet-stomp-perl                  	       2        2        0        0        0
 6184 libnet-z3950-zoom-perl             	       2        3        0        0        1
 6185 libnetfilter-cthelper0             	       2       25        3        0       20
 6186 libnetwork-ipv4addr-perl           	       2       24       22        0        0
 6187 libnginx-mod-http-headers-more-filter	       2        8        6        0        0
 6188 libnode-dev                        	       2      199      196        1        0
 6189 libnorm-dev                        	       2       42       40        0        0
 6190 libnss-ldap                        	       2        9        1        0        6
 6191 libnumber-format-perl              	       2       14       12        0        0
 6192 libnvcuvid1                        	       2      160        1        1      156
 6193 libnvidia-encode1                  	       2      159        1        1      155
 6194 libnx-x11-6                        	       2       68        1        0       65
 6195 libobasis24.8-pyuno                	       2       11        9        0        0
 6196 libobs0                            	       2      140        4        0      134
 6197 libodbc1                           	       2      371        7        0      362
 6198 libodfgen-0.1-1                    	       2     2870        9        0     2859
 6199 libolm3                            	       2       36        2        0       32
 6200 libomp-11-dev                      	       2       90       86        0        2
 6201 libomp5-11                         	       2       90       86        0        2
 6202 libonig2                           	       2       64        5        0       57
 6203 libopenh264-6                      	       2       31       16        0       13
 6204 libopenmpt-modplug1                	       2     1621       16        0     1603
 6205 libopus-dev                        	       2      161      158        1        0
 6206 liborc-0.4-dev                     	       2       60       58        0        0
 6207 liborc-0.4-dev-bin                 	       2       61       59        0        0
 6208 liborcus-0.18-0                    	       2      190        3        0      185
 6209 liborcus-parser-0.18-0             	       2      190        3        0      185
 6210 libosmosdr0                        	       2       50       47        1        0
 6211 libp8-platform2                    	       2      108       12        0       94
 6212 libpackage-constants-perl          	       2       92       89        1        0
 6213 libpam-google-authenticator        	       2       28       26        0        0
 6214 libpam-mount                       	       2       19       12        0        5
 6215 libpam-ssh                         	       2       13        8        0        3
 6216 libpam0g-dev                       	       2      124      118        4        0
 6217 libpangomm-2.48-1t64               	       2      159        5        0      152
 6218 libparams-classify-perl            	       2     1245        0        0     1243
 6219 libparams-validate-perl            	       2      421        4        0      415
 6220 libparse-debian-packages-perl      	       2       13       11        0        0
 6221 libpath-utils1                     	       2       14        5        0        7
 6222 libpci-dev                         	       2       95       93        0        0
 6223 libpciaccess-dev                   	       2      305      294        9        0
 6224 libpegex-perl                      	       2       29       27        0        0
 6225 libpgm-dev                         	       2       43       41        0        0
 6226 libphp-phpmailer                   	       2       18       16        0        0
 6227 libpixman-1-dev                    	       2      605      583       20        0
 6228 libpkcs11-helper1t64               	       2       38        4        0       32
 6229 libplacebo264                      	       2       28        2        0       24
 6230 libplack-middleware-logwarn-perl   	       2        2        0        0        0
 6231 libplack-middleware-reverseproxy-perl	       2        4        2        0        0
 6232 libplack-perl                      	       2       17       15        0        0
 6233 libplayerctl2                      	       2       29        1        0       26
 6234 libpng12-dev                       	       2       25       23        0        0
 6235 libpod-markdown-perl               	       2       92       90        0        0
 6236 libpod-pom-perl                    	       2       26       24        0        0
 6237 libpolkit-backend-elogind-1-0      	       2      179        1        0      176
 6238 libpoppler-qt6-3t64                	       2       44        0        0       42
 6239 libportal-gtk3-1                   	       2      358        6        0      350
 6240 libportal-gtk4-1                   	       2      166        7        2      155
 6241 libposix-atfork-perl               	       2        3        0        0        1
 6242 libpq-dev                          	       2      178      174        2        0
 6243 libpst4                            	       2      239        3        0      234
 6244 libqb100                           	       2       25       11        0       12
 6245 libqdbm14                          	       2      118        6        0      110
 6246 libqt5multimedia5-plugins          	       2      914        5        0      907
 6247 libqt5multimediagsttools5          	       2      909        5        0      902
 6248 libqt5multimediaquick5             	       2      868        1        0      865
 6249 libqt5multimediawidgets5           	       2     1007        7        0      998
 6250 libqt5opengl5-dev                  	       2      296      283       11        0
 6251 libqt5pdf5                         	       2       43       19        0       22
 6252 libqt5quickparticles5              	       2      804        1        0      801
 6253 libqt5quickshapes5                 	       2      933        1        0      930
 6254 libqt5webengine5                   	       2      940       18        1      919
 6255 libqt5webview5                     	       2      414       10        1      401
 6256 libqt6quickshapes6                 	       2      101        5        0       94
 6257 libqt6svgwidgets6                  	       2      253        1        0      250
 6258 libqt6virtualkeyboard6             	       2       39        1        0       36
 6259 libqtcurve-utils2                  	       2       82        7        0       73
 6260 libqtermwidget5-0                  	       2       71       10        1       58
 6261 libqtermwidget6-2                  	       2        9        2        0        5
 6262 libr0                              	       2       20        2        0       16
 6263 librasqal3t64                      	       2      188        4        0      182
 6264 librdf0t64                         	       2      189        4        0      183
 6265 libref-array1                      	       2       13        5        0        6
 6266 libreoffice-avmedia-backend-gstreamer	       2      194      166        3       23
 6267 libreoffice-gtk2                   	       2       69       65        2        0
 6268 libreoffice-kf6                    	       2       14       11        1        0
 6269 libreoffice-l10n-da                	       2       11        8        1        0
 6270 libreoffice-l10n-pl                	       2       50       46        2        0
 6271 libreoffice-l10n-ru                	       2      136      116       18        0
 6272 libreoffice-pdfimport              	       2       51       44        0        5
 6273 libreoffice-qt6                    	       2       17       14        1        0
 6274 libreoffice-uiconfig-draw          	       2      237      217       18        0
 6275 libreoffice24.8-debian-menus       	       2       11        9        0        0
 6276 librsvg2-bin                       	       2      173      169        2        0
 6277 librsvg2-dev                       	       2      159      155        2        0
 6278 librsync2                          	       2      346        9        0      335
 6279 libsane                            	       2      340      218        3      117
 6280 libsasl2-dev                       	       2       82       80        0        0
 6281 libsdl2-mixer-2.0-0                	       2      458        4        0      452
 6282 libsereal-decoder-perl             	       2      646        0        0      644
 6283 libsereal-encoder-perl             	       2      646        0        0      644
 6284 libserf-1-1                        	       2      499       12        0      485
 6285 libshairplay0                      	       2       90       10        0       78
 6286 libshiboken2-py3-5.15              	       2      120      116        1        1
 6287 libsidplayfp5                      	       2       98        3        0       93
 6288 libsignon-qt6-1                    	       2       34        2        0       30
 6289 libsnmp30                          	       2      307        2        0      303
 6290 libsoci-core4.0                    	       2       32        1        0       29
 6291 libsoci-sqlite3-4.0                	       2       32        1        0       29
 6292 libsodium-dev                      	       2       90       87        1        0
 6293 libsoftware-license-perl           	       2      151      148        1        0
 6294 libsoup-2.4-1                      	       2      184       16        1      165
 6295 libspa-0.2-libcamera               	       2       11        6        0        3
 6296 libspatialindex6                   	       2      106        6        0       98
 6297 libspdlog1.15                      	       2       17        0        0       15
 6298 libsql-abstract-perl               	       2       85       81        2        0
 6299 libssl0.9.8                        	       2       34       32        0        0
 6300 libstaroffice-0.0-0                	       2     2790        9        0     2779
 6301 libstdc++-14-dev                   	       2      181      163       16        0
 6302 libstring-random-perl              	       2        4        2        0        0
 6303 libstring-rewriteprefix-perl       	       2       32       30        0        0
 6304 libstrophe0                        	       2       19        0        0       17
 6305 libsub-uplevel-perl                	       2       48       46        0        0
 6306 libswresample-dev                  	       2      224      219        3        0
 6307 libsys-cpu-perl                    	       2       84        1        0       81
 6308 libtasn1-6-dev                     	       2      267      252       13        0
 6309 libtbb12                           	       2      531       14        1      514
 6310 libtcmalloc-minimal4               	       2       63        2        0       59
 6311 libtelepathy-logger3               	       2       42        3        0       37
 6312 libterm-progressbar-perl           	       2       24       22        0        0
 6313 libterm-readline-gnu-perl          	       2       89       83        4        0
 6314 libtest-exception-perl             	       2       37       35        0        0
 6315 libtext-csv-encoded-perl           	       2        2        0        0        0
 6316 libtext-csv-xs-perl                	       2      301        2        0      297
 6317 libtext-markdown-perl              	       2       37       35        0        0
 6318 libthrowable-perl                  	       2       75       71        2        0
 6319 libtiff-dev                        	       2      567      542       23        0
 6320 libtime-parsedate-perl             	       2       32       30        0        0
 6321 libtime-period-perl                	       2       17       15        0        0
 6322 libtinyxml2-10                     	       2       48        4        0       42
 6323 libtinyxml2-9                      	       2      184        8        0      174
 6324 libtumbler-1-0t64                  	       2      116       12        0      102
 6325 libuniversal-moniker-perl          	       2       30       28        0        0
 6326 libunwind-dev                      	       2      124      121        1        0
 6327 libupnp17t64                       	       2      139       12        0      125
 6328 liburi-encode-perl                 	       2       46       43        1        0
 6329 libuv1-dev                         	       2      238      235        1        0
 6330 libva-dev                          	       2       57       54        1        0
 6331 libverto-libev1                    	       2       15        2        0       11
 6332 libverto1                          	       2       15        2        0       11
 6333 libvisio-0.1-1                     	       2     2860        8        0     2850
 6334 libvpx8                            	       2       38       13        0       23
 6335 libvte9                            	       2       79       20        1       56
 6336 libwayland-amdgpu-client0          	       2        8        5        0        1
 6337 libwayland-amdgpu-server0          	       2        8        5        0        1
 6338 libwayland-client++1               	       2       72       10        0       60
 6339 libwayland-cursor++1               	       2       73       10        0       61
 6340 libwayland-egl++1                  	       2       72       10        0       60
 6341 libwebrtc-audio-processing1        	       2     3148        9        0     3137
 6342 libwinpr3-3                        	       2       56        2        0       52
 6343 libwps-0.4-4                       	       2     2842        8        0     2832
 6344 libwrap0-dev                       	       2       96       94        0        0
 6345 libwww-csrf-perl                   	       2        3        1        0        0
 6346 libwww-form-urlencoded-xs-perl     	       2       16        0        0       14
 6347 libwx-perl                         	       2       53       50        1        0
 6348 libwxbase3.0-0v5                   	       2      385        6        0      377
 6349 libwxgtk3.0-gtk3-0v5               	       2      276        5        0      269
 6350 libx264-155                        	       2      211        2        0      207
 6351 libx265-165                        	       2      248        1        0      245
 6352 libxapian-dev                      	       2       17       13        2        0
 6353 libxcb-errors0                     	       2       15        0        0       13
 6354 libxcb-util0                       	       2      312        3        0      307
 6355 libxcb-xtest0                      	       2      289       18        0      269
 6356 libxcomp3                          	       2      113        4        0      107
 6357 libxcompshad3                      	       2       68        1        0       65
 6358 libxdg-basedir1                    	       2       85       13        0       70
 6359 libxdp1                            	       2       20        8        1        9
 6360 libxi-dev                          	       2      591      569       20        0
 6361 libxm4                             	       2      400       16        0      382
 6362 libxml-dom-perl                    	       2       45       42        1        0
 6363 libxml-dumper-perl                 	       2       11        9        0        0
 6364 libxml-libxslt-perl                	       2      149        0        0      147
 6365 libxml-perl                        	       2       54       51        1        0
 6366 libxml-regexp-perl                 	       2       46       44        0        0
 6367 libxmlrpc-lite-perl                	       2      235      231        2        0
 6368 libxmlsec1t64                      	       2      213        8        0      203
 6369 libxmlsec1t64-nss                  	       2      189        4        0      183
 6370 libxslt1-dev                       	       2      133      130        1        0
 6371 libxv-dev                          	       2      279      272        5        0
 6372 libxxf86dga1                       	       2     3454        8        0     3444
 6373 libxxx-perl                        	       2       26       24        0        0
 6374 libyaml-libyaml-perl               	       2      855        0        0      853
 6375 libyang2                           	       2        7        2        0        3
 6376 libyaz5                            	       2       21        0        0       19
 6377 libzeroc-ice3.7                    	       2       18       13        0        3
 6378 libzip5                            	       2       69        3        0       64
 6379 libzscanner4                       	       2       11        4        1        4
 6380 libzzip-0-13t64                    	       2       66        5        0       59
 6381 liferea                            	       2       45       43        0        0
 6382 lightdm-settings                   	       2       68       56       10        0
 6383 lilo                               	       2       38       36        0        0
 6384 limesuite-udev                     	       2       53       50        1        0
 6385 lincity-ng                         	       2       15       13        0        0
 6386 linphone-desktop                   	       2       30       28        0        0
 6387 linux-headers-6.1.0-25-common      	       2      143      138        0        3
 6388 linux-headers-6.1.0-28-amd64       	       2      196      193        1        0
 6389 linux-headers-6.1.0-31-amd64       	       2       50        0       48        0
 6390 linux-image-4.19.0-25-amd64        	       2       47       45        0        0
 6391 linux-image-4.19.0-26-amd64        	       2       62       60        0        0
 6392 linux-image-4.19.0-9-amd64         	       2       54       52        0        0
 6393 linux-image-4.9.0-11-amd64         	       2       55       51        0        2
 6394 linux-image-4.9.227-vs2.3.9.12-beng	       2        7        5        0        0
 6395 linux-image-5.10.0-31-amd64        	       2       72       70        0        0
 6396 linux-image-6.1.0-0.deb11.21-amd64 	       2       12       10        0        0
 6397 linux-image-6.1.0-17-amd64         	       2      133      130        0        1
 6398 linux-image-6.1.0-18-amd64         	       2      196      193        0        1
 6399 linux-image-6.1.0-22-amd64         	       2      223      221        0        0
 6400 linux-image-6.11.10+bpo-amd64      	       2       25       23        0        0
 6401 linuxfabrik-monitoring-plugins     	       2       15        0        0       13
 6402 lisa-trinity                       	       2       23       21        0        0
 6403 live-boot                          	       2      161      152        7        0
 6404 live-config                        	       2      159      152        5        0
 6405 lksctp-tools                       	       2       64       62        0        0
 6406 llvm                               	       2       97       94        1        0
 6407 llvm-11                            	       2      101       99        0        0
 6408 llvm-11-runtime                    	       2      103       99        0        2
 6409 llvm-11-tools                      	       2       98       95        0        1
 6410 llvm-14                            	       2      261      253        6        0
 6411 llvm-14-runtime                    	       2      262      254        6        0
 6412 llvm-14-tools                      	       2      259      251        6        0
 6413 llvm-15                            	       2       58       55        1        0
 6414 llvm-15-runtime                    	       2       58       55        1        0
 6415 llvm-15-tools                      	       2       56       53        1        0
 6416 llvm-16-dev                        	       2       54       52        0        0
 6417 llvm-9-dev                         	       2       43       41        0        0
 6418 llvm-runtime                       	       2       99       96        1        0
 6419 logout-manager                     	       2       11        8        1        0
 6420 lrzip                              	       2       69       67        0        0
 6421 lsb-invalid-mta                    	       2        4        0        0        2
 6422 lsyncd                             	       2        7        5        0        0
 6423 ltrace                             	       2       64       61        1        0
 6424 lua-cqueues                        	       2        8        2        1        3
 6425 lua-lgi                            	       2       85       12        0       71
 6426 lua-unbound                        	       2       10        7        0        1
 6427 lua5.4                             	       2       46       43        1        0
 6428 luit                               	       2      340      318       20        0
 6429 lxqt-admin                         	       2      240      221       17        0
 6430 lxqt-openssh-askpass               	       2      250      231       17        0
 6431 m17n-db                            	       2      407      397        8        0
 6432 makedev                            	       2       63       60        1        0
 6433 makepasswd                         	       2       21       19        0        0
 6434 malcontent                         	       2      389      352       35        0
 6435 malcontent-gui                     	       2      386      349       35        0
 6436 mariadb-client-10.0                	       2        5        3        0        0
 6437 mariadb-plugin-connect             	       2        4        2        0        0
 6438 mariadb-server-10.0                	       2        5        3        0        0
 6439 marsshooter                        	       2       10        8        0        0
 6440 masscan                            	       2       32       30        0        0
 6441 mate-desktop                       	       2      547      511       34        0
 6442 mbox-importer                      	       2      454      404       48        0
 6443 mbr                                	       2       26       24        0        0
 6444 mcp-plugins                        	       2       35       32        1        0
 6445 mcrypt                             	       2       45       43        0        0
 6446 mda-lv2                            	       2       17       14        0        1
 6447 melt                               	       2      186      181        3        0
 6448 memdump                            	       2       26       24        0        0
 6449 memstat                            	       2       31       29        0        0
 6450 memtest86                          	       2       34       32        0        0
 6451 memtester                          	       2       82       80        0        0
 6452 mesa-common-dev                    	       2      206      198        6        0
 6453 micro                              	       2       46       40        4        0
 6454 micropolis                         	       2       16       14        0        0
 6455 minecraft-launcher                 	       2       43       41        0        0
 6456 minetest-server                    	       2       12       10        0        0
 6457 minizip                            	       2       24       22        0        0
 6458 mintmenu                           	       2        3        0        1        0
 6459 mlterm                             	       2       19       17        0        0
 6460 mmv                                	       2       35       33        0        0
 6461 mocha                              	       2       30       27        1        0
 6462 mongodb-server                     	       2       12       10        0        0
 6463 mono-utils                         	       2       74       69        3        0
 6464 mpack                              	       2      105      101        2        0
 6465 mpg321                             	       2      106      102        2        0
 6466 mpt-status                         	       2        5        3        0        0
 6467 mrtg                               	       2        7        5        0        0
 6468 mugshot                            	       2       22       18        2        0
 6469 mullvad-browser                    	       2        5        3        0        0
 6470 multipath-tools                    	       2        6        4        0        0
 6471 multistrap                         	       2       13       11        0        0
 6472 mumble-server                      	       2       15       13        0        0
 6473 musescore                          	       2       48       45        1        0
 6474 nagios-nrpe-plugin                 	       2       12       10        0        0
 6475 nast                               	       2       23       21        0        0
 6476 nbtscan                            	       2       33       31        0        0
 6477 ncat                               	       2       83       76        5        0
 6478 ncrack                             	       2       31       29        0        0
 6479 ndisc6                             	       2       36       33        1        0
 6480 nestopia                           	       2       17       15        0        0
 6481 net.downloadhelper.coapp           	       2      107       56        0       49
 6482 netdiag                            	       2       41       37        2        0
 6483 netperf                            	       2       10        8        0        0
 6484 netsurf-gtk                        	       2       47       44        1        0
 6485 nettoe                             	       2       12       10        0        0
 6486 network-manager-iodine             	       2       22       18        2        0
 6487 network-manager-sstp               	       2       21       17        2        0
 6488 neverball                          	       2       27       22        3        0
 6489 neverputt                          	       2       17       14        1        0
 6490 nginx-light                        	       2       25        8        0       15
 6491 ngrep                              	       2       39       37        0        0
 6492 nheko                              	       2       25       22        1        0
 6493 nitrogen                           	       2       47       41        4        0
 6494 nmapsi4                            	       2       34       32        0        0
 6495 node-asn1                          	       2       67       64        1        0
 6496 node-babel-helper-define-polyfill-provider	       2      181      178        1        0
 6497 node-busboy                        	       2      262      258        2        0
 6498 node-colors                        	       2      203      200        1        0
 6499 node-css-selector-tokenizer        	       2      163      161        0        0
 6500 node-depd                          	       2      188      186        0        0
 6501 node-diff                          	       2      180      177        1        0
 6502 node-enhanced-resolve              	       2      170      167        1        0
 6503 node-gyp                           	       2      195      192        1        0
 6504 node-he                            	       2       30       27        1        0
 6505 node-jsonstream                    	       2       43       41        0        0
 6506 node-lodash                        	       2      185      181        1        1
 6507 node-loose-envify                  	       2       29       26        1        0
 6508 node-memfs                         	       2      171      168        1        0
 6509 node-mime                          	       2      207      204        1        0
 6510 node-mkdirp                        	       2      219      199        1       17
 6511 node-nopt                          	       2      219      216        1        0
 6512 node-opener                        	       2      190      188        0        0
 6513 node-terser                        	       2      170      166        2        0
 6514 node-undici                        	       2      293      285        6        0
 6515 node-webassemblyjs                 	       2      171      168        1        0
 6516 node-webpack-sources               	       2      170      167        1        0
 6517 node-which                         	       2      218      215        1        0
 6518 node-ws                            	       2      156      154        0        0
 6519 node-y18n                          	       2      192      185        2        3
 6520 node-yargs                         	       2      191      185        2        2
 6521 nodm                               	       2       13       11        0        0
 6522 notmuch                            	       2       32       30        0        0
 6523 nrss                               	       2       94       92        0        0
 6524 nsd                                	       2        6        4        0        0
 6525 nslcd-utils                        	       2       19       16        1        0
 6526 nsxiv                              	       2       15       11        2        0
 6527 numix-gtk-theme                    	       2       42        4        0       36
 6528 numptyphysics                      	       2       19       17        0        0
 6529 nvidia-settings-legacy-390xx       	       2       14       12        0        0
 6530 nvidia-suspend-common              	       2      119      107       10        0
 6531 nxproxy                            	       2       94       89        3        0
 6532 oathtool                           	       2       72       69        1        0
 6533 obsession                          	       2       60       58        0        0
 6534 ocaml-base-nox                     	       2       31       20        0        9
 6535 ocaml-interp                       	       2       77       75        0        0
 6536 octave                             	       2       81       78        1        0
 6537 odt2txt                            	       2      299      276       21        0
 6538 okteta                             	       2       73       68        3        0
 6539 onboard-common                     	       2       48        9        0       37
 6540 open-invaders                      	       2       16       14        0        0
 6541 open-vm-tools-desktop              	       2       20       16        2        0
 6542 openafs-client                     	       2        6        4        0        0
 6543 openafs-krb5                       	       2        5        3        0        0
 6544 openarena                          	       2       32       29        1        0
 6545 opendkim-tools                     	       2       38       35        1        0
 6546 openfortivpn                       	       2       62       58        2        0
 6547 openhpid                           	       2       13       11        0        0
 6548 openjdk-21-jre-headless            	       2       98       89        7        0
 6549 openmpi-bin                        	       2      189      186        1        0
 6550 openmw                             	       2       13       11        0        0
 6551 openmw-launcher                    	       2       13       11        0        0
 6552 openshot-qt                        	       2       80       78        0        0
 6553 opensmtpd                          	       2        6        4        0        0
 6554 openssh-known-hosts                	       2        3        1        0        0
 6555 openssl-blacklist                  	       2       15       13        0        0
 6556 pacemaker-cli-utils                	       2       11        9        0        0
 6557 packit                             	       2       19       17        0        0
 6558 pacman                             	       2       23       20        1        0
 6559 pamixer                            	       2       18       12        4        0
 6560 passenger                          	       2       22       20        0        0
 6561 passwordsafe                       	       2       23       21        0        0
 6562 pax                                	       2       75       72        1        0
 6563 pbuilder                           	       2       86       82        2        0
 6564 pcapfix                            	       2       20       18        0        0
 6565 pcaputils                          	       2       24       22        0        0
 6566 pdfcrack                           	       2       46       44        0        0
 6567 perl-modules-5.38                  	       2      129      127        0        0
 6568 perlindex                          	       2        2        0        0        0
 6569 pff-tools                          	       2       29       27        0        0
 6570 pgpdump                            	       2       30       28        0        0
 6571 php-psr-simple-cache               	       2        8        6        0        0
 6572 php-smbclient                      	       2        3        1        0        0
 6573 php-symfony-console                	       2       48       46        0        0
 6574 php-symfony-finder                 	       2       50       48        0        0
 6575 php-symfony-polyfill-mbstring      	       2        3        1        0        0
 6576 php-symfony-string                 	       2       39       37        0        0
 6577 php5-imap                          	       2        6        4        0        0
 6578 php5-mcrypt                        	       2       15       12        0        1
 6579 php5.6-bz2                         	       2        3        1        0        0
 6580 php5.6-fpm                         	       2        6        4        0        0
 6581 php5.6-mysql                       	       2        9        7        0        0
 6582 php5.6-pgsql                       	       2        3        1        0        0
 6583 php7.0-mcrypt                      	       2       13       11        0        0
 6584 php7.0-sqlite3                     	       2       11        9        0        0
 6585 php7.3-bz2                         	       2        9        7        0        0
 6586 php7.3-sqlite3                     	       2       12       10        0        0
 6587 php7.4-imap                        	       2       19       17        0        0
 6588 php7.4-redis                       	       2        4        2        0        0
 6589 php8.0-apcu                        	       2        8        6        0        0
 6590 php8.0-bcmath                      	       2        4        2        0        0
 6591 php8.0-fpm                         	       2       10        8        0        0
 6592 php8.0-gmp                         	       2        4        2        0        0
 6593 php8.0-imagick                     	       2        4        2        0        0
 6594 php8.0-sqlite3                     	       2        8        6        0        0
 6595 php8.0-tidy                        	       2        7        5        0        0
 6596 php8.1-cli                         	       2       16       14        0        0
 6597 php8.1-common                      	       2       20       18        0        0
 6598 php8.1-curl                        	       2       16       14        0        0
 6599 php8.1-gd                          	       2       16       14        0        0
 6600 php8.1-intl                        	       2       13       11        0        0
 6601 php8.1-mbstring                    	       2       16       14        0        0
 6602 php8.1-opcache                     	       2       16       14        0        0
 6603 php8.1-pgsql                       	       2        7        5        0        0
 6604 php8.1-readline                    	       2       16       14        0        0
 6605 php8.1-xml                         	       2       15       13        0        0
 6606 php8.1-zip                         	       2       14       12        0        0
 6607 php8.2-gmagick                     	       2        3        1        0        0
 6608 php8.2-http                        	       2        6        4        0        0
 6609 php8.2-phpdbg                      	       2       57       54        1        0
 6610 php8.2-ssh2                        	       2        3        1        0        0
 6611 php8.2-uuid                        	       2        6        4        0        0
 6612 php8.3-bz2                         	       2        7        5        0        0
 6613 php8.3-gmp                         	       2        5        3        0        0
 6614 php8.3-imagick                     	       2        8        6        0        0
 6615 php8.3-imap                        	       2        5        3        0        0
 6616 php8.3-ldap                        	       2        3        1        0        0
 6617 php8.3-pgsql                       	       2        5        3        0        0
 6618 php8.4-ssh2                        	       2        2        0        0        0
 6619 picard                             	       2       46       44        0        0
 6620 picocom                            	       2       47       44        1        0
 6621 pidgin-otr                         	       2       25       22        1        0
 6622 pim-sieve-editor                   	       2      455      405       48        0
 6623 pixiewps                           	       2       38       36        0        0
 6624 plasma-discover-backend-fwupd      	       2      411       11        1      397
 6625 plasma-gamemode                    	       2        7        4        0        1
 6626 plasma5-integration                	       2       22        9        0       11
 6627 playerctl                          	       2       20       17        1        0
 6628 playonlinux                        	       2       87       81        4        0
 6629 plymouth                           	       2       41       38        1        0
 6630 pmidi                              	       2       19       17        0        0
 6631 pmount                             	       2      119      116        1        0
 6632 pngcrush                           	       2       46       44        0        0
 6633 pnscan                             	       2       27       25        0        0
 6634 podget                             	       2        7        5        0        0
 6635 polkit-agent-tde                   	       2       23       21        0        0
 6636 polybar                            	       2       43       39        2        0
 6637 postfix-policyd-spf-python         	       2        9        7        0        0
 6638 postgresql-15-postgis-3            	       2        7        5        0        0
 6639 postgresql-16                      	       2       17       15        0        0
 6640 postgresql-contrib-9.6             	       2       35       33        0        0
 6641 powermanga                         	       2       12       10        0        0
 6642 pppoeconf                          	       2       27       25        0        0
 6643 profanity                          	       2       12       10        0        0
 6644 proftpd-mod-crypto                 	       2       11        9        0        0
 6645 proftpd-mod-wrap                   	       2       11        9        0        0
 6646 prusa-slicer                       	       2       15       13        0        0
 6647 ps2eps                             	       2      245      239        4        0
 6648 psensor                            	       2       75       70        3        0
 6649 pssh                               	       2       10        7        1        0
 6650 pstoedit                           	       2      256      247        7        0
 6651 pterm                              	       2       21       19        0        0
 6652 pulseaudio-module-zeroconf         	       2       68       63        3        0
 6653 pulseeffects                       	       2       35       32        1        0
 6654 pulsemixer                         	       2       80       75        3        0
 6655 pure-ftpd                          	       2       12       10        0        0
 6656 putty-tools                        	       2       79       74        3        0
 6657 pylint                             	       2       92       87        3        0
 6658 pyqt5-dev-tools                    	       2      101       96        3        0
 6659 pyqt6-dev-tools                    	       2      215      209        4        0
 6660 pysolfc                            	       2       21       18        1        0
 6661 python-dbus-dev                    	       2       67       64        1        0
 6662 python-debianbts                   	       2      116       64        0       50
 6663 python-enum34                      	       2      159      156        1        0
 6664 python-gdbm                        	       2       14       12        0        0
 6665 python-gst0.10                     	       2        6        4        0        0
 6666 python-httplib2                    	       2       79       77        0        0
 6667 python-idna                        	       2      123      121        0        0
 6668 python-ipaddress                   	       2      146      143        1        0
 6669 python-matplotlib                  	       2       23       21        0        0
 6670 python-mutagen                     	       2       48       45        1        0
 6671 python-notify                      	       2      154      151        1        0
 6672 python-ntdb                        	       2       36       34        0        0
 6673 python-parallax                    	       2        4        2        0        0
 6674 python-pygments                    	       2      106      103        1        0
 6675 python-pyicu                       	       2       22       20        0        0
 6676 python-pysimplesoap                	       2       66       64        0        0
 6677 python-reportbug                   	       2      111      106        1        2
 6678 python-repoze.lru                  	       2       22       20        0        0
 6679 python-requests                    	       2       86       84        0        0
 6680 python-urllib3                     	       2       96       93        0        1
 6681 python-urwid                       	       2       27       24        1        0
 6682 python-wstools                     	       2       70       67        1        0
 6683 python-wxgtk3.0                    	       2       46       44        0        0
 6684 python-wxversion                   	       2       50       48        0        0
 6685 python-yaml                        	       2       41       39        0        0
 6686 python-zope.interface              	       2       41       39        0        0
 6687 python3-astroid                    	       2       93       88        3        0
 6688 python3-asttokens                  	       2      276      268        6        0
 6689 python3-async-generator            	       2       60       55        3        0
 6690 python3-async-timeout              	       2      328      316       10        0
 6691 python3-bson                       	       2       22       19        1        0
 6692 python3-bson-ext                   	       2       22       19        1        0
 6693 python3-cairocffi                  	       2       49       45        2        0
 6694 python3-cairosvg                   	       2       36       34        0        0
 6695 python3-compizconfig               	       2       45       41        2        0
 6696 python3-cov-core                   	       2       15       13        0        0
 6697 python3-crypto                     	       2       47       45        0        0
 6698 python3-cssselect                  	       2      603      583       18        0
 6699 python3-dbus.mainloop.pyqt6        	       2        8        6        0        0
 6700 python3-deprecated                 	       2      121      117        2        0
 6701 python3-dill                       	       2       82       78        2        0
 6702 python3-docker                     	       2      157      152        3        0
 6703 python3-docopt                     	       2      202      195        5        0
 6704 python3-google-auth                	       2       22       20        0        0
 6705 python3-greenlet                   	       2      224      210       12        0
 6706 python3-gridfs                     	       2       20       17        1        0
 6707 python3-gssapi                     	       2      146      140        4        0
 6708 python3-h11                        	       2      490      474       14        0
 6709 python3-h2                         	       2      415      406        7        0
 6710 python3-hpack                      	       2      415      406        7        0
 6711 python3-html2text                  	       2      228      224        2        0
 6712 python3-html5-parser               	       2      210      206        2        0
 6713 python3-httpx                      	       2      454      438       14        0
 6714 python3-hyperframe                 	       2      415      406        7        0
 6715 python3-hyperlink                  	       2      295      278       15        0
 6716 python3-ibus-1.0                   	       2      365      335       27        1
 6717 python3-isort                      	       2       99       95        2        0
 6718 python3-jaraco.text                	       2       94       87        5        0
 6719 python3-jsonschema                 	       2      337      324       11        0
 6720 python3-lazy-object-proxy          	       2       79       75        2        0
 6721 python3-ldap                       	       2       30       24        4        0
 6722 python3-levenshtein                	       2       90       85        3        0
 6723 python3-libapparmor                	       2       96       93        1        0
 6724 python3-libdiscid                  	       2       60       58        0        0
 6725 python3-livereload                 	       2      122      114        6        0
 6726 python3-lockfile                   	       2      316      291       23        0
 6727 python3-magic                      	       2      334      326        6        0
 6728 python3-mccabe                     	       2      113      109        2        0
 6729 python3-mdurl                      	       2      473      459       12        0
 6730 python3-mechanize                  	       2      279      271        6        0
 6731 python3-monotonic                  	       2      338      310       26        0
 6732 python3-mypy-extensions            	       2      117      113        2        0
 6733 python3-netaddr                    	       2      134      129        3        0
 6734 python3-nltk                       	       2      123      114        7        0
 6735 python3-nose                       	       2       56       52        2        0
 6736 python3-opengl                     	       2      188      181        5        0
 6737 python3-orjson                     	       2       13       11        0        0
 6738 python3-pdfminer                   	       2       89       86        1        0
 6739 python3-pefile                     	       2       34       31        1        0
 6740 python3-progressbar                	       2       33       31        0        0
 6741 python3-py                         	       2      383      371       10        0
 6742 python3-py7zr                      	       2      212      208        2        0
 6743 python3-pydantic-core              	       2        9        7        0        0
 6744 python3-pyelftools                 	       2       27       25        0        0
 6745 python3-pygame-sdl2                	       2       12       10        0        0
 6746 python3-pymongo                    	       2       20       17        1        0
 6747 python3-pymongo-ext                	       2       20       17        1        0
 6748 python3-pyproj                     	       2       55       52        1        0
 6749 python3-pyqt5.qsci                 	       2       70       67        1        0
 6750 python3-pyqt5.qtpositioning        	       2      141      134        5        0
 6751 python3-pyqt5.qtquick              	       2      183      175        6        0
 6752 python3-pyqt5.qtsvg                	       2      343      334        7        0
 6753 python3-pyqt5.qtwebchannel         	       2      228      219        7        0
 6754 python3-pyqt5.qtwebengine          	       2      217      209        6        0
 6755 python3-pyqt6.qtquick              	       2      176      171        3        0
 6756 python3-pyqtgraph                  	       2       81       78        1        0
 6757 python3-pyscard                    	       2       39       37        0        0
 6758 python3-pyside2.qtcore             	       2      133      128        2        1
 6759 python3-pyside2.qtgui              	       2      131      126        2        1
 6760 python3-pyside2.qtnetwork          	       2       93       89        2        0
 6761 python3-pyside2.qtprintsupport     	       2       82       78        2        0
 6762 python3-pyside2.qtwebchannel       	       2       82       78        2        0
 6763 python3-pyside2.qtwebenginecore    	       2       82       78        2        0
 6764 python3-pyside2.qtwebenginewidgets 	       2       82       78        2        0
 6765 python3-pyside2.qtwidgets          	       2      130      125        2        1
 6766 python3-pysmi                      	       2       62       60        0        0
 6767 python3-pysnmp4                    	       2       62       60        0        0
 6768 python3-pyudev                     	       2      734      724        8        0
 6769 python3-qgis                       	       2       40       37        1        0
 6770 python3-redis                      	       2       64       60        2        0
 6771 python3-rich                       	       2      474      459       13        0
 6772 python3-serial                     	       2      217      211        4        0
 6773 python3-sip                        	       2      540      513       25        0
 6774 python3-spf                        	       2       10        8        0        0
 6775 python3-sphinx                     	       2      154      150        2        0
 6776 python3-sphinx-rtd-theme           	       2       44       42        0        0
 6777 python3-terminado                  	       2       56       53        1        0
 6778 python3-toml                       	       2      301      283       16        0
 6779 python3-tomlkit                    	       2       89       85        2        0
 6780 python3-tqdm                       	       2      232      220       10        0
 6781 python3-urwid                      	       2      141      136        3        0
 6782 python3-usb                        	       2       65       62        1        0
 6783 python3-watchdog                   	       2      173      163        8        0
 6784 python3-wcwidth                    	       2      372      362        8        0
 6785 python3-websocket                  	       2      206      200        4        0
 6786 python3-whisper                    	       2        3        1        0        0
 6787 python3.4-minimal                  	       2       34       31        1        0
 6788 python3.7                          	       2      260      253        5        0
 6789 python3.9-dev                      	       2      177      171        2        2
 6790 pyzor                              	       2       20       18        0        0
 6791 qemu-system-arm                    	       2      212      206        4        0
 6792 qemu-system-mips                   	       2      183      178        3        0
 6793 qemu-system-misc                   	       2      191      186        3        0
 6794 qemu-system-ppc                    	       2      190      185        3        0
 6795 qemu-system-riscv                  	       2       17       15        0        0
 6796 qemu-system-s390x                  	       2       17       15        0        0
 6797 qemu-system-sparc                  	       2      184      179        3        0
 6798 qemu-system-xen                    	       2       10        2        0        6
 6799 qgis                               	       2       43       40        1        0
 6800 qgis-plugin-grass                  	       2       39       36        1        0
 6801 qgis-provider-grass                	       2       39       36        1        0
 6802 qgis-providers                     	       2       45       42        1        0
 6803 qiv                                	       2       45       42        1        0
 6804 qjackctl                           	       2      153      148        3        0
 6805 qml-module-org-kde-kquickcontrols  	       2      839       11        3      823
 6806 qml-module-org-kde-userfeedback    	       2      622       13        2      605
 6807 qml-module-qtmultimedia            	       2      859        1        0      856
 6808 qml-module-qtquick-particles2      	       2      740        1        0      737
 6809 qml-module-qtquick-shapes          	       2      882        1        0      879
 6810 qml6-module-org-kde-breeze         	       2       22        2        1       17
 6811 qml6-module-org-kde-graphicaleffects	       2       22        3        1       16
 6812 qml6-module-qt-labs-folderlistmodel	       2       58        1        0       55
 6813 qml6-module-qtquick-shapes         	       2       94        5        0       87
 6814 qml6-module-qtquick-virtualkeyboard	       2       38        1        0       35
 6815 qpdf                               	       2      245      240        3        0
 6816 qprint                             	       2       17       15        0        0
 6817 qt5-image-formats-plugin-pdf       	       2       40       19        0       19
 6818 qt6-virtualkeyboard-plugin         	       2       24        1        0       21
 6819 qt6ct                              	       2       31       29        0        0
 6820 quilt                              	       2      167      162        3        0
 6821 r-cran-boot                        	       2      137      130        5        0
 6822 r-cran-class                       	       2      137      130        5        0
 6823 r-cran-codetools                   	       2      137      130        5        0
 6824 r-cran-foreign                     	       2      137      130        5        0
 6825 r-cran-kernsmooth                  	       2      137      130        5        0
 6826 r-cran-nlme                        	       2      139      132        5        0
 6827 r-cran-nnet                        	       2      137      130        5        0
 6828 r-cran-rpart                       	       2      137      130        5        0
 6829 r-cran-spatial                     	       2      137      130        5        0
 6830 radvd                              	       2       25       23        0        0
 6831 rarcrack                           	       2       42       40        0        0
 6832 ratpoison                          	       2       19       17        0        0
 6833 rdma-core                          	       2        8        6        0        0
 6834 read-edid                          	       2      148      144        2        0
 6835 realvnc-vnc-viewer                 	       2       21       19        0        0
 6836 reaver                             	       2       40       38        0        0
 6837 recollcmd                          	       2       36       34        0        0
 6838 ree                                	       2       34       32        0        0
 6839 reglookup                          	       2       28       26        0        0
 6840 resource-agents                    	       2       13       11        0        0
 6841 restic                             	       2       28       26        0        0
 6842 rifiuti                            	       2       26       24        0        0
 6843 rifiuti2                           	       2       26       24        0        0
 6844 ripgrep                            	       2       73       69        2        0
 6845 ripole                             	       2       11        9        0        0
 6846 rlwrap                             	       2      112      107        3        0
 6847 rng-tools5                         	       2       10        8        0        0
 6848 root-tail                          	       2       10        7        1        0
 6849 rox-filer                          	       2       37       35        0        0
 6850 rrdcached                          	       2        5        3        0        0
 6851 rsh-server                         	       2       11        8        1        0
 6852 ruby-activesupport                 	       2       23       19        2        0
 6853 ruby-addressable                   	       2       64       60        2        0
 6854 ruby-backports                     	       2        6        4        0        0
 6855 ruby-bundler                       	       2       47       43        2        0
 6856 ruby-coderay                       	       2       20       18        0        0
 6857 ruby-concurrent                    	       2       65       60        3        0
 6858 ruby-daemons                       	       2        3        1        0        0
 6859 ruby-json                          	       2      114       81        3       28
 6860 ruby-mini-portile2                 	       2       80       76        2        0
 6861 ruby-multi-json                    	       2       51       47        2        0
 6862 ruby-nokogiri                      	       2       87       83        2        0
 6863 ruby-open4                         	       2        4        2        0        0
 6864 ruby-public-suffix                 	       2       61       57        2        0
 6865 ruby-rack-protection               	       2       10        8        0        0
 6866 ruby-rpam-ruby19                   	       2        2        0        0        0
 6867 ruby-sinatra                       	       2       10        8        0        0
 6868 ruby-sinatra-contrib               	       2        2        0        0        0
 6869 ruby-sys-proctable                 	       2       11        9        0        0
 6870 ruby-tilt                          	       2       26       23        1        0
 6871 ruby-unicode-display-width         	       2       14       12        0        0
 6872 ruby-zip                           	       2       62       58        2        0
 6873 ruby3.1-dev                        	       2       75       70        3        0
 6874 ruby3.3                            	       2       10        4        4        0
 6875 rwhod                              	       2       13       11        0        0
 6876 rxvt                               	       2       31       29        0        0
 6877 rzip                               	       2       45       42        1        0
 6878 safecopy                           	       2       42       40        0        0
 6879 salt-minion                        	       2        4        2        0        0
 6880 samdump2                           	       2       27       25        0        0
 6881 sane                               	       2      148      144        2        0
 6882 sassc                              	       2       55       49        4        0
 6883 sbsigntool                         	       2       85       83        0        0
 6884 scalpel                            	       2       45       43        0        0
 6885 schedtool                          	       2       31       29        0        0
 6886 scite                              	       2       63       59        2        0
 6887 screenfetch                        	       2       87       84        1        0
 6888 scrub                              	       2      117      112        3        0
 6889 shorewall-core                     	       2       21       15        1        3
 6890 shotcut                            	       2       59       56        1        0
 6891 shtool                             	       2       56       54        0        0
 6892 silversearcher-ag                  	       2       22       19        1        0
 6893 skypeforlinux                      	       2       64       62        0        0
 6894 smart-notifier                     	       2       57       54        1        0
 6895 smb-nat                            	       2       11        9        0        0
 6896 snapclient                         	       2        6        4        0        0
 6897 snapserver                         	       2        3        1        0        0
 6898 snmp-mibs-downloader               	       2       62       60        0        0
 6899 snmptrapfmt                        	       2        5        3        0        0
 6900 softflowd                          	       2       14       12        0        0
 6901 spamass-milter                     	       2        3        1        0        0
 6902 speedcrunch                        	       2       34       32        0        0
 6903 spice-client-glib-usb-acl-helper   	       2      459       57        0      400
 6904 spinner                            	       2        2        0        0        0
 6905 spirv-tools                        	       2       37       35        0        0
 6906 squid-openssl                      	       2        5        3        0        0
 6907 sssd-ad-common                     	       2       29       25        2        0
 6908 sssd-ipa                           	       2       29       13        2       12
 6909 sssd-krb5                          	       2       29        1        0       26
 6910 sssd-ldap                          	       2       29        2        0       25
 6911 stacer                             	       2       14       10        2        0
 6912 starman                            	       2        3        1        0        0
 6913 stockfish                          	       2       75       68        5        0
 6914 strawberry                         	       2       31       27        2        0
 6915 strongswan-swanctl                 	       2       11        9        0        0
 6916 supercat                           	       2       63       57        4        0
 6917 supermin                           	       2      110      104        4        0
 6918 swaylock                           	       2       35       29        4        0
 6919 swig                               	       2       93       89        2        0
 6920 swig4.0                            	       2       78       75        1        0
 6921 switcheroo-control                 	       2      257      232       23        0
 6922 symlinks                           	       2       39       35        2        0
 6923 systray-mdstat                     	       2        2        0        0        0
 6924 tcl-expect                         	       2      184        1        0      181
 6925 tcllib                             	       2      109      105        2        0
 6926 tcpick                             	       2       29       27        0        0
 6927 tcpxtract                          	       2       22       20        0        0
 6928 terser                             	       2      170      166        2        0
 6929 texlive-lang-czechslovak           	       2       90       86        2        0
 6930 texlive-lang-polish                	       2       97       93        2        0
 6931 texlive-pstricks                   	       2      211      205        4        0
 6932 texlive-xetex                      	       2      234      230        2        0
 6933 tftp-hpa                           	       2       56       54        0        0
 6934 thermald                           	       2       31       29        0        0
 6935 thin                               	       2        3        1        0        0
 6936 thunar-font-manager                	       2       24       12        0       10
 6937 thunar-vcs-plugin                  	       2       21        9        0       10
 6938 thunderbird-l10n-en-gb             	       2       31       29        0        0
 6939 thunderbird-l10n-es-es             	       2       19       17        0        0
 6940 tig                                	       2       53       51        0        0
 6941 tigervnc-xorg-extension            	       2       25       22        1        0
 6942 tilda                              	       2       21       19        0        0
 6943 tmpreaper                          	       2       22       20        0        0
 6944 tofrodos                           	       2       78       74        2        0
 6945 tomcat10                           	       2        7        5        0        0
 6946 tp-smapi-dkms                      	       2       46       44        0        0
 6947 tpb                                	       2       18       16        0        0
 6948 trousers                           	       2       10        8        0        0
 6949 ttf-kochi-gothic                   	       2       10        2        0        6
 6950 tuxmath-data                       	       2       30        5        0       23
 6951 tvheadend                          	       2        9        7        0        0
 6952 twolame                            	       2       52       50        0        0
 6953 u-boot-tools                       	       2       75       72        1        0
 6954 udevil                             	       2       33       29        2        0
 6955 udhcpd                             	       2       13       11        0        0
 6956 uim-data                           	       2       37       18        1       16
 6957 uim-mozc                           	       2       29       16        1       10
 6958 undbx                              	       2       30       28        0        0
 6959 units                              	       2       71       69        0        0
 6960 unpaper                            	       2      137      134        1        0
 6961 urlview                            	       2       41       39        0        0
 6962 userv                              	       2        2        0        0        0
 6963 uswsusp                            	       2       43       41        0        0
 6964 uuid                               	       2       66       64        0        0
 6965 uwsgi-plugin-python3               	       2       10        8        0        0
 6966 vainfo                             	       2      121      116        3        0
 6967 valgrind-dbg                       	       2      161      157        2        0
 6968 vapoursynth                        	       2       31       27        2        0
 6969 varnish                            	       2        5        3        0        0
 6970 vim-gui-common                     	       2      224      216        6        0
 6971 vinetto                            	       2       25       23        0        0
 6972 virtualbox                         	       2       22       19        1        0
 6973 virtualenv                         	       2       82       20        0       60
 6974 vlock                              	       2       33       31        0        0
 6975 vmware-horizon-client              	       2        5        3        0        0
 6976 vprerex                            	       2      117      112        3        0
 6977 waterfox                           	       2        8        5        1        0
 6978 waybar                             	       2       30       25        3        0
 6979 wbrazilian                         	       2       75       70        3        0
 6980 wdiff                              	       2      350      346        2        0
 6981 webext-dav4tbsync                  	       2        6        4        0        0
 6982 webext-eas4tbsync                  	       2        5        3        0        0
 6983 webext-tbsync                      	       2        6        4        0        0
 6984 webext-ublock-origin-firefox       	       2       47       45        0        0
 6985 webpack                            	       2      170      167        1        0
 6986 websocketd                         	       2        8        6        0        0
 6987 wgerman-medical                    	       2       29       27        0        0
 6988 when                               	       2        7        5        0        0
 6989 winetricks                         	       2      257      248        7        0
 6990 wireshark                          	       2      306       24        0      280
 6991 wl-clipboard                       	       2       96       91        3        0
 6992 wmbattery                          	       2       14       12        0        0
 6993 wmcalclock                         	       2       16       14        0        0
 6994 wmclock                            	       2       23       21        0        0
 6995 wmclockmon                         	       2       13       11        0        0
 6996 wmmemload                          	       2       11        9        0        0
 6997 wmnd                               	       2        8        6        0        0
 6998 wofi                               	       2       14       12        0        0
 6999 wondershaper                       	       2       12       10        0        0
 7000 wpolish                            	       2       87       81        4        0
 7001 x11proto-core-dev                  	       2      500       44        0      454
 7002 x11proto-damage-dev                	       2       91       23        0       66
 7003 x11proto-dri2-dev                  	       2       54       21        0       31
 7004 x11proto-fixes-dev                 	       2       91       24        0       65
 7005 x11proto-gl-dev                    	       2       54       21        0       31
 7006 x11proto-input-dev                 	       2      225       44        0      179
 7007 x11proto-kb-dev                    	       2      109       44        0       63
 7008 x11proto-xext-dev                  	       2      355       30        0      323
 7009 x11proto-xf86vidmode-dev           	       2      238       21        0      215
 7010 xautolock                          	       2       23       21        0        0
 7011 xaw3dg                             	       2      216        4        0      210
 7012 xdaliclock                         	       2       29       27        0        0
 7013 xdelta                             	       2      215      211        2        0
 7014 xdelta3                            	       2      214      210        2        0
 7015 xdemorse                           	       2       16       14        0        0
 7016 xdgmenumaker                       	       2       12        9        1        0
 7017 xemacs21-bin                       	       2      292      290        0        0
 7018 xemacs21-mule-canna-wnn            	       2      275      273        0        0
 7019 xemacs21-support                   	       2      292      290        0        0
 7020 xen-utils-4.17                     	       2        8        6        0        0
 7021 xfce4-diskperf-plugin              	       2     1654       29        0     1623
 7022 xfce4-screensaver                  	       2       15       13        0        0
 7023 xfce4-volumed                      	       2       17       14        1        0
 7024 xfe                                	       2       76       73        1        0
 7025 xfonts-75dpi                       	       2     3057       14        0     3041
 7026 xmms2-core                         	       2       98       95        1        0
 7027 xmms2-plugin-alsa                  	       2       90       87        1        0
 7028 xmms2-plugin-id3v2                 	       2       90       87        1        0
 7029 xmms2-plugin-mad                   	       2       89       86        1        0
 7030 xmms2-plugin-vorbis                	       2       89       86        1        0
 7031 xprintidle                         	       2       18       16        0        0
 7032 xserver-xorg-amdgpu-video-amdgpu   	       2        7        5        0        0
 7033 xserver-xorg-video-cirrus          	       2      147      143        2        0
 7034 xserver-xorg-video-mach64          	       2      146      142        2        0
 7035 xserver-xorg-video-neomagic        	       2      147      143        2        0
 7036 xserver-xorg-video-r128            	       2      150      146        2        0
 7037 xserver-xorg-video-savage          	       2      146      142        2        0
 7038 xserver-xorg-video-siliconmotion   	       2      138      134        2        0
 7039 xserver-xorg-video-sisusb          	       2      145      141        2        0
 7040 xserver-xorg-video-tdfx            	       2      143      139        2        0
 7041 xserver-xorg-video-trident         	       2      141      137        2        0
 7042 xtrx-dkms                          	       2       27       25        0        0
 7043 xwaylandvideobridge                	       2       15       11        2        0
 7044 xxkb                               	       2      108       91       15        0
 7045 xymon                              	       2        7        5        0        0
 7046 xzdec                              	       2       21       17        2        0
 7047 xzgv                               	       2       41       38        1        0
 7048 yarnpkg                            	       2       26       23        1        0
 7049 youtube-dl                         	       2      421      226        4      189
 7050 ypbind-mt                          	       2        9        7        0        0
 7051 yubioath-desktop                   	       2       22       20        0        0
 7052 zabbix-agent2                      	       2        6        4        0        0
 7053 zathura-pdf-poppler                	       2      119        6        0      111
 7054 zfs-dkms                           	       2       79       73        4        0
 7055 zfs-fuse                           	       2       11        9        0        0
 7056 zim                                	       2       62       60        0        0
 7057 zipcmp                             	       2       14       10        2        0
 7058 zipmerge                           	       2       10        7        1        0
 7059 zpaq                               	       2       32       30        0        0
 7060 2ping                              	       1        7        6        0        0
 7061 3dchess                            	       1        4        3        0        0
 7062 4g8                                	       1        4        3        0        0
 7063 7kaa                               	       1       17       16        0        0
 7064 7zip-rar                           	       1       21       20        0        0
 7065 a2ps                               	       1       69       68        0        0
 7066 aapt                               	       1       60       59        0        0
 7067 abcde                              	       1       92       89        2        0
 7068 abi-compliance-checker             	       1        8        7        0        0
 7069 abi-dumper                         	       1        3        2        0        0
 7070 abi-monitor                        	       1        3        2        0        0
 7071 abi-tracker                        	       1        3        2        0        0
 7072 abicheck                           	       1        5        4        0        0
 7073 abootimg                           	       1       34       33        0        0
 7074 abrowser                           	       1        5        4        0        0
 7075 abrowser-locale-es                 	       1        2        1        0        0
 7076 abw2epub                           	       1        9        8        0        0
 7077 abw2odt                            	       1       10        9        0        0
 7078 acorn-fdisk                        	       1        3        2        0        0
 7079 acpica-tools                       	       1       85       83        1        0
 7080 acpitail                           	       1       17       16        0        0
 7081 acpitool                           	       1      111      108        2        0
 7082 acroread                           	       1       10        9        0        0
 7083 acroread-data                      	       1       11       10        0        0
 7084 acroread-debian-files              	       1       10        9        0        0
 7085 adonthell                          	       1        7        6        0        0
 7086 adonthell-data                     	       1        7        6        0        0
 7087 aerc                               	       1        7        6        0        0
 7088 aeskulap                           	       1       22       21        0        0
 7089 aespipe                            	       1        9        8        0        0
 7090 afflib-tools                       	       1       26       25        0        0
 7091 agedu                              	       1        8        7        0        0
 7092 aggregate                          	       1       10        9        0        0
 7093 akonadi-backend-mysql              	       1      498       27        0      470
 7094 akonadi-backend-sqlite             	       1       27        4        0       22
 7095 alacarte                           	       1       88       79        8        0
 7096 aldo                               	       1       16       15        0        0
 7097 alex4                              	       1        7        6        0        0
 7098 alfaview                           	       1        1        0        0        0
 7099 alsa-scarlett-gui                  	       1        3        2        0        0
 7100 alsa-tools-gui                     	       1       96       94        1        0
 7101 amanda-server                      	       1        4        3        0        0
 7102 amarok-engine-xine-trinity         	       1        6        5        0        0
 7103 amarok-trinity                     	       1        6        5        0        0
 7104 amb-plugins                        	       1       19       17        1        0
 7105 amideco                            	       1        5        4        0        0
 7106 amiga-fdisk-cross                  	       1        5        4        0        0
 7107 amqp-tools                         	       1        2        1        0        0
 7108 amule                              	       1       75       73        1        0
 7109 an                                 	       1       19       18        0        0
 7110 ancient                            	       1       17       16        0        0
 7111 android-tools-adb                  	       1       34        1        0       32
 7112 angband                            	       1       16       14        1        0
 7113 angelfish                          	       1        3        2        0        0
 7114 ansible-core                       	       1       47       42        4        0
 7115 antennavis                         	       1        9        8        0        0
 7116 anthy-common                       	       1       71        6        0       64
 7117 antlr3                             	       1       41       38        2        0
 7118 ap-utils                           	       1        4        3        0        0
 7119 apache-netbeans                    	       1       15       13        1        0
 7120 apachetop                          	       1       12       11        0        0
 7121 apmd                               	       1        8        7        0        0
 7122 apparmor-utils                     	       1       93       90        2        0
 7123 appstream-index                    	       1        2        0        0        1
 7124 apt-cacher                         	       1        8        7        0        0
 7125 apt-dater                          	       1        6        4        1        0
 7126 apt-offline                        	       1        8        7        0        0
 7127 apt-venv                           	       1        8        7        0        0
 7128 aptitude-robot                     	       1        2        1        0        0
 7129 apulse                             	       1      123      119        3        0
 7130 ara                                	       1        3        2        0        0
 7131 archivemount                       	       1       16       15        0        0
 7132 archmage                           	       1       12       11        0        0
 7133 ardentryst                         	       1        5        4        0        0
 7134 ardour-lv2-plugins                 	       1       65       63        1        0
 7135 ark-trinity                        	       1       32       31        0        0
 7136 armagetronad                       	       1       15       14        0        0
 7137 arptables                          	       1       12       10        1        0
 7138 array-info                         	       1        4        3        0        0
 7139 asc                                	       1        8        7        0        0
 7140 ascii2binary                       	       1        9        8        0        0
 7141 asciidoctor                        	       1       65       64        0        0
 7142 asciinema                          	       1       16       14        1        0
 7143 asclock                            	       1       13       12        0        0
 7144 aspell-eo                          	       1       11        9        1        0
 7145 aspell-pl                          	       1       75       70        4        0
 7146 aspell-pt-br                       	       1       62       57        4        0
 7147 aspell-pt-pt                       	       1        7        6        0        0
 7148 aspell-ru                          	       1      171      148       22        0
 7149 asterisk-dahdi                     	       1        2        1        0        0
 7150 asterisk-opus                      	       1        3        2        0        0
 7151 asterisk-vpb                       	       1        1        0        0        0
 7152 asunder                            	       1       75       73        1        0
 7153 asused                             	       1        3        2        0        0
 7154 asymptote                          	       1      106      102        3        0
 7155 atftpd                             	       1       21       20        0        0
 7156 atlc                               	       1        3        2        0        0
 7157 atom                               	       1       13       12        0        0
 7158 atomix                             	       1       22       21        0        0
 7159 aumix                              	       1       42       41        0        0
 7160 aumix-common                       	       1       50       49        0        0
 7161 authbind                           	       1       14       13        0        0
 7162 auto-apt                           	       1        5        4        0        0
 7163 autodep8                           	       1       28       27        0        0
 7164 autofirma                          	       1       25       24        0        0
 7165 autolog                            	       1        1        0        0        0
 7166 autopkgtest                        	       1       29       27        1        0
 7167 autopsy                            	       1       15       14        0        0
 7168 autotalent                         	       1       13       11        1        0
 7169 ava                                	       1        1        0        0        0
 7170 avahi-autoipd-dbgsym               	       1        3        2        0        0
 7171 avahi-daemon-dbgsym                	       1        3        2        0        0
 7172 avahi-discover                     	       1       32       31        0        0
 7173 avahi-dnsconfd-dbgsym              	       1        3        2        0        0
 7174 avahi-ui-utils                     	       1       13       12        0        0
 7175 avahi-utils-dbgsym                 	       1        3        2        0        0
 7176 avarice                            	       1        9        8        0        0
 7177 awardeco                           	       1        5        4        0        0
 7178 ayatana-indicator-common           	       1       41       37        3        0
 7179 azure-cli                          	       1       12       10        1        0
 7180 backupninja                        	       1        8        7        0        0
 7181 bareos-bconsole                    	       1        5        4        0        0
 7182 bareos-common                      	       1        9        8        0        0
 7183 bareos-filedaemon                  	       1        9        8        0        0
 7184 bareos-filedaemon-python-plugins-common	       1        2        1        0        0
 7185 bareos-filedaemon-python3-plugin   	       1        2        1        0        0
 7186 bareos-traymonitor                 	       1        3        2        0        0
 7187 barman-cli                         	       1        4        3        0        0
 7188 basez                              	       1       16       15        0        0
 7189 bash-builtins                      	       1       11       10        0        0
 7190 bash-static                        	       1       10        9        0        0
 7191 bashburn                           	       1        5        4        0        0
 7192 basic256                           	       1       35       31        3        0
 7193 basilisk2                          	       1        6        5        0        0
 7194 bcache-tools                       	       1        8        7        0        0
 7195 bchunk                             	       1       36       35        0        0
 7196 bcompare                           	       1        6        5        0        0
 7197 bcron                              	       1        1        0        0        0
 7198 bcrypt                             	       1        6        5        0        0
 7199 beamium                            	       1        2        1        0        0
 7200 bfbtester                          	       1       18       17        0        0
 7201 bgscripts                          	       1       15       12        2        0
 7202 bin86                              	       1       26       25        0        0
 7203 binfmtc                            	       1        4        3        0        0
 7204 bing                               	       1       12       11        0        0
 7205 biniax2                            	       1       15       14        0        0
 7206 binstats                           	       1        6        5        0        0
 7207 binutils-arm-linux-gnueabihf       	       1       39       38        0        0
 7208 binutils-gold                      	       1       34       11       22        0
 7209 binutils-gold-x86-64-linux-gnu     	       1       34       11       22        0
 7210 binutils-riscv64-linux-gnu         	       1       11       10        0        0
 7211 bitlbee                            	       1        9        8        0        0
 7212 bitlbee-libpurple                  	       1        3        2        0        0
 7213 blackbox                           	       1       15       14        0        0
 7214 blastem                            	       1        9        8        0        0
 7215 blender-data                       	       1      180      176        3        0
 7216 blepvco                            	       1        8        6        1        0
 7217 bless                              	       1       20       17        2        0
 7218 blktool                            	       1       19       16        2        0
 7219 blktrace                           	       1        8        7        0        0
 7220 blobandconquer                     	       1        3        2        0        0
 7221 blobandconquer-data                	       1        4        3        0        0
 7222 blobby                             	       1        8        5        2        0
 7223 bloboats                           	       1        5        4        0        0
 7224 blockattack                        	       1       18       17        0        0
 7225 blockfinder                        	       1        1        0        0        0
 7226 blocks-of-the-undead               	       1       10        9        0        0
 7227 bluefish                           	       1       62       59        2        0
 7228 bluez-tools                        	       1      180      178        1        0
 7229 bmap-tools                         	       1       12       11        0        0
 7230 bmon                               	       1       90       89        0        0
 7231 boinctui                           	       1        7        6        0        0
 7232 bonnie++                           	       1       30       28        1        0
 7233 bookworm                           	       1       20       17        2        0
 7234 borgbackup                         	       1       68       67        0        0
 7235 boswars                            	       1       12       11        0        0
 7236 braa                               	       1       28       27        0        0
 7237 brasero                            	       1      356      329       26        0
 7238 brave-browser-beta                 	       1        6        5        0        0
 7239 brightd                            	       1        5        4        0        0
 7240 broadcom-sta-dkms                  	       1       30       27        2        0
 7241 bruteforce-salted-openssl          	       1       26       25        0        0
 7242 bruteforce-wallet                  	       1       22       21        0        0
 7243 brutespray                         	       1       23       22        0        0
 7244 bs2b-ladspa                        	       1        6        4        1        0
 7245 bsdiff                             	       1       18       17        0        0
 7246 bsfilter                           	       1       23       22        0        0
 7247 bsh                                	       1       34       33        0        0
 7248 btanks                             	       1       10        9        0        0
 7249 btscanner                          	       1       51       50        0        0
 7250 bucklespring                       	       1        7        6        0        0
 7251 budgie-core                        	       1        7        6        0        0
 7252 budgie-desktop-view                	       1        8        7        0        0
 7253 buku                               	       1       11       10        0        0
 7254 bully                              	       1       23       22        0        0
 7255 burgerspace                        	       1       10        9        0        0
 7256 burp                               	       1        3        2        0        0
 7257 bustle                             	       1        7        6        0        0
 7258 bustle-pcap                        	       1        7        6        0        0
 7259 buthead                            	       1        3        2        0        0
 7260 bzflag-server                      	       1       13       12        0        0
 7261 cairo-dock-alsamixer-plug-in       	       1        7        1        0        5
 7262 cairo-dock-animated-icons-plug-in  	       1        6        1        0        4
 7263 cairo-dock-cairo-penguin-plug-in   	       1        5        1        0        3
 7264 cairo-dock-clipper-plug-in         	       1        7        1        0        5
 7265 cairo-dock-clock-plug-in           	       1        6        1        0        4
 7266 cairo-dock-core                    	       1       10        9        0        0
 7267 cairo-dock-dbus-plug-in            	       1        5        4        0        0
 7268 cairo-dock-desklet-rendering-plug-in	       1        5        1        0        3
 7269 cairo-dock-dialog-rendering-plug-in	       1        5        1        0        3
 7270 cairo-dock-dnd2share-plug-in       	       1        5        1        0        3
 7271 cairo-dock-drop-indicator-plug-in  	       1        5        1        0        3
 7272 cairo-dock-dustbin-plug-in         	       1        5        1        0        3
 7273 cairo-dock-folders-plug-in         	       1        5        1        0        3
 7274 cairo-dock-gmenu-plug-in           	       1        5        1        0        3
 7275 cairo-dock-gnome-integration-plug-in	       1        4        1        0        2
 7276 cairo-dock-icon-effect-plug-in     	       1        5        1        0        3
 7277 cairo-dock-illusion-plug-in        	       1        5        1        0        3
 7278 cairo-dock-impulse-plug-in         	       1        4        1        0        2
 7279 cairo-dock-keyboard-indicator-plug-in	       1        5        1        0        3
 7280 cairo-dock-logout-plug-in          	       1        5        1        0        3
 7281 cairo-dock-mail-plug-in            	       1        5        1        0        3
 7282 cairo-dock-messaging-menu-plug-in  	       1        5        1        0        3
 7283 cairo-dock-motion-blur-plug-in     	       1        5        1        0        3
 7284 cairo-dock-musicplayer-plug-in     	       1        5        1        0        3
 7285 cairo-dock-netspeed-plug-in        	       1        5        1        0        3
 7286 cairo-dock-plug-in-data            	       1       19        1        0       17
 7287 cairo-dock-powermanager-plug-in    	       1        6        1        0        4
 7288 cairo-dock-quick-browser-plug-in   	       1        5        1        0        3
 7289 cairo-dock-recent-events-plug-in   	       1        5        1        0        3
 7290 cairo-dock-remote-control-plug-in  	       1        5        1        0        3
 7291 cairo-dock-rendering-plug-in       	       1        5        1        0        3
 7292 cairo-dock-rssreader-plug-in       	       1        5        1        0        3
 7293 cairo-dock-shortcuts-plug-in       	       1        5        1        0        3
 7294 cairo-dock-showdesktop-plug-in     	       1        5        1        0        3
 7295 cairo-dock-showmouse-plug-in       	       1        5        1        0        3
 7296 cairo-dock-slider-plug-in          	       1        5        1        0        3
 7297 cairo-dock-stack-plug-in           	       1        5        1        0        3
 7298 cairo-dock-switcher-plug-in        	       1        5        1        0        3
 7299 cairo-dock-system-monitor-plug-in  	       1        5        1        0        3
 7300 cairo-dock-systray-plug-in         	       1        5        1        0        3
 7301 cairo-dock-terminal-plug-in        	       1        5        1        0        3
 7302 cairo-dock-tomboy-plug-in          	       1        5        1        0        3
 7303 cairo-dock-toons-plug-in           	       1        5        1        0        3
 7304 cairo-dock-weather-plug-in         	       1        6        1        0        4
 7305 cairo-dock-wifi-plug-in            	       1        5        1        0        3
 7306 cairo-dock-xgamma-plug-in          	       1        5        1        0        3
 7307 caja-dropbox                       	       1        8        7        0        0
 7308 caja-rename                        	       1       59       48        5        5
 7309 calamaris                          	       1        3        2        0        0
 7310 calf-ladspa                        	       1       13       11        0        1
 7311 camorama                           	       1       10        9        0        0
 7312 can-utils                          	       1       12       11        0        0
 7313 canmatrix-utils                    	       1        3        2        0        0
 7314 capstone-tool                      	       1       24       23        0        0
 7315 carla-git                          	       1        2        1        0        0
 7316 cataclysm-dda-curses               	       1        9        8        0        0
 7317 cataclysm-dda-data                 	       1       16        0        0       15
 7318 caveexpress                        	       1        6        5        0        0
 7319 cbm                                	       1       13       12        0        0
 7320 cbonsai                            	       1        7        6        0        0
 7321 ccal                               	       1       17       16        0        0
 7322 cclive                             	       1       12       11        0        0
 7323 ccls                               	       1        6        5        0        0
 7324 cdck                               	       1       14       13        0        0
 7325 cdde                               	       1        2        1        0        0
 7326 cdebootstrap-static                	       1        2        1        0        0
 7327 cdtool                             	       1       28       26        1        0
 7328 celestia-qt6                       	       1        1        0        0        0
 7329 celestia-tools                     	       1        1        0        0        0
 7330 celluloid                          	       1       27       25        1        0
 7331 certmonger                         	       1       19       17        1        0
 7332 cewl                               	       1       25       24        0        0
 7333 cgdb                               	       1       14       13        0        0
 7334 cgi-mapserver                      	       1        5        4        0        0
 7335 cgmanager                          	       1       10        9        0        0
 7336 cgpt                               	       1       14       13        0        0
 7337 changeme                           	       1        7        6        0        0
 7338 chaosreader                        	       1       26       25        0        0
 7339 chase                              	       1        7        6        0        0
 7340 check                              	       1       30       29        0        0
 7341 check-dfsg-status                  	       1       49       47        1        0
 7342 check-mk-agent                     	       1        7        6        0        0
 7343 cherokee                           	       1        2        1        0        0
 7344 chessx                             	       1       10        9        0        0
 7345 chiark-scripts                     	       1        3        2        0        0
 7346 chiark-utils-bin                   	       1        5        4        0        0
 7347 chkboot                            	       1        8        7        0        0
 7348 chkconfig                          	       1       17       16        0        0
 7349 chktex                             	       1      109      104        4        0
 7350 chocolate-doom                     	       1       19       18        0        0
 7351 chrome-gnome-shell                 	       1      159       32        0      126
 7352 chrome-remote-desktop              	       1        9        7        1        0
 7353 chromium-browser                   	       1        2        1        0        0
 7354 chromium-bsu                       	       1       19       15        3        0
 7355 cin                                	       1        4        3        0        0
 7356 cinnamon-common                    	       1      270      244       25        0
 7357 cinnamon-control-center            	       1      275      248       26        0
 7358 cisco7crack                        	       1       18       17        0        0
 7359 cjs                                	       1      271      245       25        0
 7360 ckermit                            	       1       24       23        0        0
 7361 clamassassin                       	       1        9        8        0        0
 7362 clamfs                             	       1        6        5        0        0
 7363 clang-11                           	       1      104      103        0        0
 7364 clang-19                           	       1       35       32        2        0
 7365 clang-9                            	       1       34       33        0        0
 7366 claws-mail-address-keeper          	       1       49        6        0       42
 7367 claws-mail-bogofilter              	       1       49        8        0       40
 7368 claws-mail-litehtml-viewer         	       1       35        4        0       30
 7369 claws-mail-multi-notifier          	       1       42        7        0       34
 7370 claws-mail-pdf-viewer              	       1       49        9        0       39
 7371 claws-mail-pgpmime                 	       1       54        8        0       45
 7372 claws-mail-smime-plugin            	       1       41        5        0       35
 7373 claws-mail-tnef-parser             	       1       36        2        0       33
 7374 claws-mail-vcalendar-plugin        	       1       45        6        0       38
 7375 clevis-udisks2                     	       1        2        1        0        0
 7376 clinfo                             	       1       83       79        3        0
 7377 clojure1.6                         	       1        1        0        0        0
 7378 closure-compiler                   	       1        3        2        0        0
 7379 cloud-image-utils                  	       1       62       60        1        0
 7380 cloudflare-ddns                    	       1        1        0        0        0
 7381 cmark                              	       1       14       13        0        0
 7382 cmdtest                            	       1       19       17        1        0
 7383 cmt                                	       1       35       32        2        0
 7384 cnrdrvcups-ufr2-uk                 	       1        6        5        0        0
 7385 codeblocks                         	       1       65       62        2        0
 7386 codesearch                         	       1        2        1        0        0
 7387 colobot                            	       1       17       16        0        0
 7388 colord-kde                         	       1        5        4        0        0
 7389 colormake                          	       1       10        9        0        0
 7390 comgr                              	       1       17       13        2        1
 7391 comparepdf                         	       1       11       10        0        0
 7392 compizconfig-settings-manager      	       1       46       42        3        0
 7393 composer                           	       1       44       43        0        0
 7394 compositekey                       	       1        1        0        0        0
 7395 compositekey-bin                   	       1        1        0        0        0
 7396 comprez                            	       1       19       18        0        0
 7397 console-cyrillic                   	       1       35       32        2        0
 7398 context                            	       1       92       89        2        0
 7399 corectrl                           	       1        8        7        0        0
 7400 courier-authlib-postgresql         	       1        2        1        0        0
 7401 courier-imap-ssl                   	       1        3        0        0        2
 7402 courier-ssl                        	       1        2        0        0        1
 7403 cowpatty                           	       1       25       24        0        0
 7404 cpanminus                          	       1       38       37        0        0
 7405 cpmtools                           	       1        6        5        0        0
 7406 cpp-11                             	       1       92       91        0        0
 7407 cpupower-gui                       	       1       15       13        1        0
 7408 cpustat                            	       1        8        7        0        0
 7409 cputool                            	       1       14       13        0        0
 7410 crack                              	       1       24       23        0        0
 7411 cramfsswap                         	       1       46       44        1        0
 7412 crash                              	       1        9        8        0        0
 7413 creddump7                          	       1       18       17        0        0
 7414 crimson                            	       1        5        4        0        0
 7415 cron-daemon-common                 	       1     3042      263       27     2751
 7416 cronutils                          	       1       15       14        0        0
 7417 crossfire-client                   	       1        6        5        0        0
 7418 crowdsec                           	       1        4        3        0        0
 7419 crrcsim                            	       1        9        8        0        0
 7420 cruft-common                       	       1        6        5        0        0
 7421 cruft-ng                           	       1       22       21        0        0
 7422 crunch                             	       1       20       19        0        0
 7423 cryptmount                         	       1       39       38        0        0
 7424 cryptsetup-modified-functions      	       1        3        2        0        0
 7425 csladspa                           	       1        9        7        1        0
 7426 csound-utils                       	       1       31       27        3        0
 7427 cssmin                             	       1        4        3        0        0
 7428 csvtool                            	       1       19       18        0        0
 7429 cube2-data                         	       1        5        4        0        0
 7430 cuda-cudart-dev-10-0               	       1        1        0        0        0
 7431 cuda-cudart-dev-12-4               	       1        2        1        0        0
 7432 culmus                             	       1       11        3        0        7
 7433 culmus-fancy                       	       1       15        1        0       13
 7434 cup                                	       1       13       12        0        0
 7435 cupp                               	       1       17       16        0        0
 7436 cups-backend-bjnp                  	       1       24       23        0        0
 7437 cups-tea4cups                      	       1        9        8        0        0
 7438 cutter                             	       1        1        0        0        0
 7439 cvsd                               	       1        2        1        0        0
 7440 cw                                 	       1       13       12        0        0
 7441 cwcp                               	       1       14       13        0        0
 7442 cwm                                	       1       25       23        1        0
 7443 cyrus-admin                        	       1        2        1        0        0
 7444 cyrus-imapd                        	       1        3        2        0        0
 7445 cyrus-pop3d                        	       1        3        2        0        0
 7446 cython3                            	       1       61       60        0        0
 7447 daa2iso                            	       1        8        7        0        0
 7448 dact                               	       1       21       20        0        0
 7449 daemonize                          	       1       24       23        0        0
 7450 dahdi                              	       1        3        2        0        0
 7451 dahdi-dkms                         	       1        3        2        0        0
 7452 dahdi-linux                        	       1        3        2        0        0
 7453 dante-client                       	       1        6        5        0        0
 7454 dante-server                       	       1        4        3        0        0
 7455 dar                                	       1       20       19        0        0
 7456 darkplaces                         	       1       23       22        0        0
 7457 darkstat                           	       1       10        9        0        0
 7458 dateutils                          	       1       19       18        0        0
 7459 davfs2                             	       1       57       56        0        0
 7460 davinci-resolve                    	       1        1        0        0        0
 7461 dbeaver-ce                         	       1       41       37        1        2
 7462 dbview                             	       1       32       29        2        0
 7463 dclock                             	       1       24       23        0        0
 7464 dcmtk                              	       1       27       26        0        0
 7465 dcpj4120dwlpr                      	       1        1        0        0        0
 7466 dcraw                              	       1       99       97        1        0
 7467 ddate                              	       1       14       13        0        0
 7468 ddccontrol                         	       1       18       17        0        0
 7469 ddcutil                            	       1       13       12        0        0
 7470 dde-qt5integration                 	       1       20        9        0       10
 7471 ddir                               	       1        4        3        0        0
 7472 ddpt                               	       1        3        2        0        0
 7473 ddrutility                         	       1       37       36        0        0
 7474 de4dot                             	       1       21       20        0        0
 7475 deal                               	       1        9        8        0        0
 7476 dealer                             	       1        9        8        0        0
 7477 debarchiver                        	       1        6        5        0        0
 7478 debaux                             	       1        3        2        0        0
 7479 debdelta                           	       1       10        9        0        0
 7480 debfoster                          	       1       55       53        1        0
 7481 debian-reference-common            	       1      397      375       21        0
 7482 debmirror                          	       1       13       12        0        0
 7483 debram                             	       1        4        1        1        1
 7484 debsig-verify                      	       1       14       13        0        0
 7485 deluge                             	       1      202      190       11        0
 7486 deluge-web                         	       1        6        5        0        0
 7487 deluged                            	       1       14       12        1        0
 7488 desktop-profiles                   	       1        4        3        0        0
 7489 desmume                            	       1       11       10        0        0
 7490 dev-kinsta                         	       1        1        0        0        0
 7491 device-tree-compiler               	       1       97       95        1        0
 7492 devilspie                          	       1        7        6        0        0
 7493 devscripts                         	       1      265      260        4        0
 7494 dh-apparmor                        	       1       23       22        0        0
 7495 dh-exec                            	       1       69       68        0        0
 7496 dhcpdump                           	       1       34       33        0        0
 7497 di                                 	       1       11       10        0        0
 7498 dia                                	       1      172      164        7        0
 7499 dialect                            	       1        7        5        1        0
 7500 dictconv                           	       1       18       17        0        0
 7501 dictzip                            	       1       56       53        2        0
 7502 didiwiki                           	       1        2        1        0        0
 7503 diffoscope-minimal                 	       1       27       26        0        0
 7504 diffpdf                            	       1       30       29        0        0
 7505 digikam                            	       1       68       66        1        0
 7506 digikam-private-libs               	       1       68       66        1        0
 7507 digitemp                           	       1        7        6        0        0
 7508 dillo                              	       1      136      134        1        0
 7509 dino-im                            	       1       28       27        0        0
 7510 dino-im-common                     	       1       28        3        0       24
 7511 dirb                               	       1       26       25        0        0
 7512 dirdiff                            	       1       18       16        1        0
 7513 directvnc                          	       1        8        7        0        0
 7514 discus                             	       1        7        6        0        0
 7515 diskscan                           	       1       14       12        1        0
 7516 distro-info                        	       1      136      128        7        0
 7517 ditaa                              	       1       17       15        1        0
 7518 djbdns-utils                       	       1        4        3        0        0
 7519 dleyna-server                      	       1       99       94        4        0
 7520 dlm-controld                       	       1        1        0        0        0
 7521 dmagnetic                          	       1        4        3        0        0
 7522 dmg2img                            	       1       39       38        0        0
 7523 dmitry                             	       1       17       16        0        0
 7524 dmrconfig                          	       1        5        4        0        0
 7525 dnsdist                            	       1        2        1        0        0
 7526 dnsmasq                            	       1      150      147        2        0
 7527 dnsmasq-base-lua                   	       1        3        2        0        0
 7528 dnsrecon                           	       1       30       29        0        0
 7529 dnstop                             	       1       15       14        0        0
 7530 dnstracer                          	       1       19       17        1        0
 7531 dochelp                            	       1       12       11        0        0
 7532 docker-compose                     	       1      112      108        3        0
 7533 dokuwiki                           	       1        5        4        0        0
 7534 dolphin-emu                        	       1       14       13        0        0
 7535 dolphin-nextcloud                  	       1       19       11        0        7
 7536 dolphin-owncloud                   	       1        5        2        0        2
 7537 dolphin-plugins                    	       1       76        3        0       72
 7538 donkey                             	       1        2        1        0        0
 7539 doona                              	       1       23       22        0        0
 7540 doscan                             	       1        6        5        0        0
 7541 doschk                             	       1        5        4        0        0
 7542 dotnet-host                        	       1       34       29        4        0
 7543 doublecmd-common                   	       1       43       40        2        0
 7544 doublecmd-plugins                  	       1       43       40        2        0
 7545 doublecmd-qt                       	       1       11       10        0        0
 7546 dovecot-ldap                       	       1       10        8        1        0
 7547 dovecot-lucene                     	       1        7        6        0        0
 7548 doxyqml                            	       1        6        5        0        0
 7549 dpkg-cross                         	       1       26       24        1        0
 7550 dpkg-repack                        	       1       45       44        0        0
 7551 dpkg-sig                           	       1       11       10        0        0
 7552 dput                               	       1      209      206        2        0
 7553 drascula                           	       1       18       17        0        0
 7554 drawing                            	       1       17       16        0        0
 7555 drkonqi                            	       1      552      398       48      105
 7556 dsda-doom                          	       1       23       22        0        0
 7557 duff                               	       1       16       15        0        0
 7558 duperemove                         	       1       28       26        1        0
 7559 dupload                            	       1        7        6        0        0
 7560 dvdauthor                          	       1      508      477       30        0
 7561 dvdisaster                         	       1       28       27        0        0
 7562 dvgrab                             	       1      140      137        2        0
 7563 dwarfdump                          	       1       10        9        0        0
 7564 e-uae                              	       1        4        3        0        0
 7565 e00compr                           	       1       12       11        0        0
 7566 e16-data                           	       1        2        0        0        1
 7567 e2fsck-static                      	       1       29       28        0        0
 7568 e2tools                            	       1        9        7        1        0
 7569 eancheck                           	       1        4        3        0        0
 7570 easystroke                         	       1        1        0        0        0
 7571 eatmydata                          	       1      104      101        2        0
 7572 eboard                             	       1       17       16        0        0
 7573 ebook2cw                           	       1       10        9        0        0
 7574 ebook2cwgui                        	       1        6        5        0        0
 7575 ed2k-hash                          	       1       26       25        0        0
 7576 edid-decode                        	       1       52       51        0        0
 7577 editorconfig                       	       1       17       16        0        0
 7578 eep24c                             	       1        2        1        0        0
 7579 efitools                           	       1       33       32        0        0
 7580 efivar                             	       1       28       27        0        0
 7581 eiciel                             	       1        5        4        0        0
 7582 electrum                           	       1       16       15        0        0
 7583 elisa                              	       1       63       59        3        0
 7584 elog                               	       1        3        2        0        0
 7585 elpa-agda2-mode                    	       1        6        5        0        0
 7586 elpa-async                         	       1       42       41        0        0
 7587 elpa-ats2-mode                     	       1        2        1        0        0
 7588 elpa-bar-cursor                    	       1       36       35        0        0
 7589 elpa-bazel-mode                    	       1        2        1        0        0
 7590 elpa-bison-mode                    	       1        2        1        0        0
 7591 elpa-bm                            	       1       34       33        0        0
 7592 elpa-boxquote                      	       1       34       33        0        0
 7593 elpa-bpftrace-mode                 	       1        2        1        0        0
 7594 elpa-browse-kill-ring              	       1       36       35        0        0
 7595 elpa-caml                          	       1        7        6        0        0
 7596 elpa-clojure-mode                  	       1        2        1        0        0
 7597 elpa-cmake-mode                    	       1        4        2        1        0
 7598 elpa-color-theme-modern            	       1       31       30        0        0
 7599 elpa-compat                        	       1        5        3        1        0
 7600 elpa-dash                          	       1       40       38        1        0
 7601 elpa-debian-el                     	       1       12       11        0        0
 7602 elpa-diminish                      	       1       38       37        0        0
 7603 elpa-dockerfile-mode               	       1        5        4        0        0
 7604 elpa-dpkg-dev-el                   	       1        5        4        0        0
 7605 elpa-elm-mode                      	       1        2        1        0        0
 7606 elpa-emacsql                       	       1        3        2        0        0
 7607 elpa-emacsql-sqlite                	       1        2        1        0        0
 7608 elpa-epl                           	       1        9        8        0        0
 7609 elpa-eproject                      	       1       34       33        0        0
 7610 elpa-f                             	       1        9        8        0        0
 7611 elpa-folding                       	       1       36       35        0        0
 7612 elpa-fountain-mode                 	       1        2        1        0        0
 7613 elpa-git-modes                     	       1        2        1        0        0
 7614 elpa-gitattributes-mode            	       1        2        1        0        0
 7615 elpa-gitconfig-mode                	       1        2        1        0        0
 7616 elpa-gitignore-mode                	       1        2        1        0        0
 7617 elpa-gitlab-ci-mode                	       1        2        1        0        0
 7618 elpa-gnuplot-mode                  	       1        5        4        0        0
 7619 elpa-go-mode                       	       1        7        6        0        0
 7620 elpa-haskell-mode                  	       1       15       12        2        0
 7621 elpa-helm                          	       1       37       36        0        0
 7622 elpa-helm-core                     	       1       37       36        0        0
 7623 elpa-imenu-list                    	       1        5        4        0        0
 7624 elpa-inform-mode                   	       1        4        3        0        0
 7625 elpa-initsplit                     	       1       34       33        0        0
 7626 elpa-jinja2-mode                   	       1        3        2        0        0
 7627 elpa-js2-mode                      	       1        5        3        1        0
 7628 elpa-kivy-mode                     	       1        2        1        0        0
 7629 elpa-kotlin-mode                   	       1        2        1        0        0
 7630 elpa-let-alist                     	       1       17       16        0        0
 7631 elpa-lua-mode                      	       1        9        8        0        0
 7632 elpa-matlab-mode                   	       1        2        1        0        0
 7633 elpa-meson-mode                    	       1        6        5        0        0
 7634 elpa-mutt-alias                    	       1       34       33        0        0
 7635 elpa-nginx-mode                    	       1        2        1        0        0
 7636 elpa-notmuch                       	       1       26       25        0        0
 7637 elpa-olivetti                      	       1        2        1        0        0
 7638 elpa-org                           	       1       20        5        0       14
 7639 elpa-org-drill                     	       1        2        1        0        0
 7640 elpa-paredit                       	       1        5        4        0        0
 7641 elpa-persist                       	       1        3        2        0        0
 7642 elpa-php-mode                      	       1        6        5        0        0
 7643 elpa-pip-requirements              	       1        2        1        0        0
 7644 elpa-pkg-info                      	       1        9        8        0        0
 7645 elpa-pod-mode                      	       1       34       33        0        0
 7646 elpa-popup                         	       1       39       38        0        0
 7647 elpa-pos-tip                       	       1        2        1        0        0
 7648 elpa-protobuf-mode                 	       1        2        1        0        0
 7649 elpa-puppet-mode                   	       1        2        1        0        0
 7650 elpa-qml-mode                      	       1        2        1        0        0
 7651 elpa-racket-mode                   	       1        2        1        0        0
 7652 elpa-rust-mode                     	       1       13       11        1        0
 7653 elpa-s                             	       1       17       15        1        0
 7654 elpa-scala-mode                    	       1        3        2        0        0
 7655 elpa-seq                           	       1       12       10        1        0
 7656 elpa-session                       	       1       34       33        0        0
 7657 elpa-sml-mode                      	       1        3        1        1        0
 7658 elpa-systemd                       	       1        2        1        0        0
 7659 elpa-tabbar                        	       1       35       34        0        0
 7660 elpa-vala-mode                     	       1        2        1        0        0
 7661 elpa-web-mode                      	       1        3        2        0        0
 7662 elpa-yaml-mode                     	       1       13       12        0        0
 7663 emacs-pgtk                         	       1        2        1        0        0
 7664 emerald                            	       1       12       10        1        0
 7665 enblend                            	       1       50       48        1        0
 7666 endless-sky                        	       1       18       17        0        0
 7667 endlessh                           	       1        1        0        0        0
 7668 enemylines3                        	       1        3        2        0        0
 7669 enemylines7                        	       1        3        2        0        0
 7670 enfuse                             	       1       49       47        1        0
 7671 enjarify                           	       1       20       19        0        0
 7672 envstore                           	       1        1        0        0        0
 7673 epiphany                           	       1       20       19        0        0
 7674 epiphany-browser-data              	       1       89        1        0       87
 7675 epplets                            	       1        2        1        0        0
 7676 epson-port-communication-service   	       1        1        0        0        0
 7677 epstool                            	       1       73       71        1        0
 7678 erlang-eldap                       	       1       25       24        0        0
 7679 erlang-os-mon                      	       1       27       26        0        0
 7680 erlang-parsetools                  	       1       29       28        0        0
 7681 erlang-snmp                        	       1       28       27        0        0
 7682 erofs-utils                        	       1       16       15        0        0
 7683 esbuild                            	       1        2        1        0        0
 7684 escputil                           	       1       20       19        0        0
 7685 esekeyd                            	       1        1        0        0        0
 7686 esptool                            	       1       11       10        0        0
 7687 ettercap-common                    	       1       11       10        0        0
 7688 ettercap-text-only                 	       1        3        2        0        0
 7689 eventstat                          	       1        1        0        0        0
 7690 evince-common                      	       1      994        8        0      985
 7691 evince-gtk                         	       1       30        8        1       20
 7692 ewf-tools                          	       1       23       22        0        0
 7693 exempi                             	       1        2        1        0        0
 7694 expat                              	       1       26       25        0        0
 7695 extrace                            	       1        6        5        0        0
 7696 extract                            	       1       16       15        0        0
 7697 eyed3                              	       1       43       42        0        0
 7698 eyesapplet-trinity                 	       1       23       22        0        0
 7699 ezstream                           	       1        3        2        0        0
 7700 facetimehd-firmware                	       1        1        0        0        0
 7701 facter                             	       1       34       33        0        0
 7702 fairymax                           	       1       57       56        0        0
 7703 fake                               	       1        4        3        0        0
 7704 fake-hwclock                       	       1       13       12        0        0
 7705 fakechroot                         	       1       72       70        1        0
 7706 falcosecurity-scap-dkms            	       1        1        0        0        0
 7707 falselogin                         	       1        5        4        0        0
 7708 fastjar                            	       1       98       96        1        0
 7709 fatattr                            	       1        9        8        0        0
 7710 fatresize                          	       1       26       24        1        0
 7711 fatsort                            	       1       18       17        0        0
 7712 fbcat                              	       1        9        8        0        0
 7713 fbreader                           	       1       98       95        2        0
 7714 fbset                              	       1       42       41        0        0
 7715 fcitx-frontend-gtk2                	       1       23        0        0       22
 7716 fcitx-frontend-gtk3                	       1       23       10        0       12
 7717 fcitx-frontend-qt5                 	       1       24        4        0       19
 7718 fcitx-frontend-qt6                 	       1       12        0        0       11
 7719 fcitx-googlepinyin                 	       1        6        0        0        5
 7720 fcitx-imlist                       	       1        2        1        0        0
 7721 fcitx5                             	       1       21       20        0        0
 7722 fcitx5-frontend-gtk3               	       1       24       12        0       11
 7723 fcitx5-frontend-qt5                	       1       23        8        0       14
 7724 fcitx5-modules                     	       1       22       14        0        7
 7725 fcitx5-mozc                        	       1       10        6        0        3
 7726 fcode-utils                        	       1        8        7        0        0
 7727 fdutils                            	       1       37       36        0        0
 7728 fence-agents                       	       1       10        9        0        0
 7729 fgetty                             	       1       26       25        0        0
 7730 fil-plugins                        	       1       26       23        2        0
 7731 finch                              	       1       10        9        0        0
 7732 firebird2.5-server-common          	       1       17       15        1        0
 7733 firebird3.0-server-core            	       1      716        0        0      715
 7734 firefox-beta                       	       1        2        1        0        0
 7735 firefox-esr-l10n-cs                	       1       28       26        1        0
 7736 firefox-esr-l10n-fi                	       1        7        5        1        0
 7737 firefox-esr-l10n-hu                	       1       15       14        0        0
 7738 firefox-esr-l10n-ja                	       1       31       29        1        0
 7739 firefox-esr-l10n-ko                	       1        7        6        0        0
 7740 firefox-esr-l10n-nb-no             	       1       38       35        2        0
 7741 firefox-esr-l10n-pt-br             	       1       40       38        1        0
 7742 firefox-l10n-en-gb                 	       1       10        8        1        0
 7743 firejail                           	       1       72       70        1        0
 7744 fireqos                            	       1       13       12        0        0
 7745 firmware-ath9k-htc                 	       1      264      251       12        0
 7746 firmware-bnx2x                     	       1      166      164        1        0
 7747 firmware-ipw2x00                   	       1       44       42        1        0
 7748 firmware-nvidia-graphics           	       1       91       86        4        0
 7749 five-or-more                       	       1      368      331       36        0
 7750 fizmo-sdl2                         	       1        5        4        0        0
 7751 flake8                             	       1       22       21        0        0
 7752 flashbench                         	       1        8        7        0        0
 7753 fldiff                             	       1       14       13        0        0
 7754 flight-of-the-amazon-queen         	       1       16       15        0        0
 7755 flip                               	       1        9        8        0        0
 7756 fluidsynth                         	       1      110      104        5        0
 7757 fnt                                	       1        7        6        0        0
 7758 font-manager                       	       1       81       77        3        0
 7759 fontforge                          	       1       85       83        1        0
 7760 fonts-adf-baskervald               	       1       30        2        0       27
 7761 fonts-adf-berenis                  	       1      174       12        0      161
 7762 fonts-adf-ikarius                  	       1       28        2        0       25
 7763 fonts-adf-mekanus                  	       1       26        2        0       23
 7764 fonts-adf-oldania                  	       1       82        5        0       76
 7765 fonts-adf-switzera                 	       1       27        1        0       25
 7766 fonts-arabeyes                     	       1       17        1        0       15
 7767 fonts-arphic-bsmi00lp              	       1      108        5        0      102
 7768 fonts-arphic-gbsn00lp              	       1      109        3        0      105
 7769 fonts-arundina                     	       1       19        1        0       17
 7770 fonts-beng-extra                   	       1       58        4        0       53
 7771 fonts-bpg-georgian                 	       1       20        3        0       16
 7772 fonts-breip                        	       1       22        1        0       20
 7773 fonts-century-catalogue            	       1       27        0        0       26
 7774 fonts-cmu                          	       1       26        3        0       22
 7775 fonts-comfortaa                    	       1      197        2        0      194
 7776 fonts-dejima-mincho                	       1       20        1        0       18
 7777 fonts-deva-extra                   	       1       60        5        0       54
 7778 fonts-dkg-handwriting              	       1       20        1        0       18
 7779 fonts-ebgaramond                   	       1       85        5        0       79
 7780 fonts-ebgaramond-extra             	       1      194        4        0      189
 7781 fonts-ecolier-court                	       1       30        1        0       28
 7782 fonts-ecolier-lignes-court         	       1       26        0        0       25
 7783 fonts-engadget                     	       1       15        1        0       13
 7784 fonts-evertype-conakry             	       1       13        0        0       12
 7785 fonts-farsiweb                     	       1       15        1        0       13
 7786 fonts-firacode                     	       1       73        8        0       64
 7787 fonts-freefarsi                    	       1       14        1        0       12
 7788 fonts-georgewilliams               	       1       29        3        0       25
 7789 fonts-go                           	       1      169        9        0      159
 7790 fonts-goudybookletter              	       1       22        0        0       21
 7791 fonts-gubbi                        	       1       58        0        0       57
 7792 fonts-guru-extra                   	       1       57        0        0       56
 7793 fonts-hanazono                     	       1       17        2        0       14
 7794 fonts-ibm-plex                     	       1       24        5        0       18
 7795 fonts-ipafont-mincho               	       1      159       19        0      139
 7796 fonts-ipamj-mincho                 	       1       15        0        0       14
 7797 fonts-jetbrains-mono               	       1       27        5        1       20
 7798 fonts-kacst                        	       1       24        2        0       21
 7799 fonts-kacst-one                    	       1       27        1        0       25
 7800 fonts-kalapi                       	       1       59        0        0       58
 7801 fonts-knda-extra                   	       1        6        0        0        5
 7802 fonts-levien-museum                	       1       18        1        0       16
 7803 fonts-lg-aboriginal                	       1       14        1        0       12
 7804 fonts-liberation-sans-narrow       	       1      206        7        0      198
 7805 fonts-lohit-gujr                   	       1       58        3        0       54
 7806 fonts-lohit-knda                   	       1       59        4        0       54
 7807 fonts-lohit-orya                   	       1       56        0        0       55
 7808 fonts-mgopen                       	       1        3        1        0        1
 7809 fonts-misaki                       	       1       14        0        0       13
 7810 fonts-mona                         	       1       15        2        0       12
 7811 fonts-monapo                       	       1       14        1        0       12
 7812 fonts-mplus                        	       1       28        2        0       25
 7813 fonts-nafees                       	       1       13        0        0       12
 7814 fonts-nakula                       	       1       73        0        0       72
 7815 fonts-navilu                       	       1       58        1        0       56
 7816 fonts-nerd-font-cousine            	       1        1        0        0        0
 7817 fonts-oxygen                       	       1       49        3        0       45
 7818 fonts-pc-extra                     	       1       43        2        0       40
 7819 fonts-povray                       	       1       35        2        0       32
 7820 fonts-sarai                        	       1       61        0        0       60
 7821 fonts-sawarabi-mincho              	       1       15        0        0       14
 7822 fonts-sil-abyssinica               	       1       14        1        0       12
 7823 fonts-sil-annapurna                	       1       47        4        0       42
 7824 fonts-sil-dai-banna                	       1       12        0        0       11
 7825 fonts-sil-doulos                   	       1       48        1        0       46
 7826 fonts-sil-gentiumplus              	       1      183        4        0      178
 7827 fonts-sil-nuosusil                 	       1       13        0        0       12
 7828 fonts-sil-scheherazade             	       1       18        1        0       16
 7829 fonts-smc-anjalioldlipi            	       1       31        0        0       30
 7830 fonts-smc-chilanka                 	       1       31        2        0       28
 7831 fonts-smc-dyuthi                   	       1       31        0        0       30
 7832 fonts-smc-keraleeyam               	       1       31        1        0       29
 7833 fonts-smc-suruma                   	       1       31        0        0       30
 7834 fonts-stix                         	       1      266       18        0      247
 7835 fonts-takao-gothic                 	       1       64        9        0       54
 7836 fonts-telu-extra                   	       1       59        2        0       56
 7837 fonts-teluguvijayam                	       1       55        4        0       50
 7838 fonts-terminus                     	       1       85        7        0       77
 7839 fonts-tibetan-machine              	       1       15        1        0       13
 7840 fonts-tlwg-kinnari-ttf             	       1       22        0        0       21
 7841 fonts-tlwg-laksaman-otf            	       1      106        2        0      103
 7842 fonts-tlwg-loma-ttf                	       1       22        0        0       21
 7843 fonts-tlwg-norasi-ttf              	       1       22        1        0       20
 7844 fonts-tlwg-purisa-ttf              	       1       26        1        0       24
 7845 fonts-tlwg-sawasdee-ttf            	       1       21        0        0       20
 7846 fonts-tlwg-typo-otf                	       1      105        2        0      102
 7847 fonts-tlwg-typo-ttf                	       1       21        0        0       20
 7848 fonts-tlwg-umpush-otf              	       1      105        5        0       99
 7849 fonts-tlwg-waree-otf               	       1      105        2        0      102
 7850 fonts-tlwg-waree-ttf               	       1       30        3        0       26
 7851 fonts-tuffy                        	       1       77        3        0       73
 7852 fonts-umeplus                      	       1       14        2        0       11
 7853 fonts-uralic                       	       1       47        9        0       37
 7854 fonts-xfree86-nonfree-syriac       	       1        9        2        0        6
 7855 foobillardplus                     	       1       10        9        0        0
 7856 forensics-colorize                 	       1       23       22        0        0
 7857 forked-daapd                       	       1        2        1        0        0
 7858 fortunes-de                        	       1        7        6        0        0
 7859 four-in-a-row                      	       1      367      331       35        0
 7860 fp-compiler-3.0.4                  	       1        8        7        0        0
 7861 fp-compiler-3.2.0                  	       1       21       20        0        0
 7862 fp-ide-3.0.4                       	       1        4        3        0        0
 7863 fp-utils                           	       1       29       28        0        0
 7864 fp-utils-3.0.4                     	       1        8        7        0        0
 7865 fp-utils-3.2.0                     	       1       21       20        0        0
 7866 fpa-pcloud                         	       1        3        2        0        0
 7867 fpart                              	       1        3        2        0        0
 7868 fpga-icestorm                      	       1        6        5        0        0
 7869 fpm2                               	       1        2        1        0        0
 7870 fprobe                             	       1        3        2        0        0
 7871 frameworkintegration6              	       1       22        2        0       19
 7872 freeciv                            	       1       49       48        0        0
 7873 freeciv-client-extras              	       1       19       18        0        0
 7874 freeciv-data                       	       1       57        0        0       56
 7875 freeciv-ruleset-tools              	       1        4        3        0        0
 7876 freedink-dfarc                     	       1       14       13        0        0
 7877 freedink-engine                    	       1       14       13        0        0
 7878 freedoom                           	       1       42       40        1        0
 7879 freeipa-healthcheck                	       1        1        0        0        0
 7880 freeipmi-bmc-watchdog              	       1       15       14        0        0
 7881 freeipmi-ipmidetect                	       1       18       17        0        0
 7882 freeipmi-ipmiseld                  	       1        2        1        0        0
 7883 freerdp-x11                        	       1       22       21        0        0
 7884 freeship                           	       1        1        0        0        0
 7885 freespacenotifier                  	       1        5        4        0        0
 7886 freetds-dev                        	       1       33       32        0        0
 7887 frotz                              	       1       12       11        0        0
 7888 frozen-bubble                      	       1       51       48        2        0
 7889 fstransform                        	       1        5        4        0        0
 7890 fstrcmp                            	       1        3        2        0        0
 7891 fswebcam                           	       1       27       26        0        0
 7892 ftdi-eeprom                        	       1        6        5        0        0
 7893 ftpcopy                            	       1        4        3        0        0
 7894 funcoeszz                          	       1       16       15        0        0
 7895 fuse-emulator-gtk                  	       1       14       13        0        0
 7896 fuse-emulator-utils                	       1       11       10        0        0
 7897 fwbuilder                          	       1       14       13        0        0
 7898 fwupdate                           	       1       10        1        0        8
 7899 fwupdate-amd64-signed              	       1        1        0        0        0
 7900 fzy                                	       1        8        7        0        0
 7901 g++-4.9                            	       1       45       43        0        1
 7902 gajim                              	       1       56       55        0        0
 7903 gallery                            	       1        1        0        0        0
 7904 gallery-dl                         	       1       13       12        0        0
 7905 galleta                            	       1       24       23        0        0
 7906 game-data-packager                 	       1       27       26        0        0
 7907 game-data-packager-runtime         	       1       26       25        0        0
 7908 gamescope                          	       1       35       31        3        0
 7909 gammastep                          	       1        6        5        0        0
 7910 ganeti                             	       1        1        0        0        0
 7911 ganeti-3.0                         	       1        1        0        0        0
 7912 ganeti-haskell-3.0                 	       1        1        0        0        0
 7913 ganglia-monitor                    	       1        2        1        0        0
 7914 gargoyle-free                      	       1        9        8        0        0
 7915 gcc-11                             	       1       89       88        0        0
 7916 gcc-12-arm-linux-gnueabihf         	       1       13       12        0        0
 7917 gcc-12-i686-linux-gnu              	       1        5        4        0        0
 7918 gcc-12-riscv64-linux-gnu           	       1        6        5        0        0
 7919 gcc-13                             	       1      149      146        2        0
 7920 gcc-13-x86-64-linux-gnu            	       1      133      130        2        0
 7921 gcc-arm-linux-gnueabihf            	       1       29       28        0        0
 7922 gcc-arm-none-eabi                  	       1       56       54        1        0
 7923 gcc-i686-linux-gnu                 	       1       15       14        0        0
 7924 gcc-riscv64-linux-gnu              	       1        8        7        0        0
 7925 gccgo-12                           	       1        6        5        0        0
 7926 gcin                               	       1        4        3        0        0
 7927 gcin-gtk3-immodule                 	       1        4        0        0        3
 7928 gcj-4.9-jre-headless               	       1        5        4        0        0
 7929 gcj-6-jre-headless                 	       1        7        6        0        0
 7930 gcj-jre-headless                   	       1        6        5        0        0
 7931 gconf2-common                      	       1      489       12        0      476
 7932 gcp                                	       1        8        7        0        0
 7933 gdal-bin                           	       1       85       80        4        0
 7934 gddccontrol                        	       1       13       12        0        0
 7935 gdm                                	       1        1        0        0        0
 7936 gearhead                           	       1        6        5        0        0
 7937 gems                               	       1        1        0        0        0
 7938 genext2fs                          	       1       15       14        0        0
 7939 genisovh                           	       1        1        0        0        0
 7940 genromfs                           	       1        3        2        0        0
 7941 geoip-database-contrib             	       1        3        2        0        0
 7942 germinate                          	       1        1        0        0        0
 7943 gettext-el                         	       1        9        8        0        0
 7944 gfortran-8                         	       1       33       32        0        0
 7945 gftp-gtk                           	       1       50       47        2        0
 7946 ghc-doc                            	       1       29       26        2        0
 7947 ghostscript-x                      	       1      248       30        0      217
 7948 ghostty                            	       1        2        1        0        0
 7949 giflib-tools                       	       1       31       30        0        0
 7950 gifshuffle                         	       1       17       16        0        0
 7951 gimp-data                          	       1     2707        7        0     2699
 7952 gir1.2-appstream-1.0               	       1       31        2        0       28
 7953 gir1.2-babl-0.1                    	       1       59        0        0       58
 7954 gir1.2-budgie-1.0                  	       1        9        0        0        8
 7955 gir1.2-budgieraven-1.0             	       1        4        0        0        3
 7956 gir1.2-camel-1.2                   	       1      227        0        0      226
 7957 gir1.2-ebookcontacts-1.2           	       1       17        1        0       15
 7958 gir1.2-edataserver-1.2             	       1      228        1        0      226
 7959 gir1.2-gck-2                       	       1       11        4        0        6
 7960 gir1.2-gconf-2.0                   	       1       61       59        1        0
 7961 gir1.2-gcr-4                       	       1       10        4        0        5
 7962 gir1.2-gda-5.0                     	       1        4        0        0        3
 7963 gir1.2-gegl-0.4                    	       1       58        0        0       57
 7964 gir1.2-ggit-1.0                    	       1      118      111        5        1
 7965 gir1.2-gimp-3.0                    	       1       35        0        0       34
 7966 gir1.2-gnomebg-4.0                 	       1       11        4        0        6
 7967 gir1.2-gnomekeyring-1.0            	       1       98       96        1        0
 7968 gir1.2-gtk-2.0                     	       1      377       12        0      364
 7969 gir1.2-gudev-1.0                   	       1      125        4        0      120
 7970 gir1.2-handy-1                     	       1     1946        2        1     1942
 7971 gir1.2-javascriptcoregtk-4.1       	       1      252        2        1      248
 7972 gir1.2-mutter-15                   	       1        5        0        0        4
 7973 gir1.2-nma4-1.0                    	       1       13        4        0        8
 7974 gir1.2-webkit2-4.1                 	       1      252        2        1      248
 7975 gist                               	       1       72       67        4        0
 7976 git-gui                            	       1      126      120        5        0
 7977 gitweb                             	       1       48       46        1        0
 7978 gkdebconf                          	       1        9        8        0        0
 7979 gkrellkam                          	       1        9        8        0        0
 7980 gkrellm-reminder                   	       1       11       10        0        0
 7981 gkrellm-thinkbat                   	       1       12       11        0        0
 7982 gkrellm-volume                     	       1       15       14        0        0
 7983 gkrelltopd                         	       1        9        8        0        0
 7984 gkrellweather                      	       1       14       13        0        0
 7985 glabels                            	       1       31       30        0        0
 7986 glfer                              	       1        3        2        0        0
 7987 glmark2-x11                        	       1       20       19        0        0
 7988 global                             	       1       15       14        0        0
 7989 glosung                            	       1        1        0        0        0
 7990 glslang-tools                      	       1       26       25        0        0
 7991 glulxe                             	       1        4        3        0        0
 7992 gm-assistant                       	       1        2        1        0        0
 7993 gmetad                             	       1        1        0        0        0
 7994 gmic                               	       1       45       41        3        0
 7995 gmic-zart                          	       1        9        6        2        0
 7996 gnat-12                            	       1       32       31        0        0
 7997 gnome-2048                         	       1      333      296       36        0
 7998 gnome-applets                      	       1       55       46        8        0
 7999 gnome-bluetooth-sendto             	       1      318      288       29        0
 8000 gnome-calls                        	       1        3        2        0        0
 8001 gnome-contacts                     	       1      122      105       16        0
 8002 gnome-flashback-common             	       1       61       49        8        3
 8003 gnome-klotski                      	       1      361      324       36        0
 8004 gnome-logs                         	       1      297      265       31        0
 8005 gnome-music                        	       1      115       97       17        0
 8006 gnome-nettool                      	       1       16       15        0        0
 8007 gnome-network-displays             	       1        1        0        0        0
 8008 gnome-nibbles                      	       1      357      320       36        0
 8009 gnome-panel                        	       1       59       50        8        0
 8010 gnome-photos                       	       1       15       14        0        0
 8011 gnome-screensaver                  	       1       32       30        1        0
 8012 gnome-session-canberra             	       1       69       67        0        1
 8013 gnome-shell-extension-system-monitor	       1       11        3        0        7
 8014 gnome-subtitles                    	       1        9        8        0        0
 8015 gnome-taquin                       	       1      357      320       36        0
 8016 gnu-efi                            	       1       15       14        0        0
 8017 gnubg                              	       1       19       17        1        0
 8018 gnucash-common                     	       1       97       95        1        0
 8019 gnuit                              	       1        4        3        0        0
 8020 gnuminishogi                       	       1        6        5        0        0
 8021 gnuplot-doc                        	       1       47       43        3        0
 8022 gnuplot-nox                        	       1       46       43        2        0
 8023 gnustep-back-common                	       1       30       29        0        0
 8024 gnustep-back0.29-cairo             	       1       21        1        0       19
 8025 gnustep-gui-runtime                	       1       31       30        0        0
 8026 gnutls-doc                         	       1       17       15        1        0
 8027 go-mtpfs                           	       1       54       51        2        0
 8028 goaccess                           	       1       16       15        0        0
 8029 gocr                               	       1       51       49        1        0
 8030 golang-1.23-go                     	       1       20       16        3        0
 8031 golang-1.23-src                    	       1       20       16        3        0
 8032 golang-github-containernetworking-plugin-dnsname	       1        5        1        0        3
 8033 google-android-platform-tools-installer	       1        7        6        0        0
 8034 google-drive-ocamlfuse             	       1        1        0        0        0
 8035 googletest                         	       1       35       33        0        1
 8036 gosh                               	       1        1        0        0        0
 8037 gosu                               	       1        3        2        0        0
 8038 gpaste-2                           	       1        4        3        0        0
 8039 gperf                              	       1      114      113        0        0
 8040 gpgv1                              	       1       22       21        0        0
 8041 gphotofs                           	       1       36       35        0        0
 8042 gpodder                            	       1       18       17        0        0
 8043 gprename                           	       1       17       16        0        0
 8044 grace                              	       1       25       24        0        0
 8045 grafana-enterprise                 	       1        2        1        0        0
 8046 graphicsmagick                     	       1      118      117        0        0
 8047 grass                              	       1       21        0        1       19
 8048 grass-core                         	       1       54       51        2        0
 8049 grepmail                           	       1        7        6        0        0
 8050 greylistd                          	       1        4        3        0        0
 8051 grim                               	       1       97       91        5        0
 8052 grokevt                            	       1       27       26        0        0
 8053 grub-efi-amd64-unsigned            	       1      133      123        9        0
 8054 grub-legacy                        	       1        5        4        0        0
 8055 grub-rescue-pc                     	       1       13       12        0        0
 8056 gruvbox-gtk                        	       1        1        0        0        0
 8057 gsalliere                          	       1        9        8        0        0
 8058 gscan2pdf                          	       1       52       51        0        0
 8059 gsettings-desktop-schemas-dev      	       1        9        8        0        0
 8060 gstreamer-tools                    	       1        4        3        0        0
 8061 gstreamer0.10-tools                	       1        9        8        0        0
 8062 gstreamer1.0-adapter-pulseeffects  	       1       38        4        0       33
 8063 gstreamer1.0-autogain-pulseeffects 	       1       35        4        0       30
 8064 gstreamer1.0-convolver-pulseeffects	       1       35        4        0       30
 8065 gstreamer1.0-crystalizer-pulseeffects	       1       35        4        0       30
 8066 gstreamer1.0-gl                    	       1     2949        8        0     2940
 8067 gstreamer1.0-pipewire              	       1      211        2        0      208
 8068 gtk-qt-engine-trinity              	       1        5        4        0        0
 8069 gtkam                              	       1       35       34        0        0
 8070 gtkatlantic                        	       1        7        6        0        0
 8071 gtkterm                            	       1       23       22        0        0
 8072 guessnet                           	       1        2        1        0        0
 8073 guestfish                          	       1       63       59        3        0
 8074 guestfs-tools                      	       1       63       59        3        0
 8075 guestmount                         	       1       64       60        3        0
 8076 guile-2.2-libs                     	       1      600        1        0      598
 8077 guile-3.0                          	       1      120      117        2        0
 8078 guile-ssh                          	       1       13       11        1        0
 8079 guix                               	       1       11       10        0        0
 8080 guncat                             	       1        3        2        0        0
 8081 guvcview                           	       1       90       89        0        0
 8082 gzrt                               	       1        8        7        0        0
 8083 hackrf                             	       1        9        8        0        0
 8084 handbrake-gtk                      	       1       17       16        0        0
 8085 hardinfo                           	       1      159      139        2       17
 8086 hardlink                           	       1        7        6        0        0
 8087 haruna                             	       1        8        7        0        0
 8088 hashcat                            	       1       52       51        0        0
 8089 hashcat-data                       	       1       53       52        0        0
 8090 hashdeep                           	       1       32       31        0        0
 8091 hashid                             	       1       30       29        0        0
 8092 hashrat                            	       1       24       23        0        0
 8093 havp                               	       1        4        3        0        0
 8094 hcxkeys                            	       1       17       16        0        0
 8095 hcxtools                           	       1       21       20        0        0
 8096 hd-idle                            	       1       12       11        0        0
 8097 hdapsd                             	       1       10        9        0        0
 8098 hdf5-helpers                       	       1       80       76        3        0
 8099 hdf5-tools                         	       1       36       35        0        0
 8100 hdmi2usb-udev                      	       1        5        4        0        0
 8101 heartbleeder                       	       1       16       15        0        0
 8102 hedgewars                          	       1       26       23        2        0
 8103 heroic                             	       1       16       14        1        0
 8104 hexcompare                         	       1       21       20        0        0
 8105 hibernate                          	       1       31       30        0        0
 8106 hitch                              	       1        1        0        0        0
 8107 hitori                             	       1      366      329       36        0
 8108 hoichess                           	       1      376      339       36        0
 8109 holotz-castle                      	       1        7        6        0        0
 8110 horst                              	       1       22       21        0        0
 8111 hotswap-gui                        	       1        2        1        0        0
 8112 hotswap-text                       	       1        2        1        0        0
 8113 how-can-i-help                     	       1        4        3        0        0
 8114 hpsockd                            	       1        1        0        0        0
 8115 hsa-rocr                           	       1       16        3        1       11
 8116 htdig                              	       1       49       48        0        0
 8117 htmldoc                            	       1       24       22        1        0
 8118 htpdate                            	       1       13       12        0        0
 8119 httpie                             	       1       20       18        1        0
 8120 httping                            	       1       15       14        0        0
 8121 httrack                            	       1       50       49        0        0
 8122 httraqt                            	       1       11       10        0        0
 8123 hugin                              	       1       49       47        1        0
 8124 hugin-tools                        	       1       51       49        1        0
 8125 hunt                               	       1        4        3        0        0
 8126 hwloc-nox                          	       1       16       15        0        0
 8127 hydra                              	       1       34       33        0        0
 8128 i3-swap-focus                      	       1        1        0        0        0
 8129 i3blocks                           	       1       32       31        0        0
 8130 i3xrocks                           	       1        1        0        0        0
 8131 i7z                                	       1       18       16        1        0
 8132 i7z-gui                            	       1        3        2        0        0
 8133 i965-va-driver-shaders             	       1       31        3        0       27
 8134 iagno                              	       1      363      326       36        0
 8135 iat                                	       1       16       15        0        0
 8136 iaxmodem                           	       1        2        1        0        0
 8137 ibrazilian                         	       1       61       57        3        0
 8138 ibus-hangul                        	       1        8        7        0        0
 8139 icecat                             	       1        7        6        0        0
 8140 icedax                             	       1       41       40        0        0
 8141 icedove                            	       1       43       40        2        0
 8142 icinga-cube-web                    	       1        1        0        0        0
 8143 icinga-graphite-web                	       1        1        0        0        0
 8144 icinga-idoutils                    	       1        3        2        0        0
 8145 icinga-php-library                 	       1        4        3        0        0
 8146 icinga-x509-daemon                 	       1        1        0        0        0
 8147 icinga-x509-php                    	       1        1        0        0        0
 8148 icingacli                          	       1        6        5        0        0
 8149 icingadb                           	       1        1        0        0        0
 8150 icingadb-redis                     	       1        1        0        0        0
 8151 icingadb-web                       	       1        1        0        0        0
 8152 icingaweb2                         	       1        6        5        0        0
 8153 icmpinfo                           	       1        8        7        0        0
 8154 icmpush                            	       1        2        1        0        0
 8155 id3tool                            	       1       27       26        0        0
 8156 idesk                              	       1       14       12        1        0
 8157 idjc                               	       1        1        0        0        0
 8158 idle                               	       1       92       86        5        0
 8159 idle-python3.11                    	       1       79       70        8        0
 8160 iec16022                           	       1        3        2        0        0
 8161 ifrename                           	       1       29       28        0        0
 8162 ifrench-gut                        	       1      110      103        6        0
 8163 ifupdown-extra                     	       1       12       10        1        0
 8164 ifupdown2                          	       1       15       14        0        0
 8165 ike-scan                           	       1       22       21        0        0
 8166 ilia                               	       1        1        0        0        0
 8167 imageindex                         	       1       16       15        0        0
 8168 imagemagick                        	       1     2256       12        0     2243
 8169 img2pdf                            	       1       46       44        1        0
 8170 incus                              	       1        6        5        0        0
 8171 incus-client                       	       1        6        5        0        0
 8172 indent                             	       1       31       30        0        0
 8173 indicator-keylock                  	       1        1        0        0        0
 8174 inetutils-ftp                      	       1        4        3        0        0
 8175 inetutils-inetd                    	       1       31       30        0        0
 8176 inetutils-talk                     	       1        8        6        1        0
 8177 inetutils-tools                    	       1       14       12        1        0
 8178 inetutils-traceroute               	       1       18       17        0        0
 8179 influxdb-client                    	       1        6        5        0        0
 8180 info2www                           	       1       24       21        2        0
 8181 ink                                	       1       22       21        0        0
 8182 innoextract                        	       1       44       43        0        0
 8183 inoticoming                        	       1        5        4        0        0
 8184 input-utils                        	       1       12       11        0        0
 8185 instead                            	       1        7        6        0        0
 8186 inteltool                          	       1        7        6        0        0
 8187 ioping                             	       1       13       12        0        0
 8188 ioquake3-server                    	       1       39       36        2        0
 8189 ipgrab                             	       1       19       18        0        0
 8190 ipolish                            	       1       71       66        4        0
 8191 ipscan                             	       1       11       10        0        0
 8192 iputils-clockdiff                  	       1        8        7        0        0
 8193 ipwatchd                           	       1        1        0        0        0
 8194 ircd-hybrid                        	       1        3        2        0        0
 8195 irssi-scripts                      	       1       21       19        1        0
 8196 irussian                           	       1      165      143       21        0
 8197 isc-dhcp-common                    	       1     4053       58        1     3993
 8198 isc-dhcp-relay                     	       1        2        1        0        0
 8199 isdnvboxclient                     	       1        4        3        0        0
 8200 isenkram-cli                       	       1       22       21        0        0
 8201 itop                               	       1        8        7        0        0
 8202 iwatch                             	       1        9        8        0        0
 8203 jackd1                             	       1       15       14        0        0
 8204 jacksum                            	       1        5        4        0        0
 8205 jami                               	       1       24       23        0        0
 8206 jarwrapper                         	       1       80       78        1        0
 8207 jasmin-sable                       	       1        1        0        0        0
 8208 jazip                              	       1        1        0        0        0
 8209 jdk-11.0.12                        	       1        2        1        0        0
 8210 jdk-14.0.1                         	       1        1        0        0        0
 8211 jdk-16.0.2                         	       1        1        0        0        0
 8212 jdk-17                             	       1        5        4        0        0
 8213 jdupes                             	       1       51       50        0        0
 8214 jed                                	       1       25       23        1        0
 8215 jedit                              	       1       10        9        0        0
 8216 jekyll                             	       1       10        9        0        0
 8217 jellyfin-ffmpeg5                   	       1        1        0        0        0
 8218 jellyfin-server                    	       1        6        5        0        0
 8219 jitterentropy-rngd                 	       1        4        3        0        0
 8220 jless                              	       1        3        2        0        0
 8221 jlex                               	       1        9        7        1        0
 8222 jlha-utils                         	       1       16       14        1        0
 8223 jnettop                            	       1       63       62        0        0
 8224 jo                                 	       1       34       31        2        0
 8225 jodconverter                       	       1        2        1        0        0
 8226 joplin                             	       1        1        0        0        0
 8227 jovie                              	       1       15       14        0        0
 8228 jpeginfo                           	       1       22       21        0        0
 8229 jruby                              	       1        4        3        0        0
 8230 jsbeautifier                       	       1       27       26        0        0
 8231 jsonlint                           	       1       47       46        0        0
 8232 jsvc                               	       1       14       13        0        0
 8233 jtb                                	       1        3        2        0        0
 8234 jwm                                	       1       42       40        1        0
 8235 jython                             	       1       24       22        1        0
 8236 jzip                               	       1        6        5        0        0
 8237 k4dirstat                          	       1       15       14        0        0
 8238 kaccounts-integration              	       1       28       12        0       15
 8239 kaddressbook-data                  	       1      386        2        0      383
 8240 kaffeine-trinity                   	       1        8        7        0        0
 8241 kalarm-trinity                     	       1       24       23        0        0
 8242 kamera                             	       1      567        3        0      563
 8243 kamera-trinity                     	       1       33       32        0        0
 8244 kannel                             	       1        1        0        0        0
 8245 kanshi                             	       1        6        5        0        0
 8246 katepart                           	       1       48       47        0        0
 8247 kcharselect-trinity                	       1       24       23        0        0
 8248 kcolorchooser                      	       1       65       61        3        0
 8249 kde-baseapps-bin                   	       1       14       13        0        0
 8250 kde-config-cron                    	       1       57       39        2       15
 8251 kde-inotify-survey                 	       1        1        0        0        0
 8252 kde-runtime-data                   	       1       68       67        0        0
 8253 kde-style-breeze-qt5               	       1       11        0        0       10
 8254 kde-style-oxygen                   	       1        6        2        0        3
 8255 kde-style-oxygen-qt6               	       1       20       16        3        0
 8256 kde-window-manager                 	       1        6        2        0        3
 8257 kde-workspace-bin                  	       1        3        2        0        0
 8258 kde-workspace-kgreet-plugins       	       1        5        4        0        0
 8259 kdenlive                           	       1      135      131        3        0
 8260 kdepasswd                          	       1       13       12        0        0
 8261 kdepim-kresources                  	       1        2        1        0        0
 8262 kdepimlibs-kio-plugins             	       1        6        5        0        0
 8263 kdesdk-scripts                     	       1       44       41        2        0
 8264 kdesudo                            	       1        9        8        0        0
 8265 kdevelop                           	       1       48       45        2        0
 8266 kdm                                	       1        4        3        0        0
 8267 kdoctools                          	       1       47       46        0        0
 8268 kdump-tools                        	       1        6        5        0        0
 8269 kea-common                         	       1        6        5        0        0
 8270 kea-ctrl-agent                     	       1        3        2        0        0
 8271 kea-dhcp-ddns-server               	       1        3        2        0        0
 8272 kea-dhcp4-server                   	       1        6        5        0        0
 8273 kea-dhcp6-server                   	       1        4        3        0        0
 8274 keepass2                           	       1       69       67        1        0
 8275 kernel-wedge                       	       1       34       33        0        0
 8276 kerneloops-applet                  	       1        6        5        0        0
 8277 kexec-tools                        	       1       20       19        0        0
 8278 kfind-trinity                      	       1       34       33        0        0
 8279 kgamma-trinity                     	       1       25       24        0        0
 8280 kgb                                	       1        6        5        0        0
 8281 kget-trinity                       	       1       26       25        0        0
 8282 kghostview-trinity                 	       1       33       32        0        0
 8283 khard                              	       1       12       10        1        0
 8284 khelpcenter4                       	       1        5        1        0        3
 8285 kicker-applets-trinity             	       1       27       26        0        0
 8286 kildclient                         	       1        4        3        0        0
 8287 killswitch                         	       1        1        0        0        0
 8288 kio-gdrive                         	       1       14        4        0        9
 8289 kitty                              	       1       67       63        3        0
 8290 kitty-shell-integration            	       1       66       62        3        0
 8291 klaptopdaemon-trinity              	       1       24       23        0        0
 8292 klipper                            	       1        3        2        0        0
 8293 kmahjongg                          	       1       89       83        5        0
 8294 kmail-trinity                      	       1       28       27        0        0
 8295 kmailtransport-akonadi             	       1      464       13        0      450
 8296 kmines                             	       1       73       69        3        0
 8297 kmoon-trinity                      	       1       23       22        0        0
 8298 knot                               	       1        7        6        0        0
 8299 knotes-trinity                     	       1       29       28        0        0
 8300 kobodeluxe-data                    	       1       13       11        1        0
 8301 kodi-data                          	       1       86       80        1        4
 8302 kompare                            	       1       72       69        2        0
 8303 konqueror-nsplugins                	       1       15       14        0        0
 8304 konqueror-nsplugins-trinity        	       1       33       32        0        0
 8305 kopano-backup                      	       1        1        0        0        0
 8306 kopano-client                      	       1        1        0        0        0
 8307 kopano-dagent                      	       1        1        0        0        0
 8308 kopano-dagent-pytils               	       1        1        0        0        0
 8309 kopano-gateway                     	       1        1        0        0        0
 8310 kopano-ical                        	       1        1        0        0        0
 8311 kopano-lang                        	       1        1        0        0        0
 8312 kopano-search                      	       1        1        0        0        0
 8313 kopano-server                      	       1        1        0        0        0
 8314 kopano-spooler                     	       1        1        0        0        0
 8315 kopano-webapp                      	       1        1        0        0        0
 8316 kopano-webapp-plugin-files         	       1        1        0        0        0
 8317 kopano-webapp-plugin-filesbackend-owncloud	       1        1        0        0        0
 8318 kopete                             	       1       29       28        0        0
 8319 kopete-trinity                     	       1       25       24        0        0
 8320 koreader                           	       1        3        2        0        0
 8321 korn-trinity                       	       1       23       22        0        0
 8322 koules                             	       1       12       11        0        0
 8323 kpackage-trinity                   	       1       26       25        0        0
 8324 kpackagetool6                      	       1       40       33        6        0
 8325 kpart-webkit                       	       1       14       13        0        0
 8326 kpdf-trinity                       	       1       31       30        0        0
 8327 krb5-kpropd                        	       1        4        3        0        0
 8328 kristall                           	       1        7        6        0        0
 8329 kruler                             	       1       73       69        3        0
 8330 kscreensaver                       	       1        3        2        0        0
 8331 ksh                                	       1       74       24        0       49
 8332 ksnapshot                          	       1        9        1        0        7
 8333 ksnapshot-trinity                  	       1       29       28        0        0
 8334 ksnip                              	       1       12       11        0        0
 8335 ksplash-trinity                    	       1       34       33        0        0
 8336 ksplice                            	       1        1        0        0        0
 8337 ksudoku                            	       1       77       73        3        0
 8338 ksystemlog                         	       1       53       50        2        0
 8339 ktouch                             	       1       62       59        2        0
 8340 kubernetes-client                  	       1        6        5        0        0
 8341 kvirc                              	       1       13       12        0        0
 8342 kwalletcli                         	       1       10        9        0        0
 8343 kwave                              	       1       27       25        1        0
 8344 kweather-trinity                   	       1       23       22        0        0
 8345 kwin-decoration-oxygen             	       1       50        5        0       44
 8346 kylin-video                        	       1        8        7        0        0
 8347 labjack-exodriver                  	       1        1        0        0        0
 8348 labjackpython                      	       1        1        0        0        0
 8349 laby                               	       1       12       11        0        0
 8350 latex-cjk-japanese                 	       1       94       90        3        0
 8351 latrace                            	       1        1        0        0        0
 8352 lboot                              	       1        1        0        0        0
 8353 lbreakout2                         	       1       18       17        0        0
 8354 lbzip2                             	       1       46       45        0        0
 8355 lcab                               	       1        5        4        0        0
 8356 lcarsde-app-menu                   	       1        1        0        0        0
 8357 lcarsde-application-starter        	       1        1        0        0        0
 8358 lcarsde-logout                     	       1        1        0        0        0
 8359 lcarswm                            	       1        1        0        0        0
 8360 lcd4linux                          	       1        1        0        0        0
 8361 lcdf-typetools                     	       1       96       91        4        0
 8362 ldapvi                             	       1        7        6        0        0
 8363 ldm                                	       1        4        3        0        0
 8364 ledger                             	       1       10        9        0        0
 8365 ledit                              	       1       63       62        0        0
 8366 lemonbar                           	       1       20       18        1        0
 8367 letsencrypt.sh                     	       1        1        0        0        0
 8368 lf                                 	       1       10        9        0        0
 8369 lft                                	       1        7        6        0        0
 8370 lgc-pg                             	       1        7        6        0        0
 8371 lgeneral                           	       1        7        6        0        0
 8372 lhasa                              	       1       60       57        2        0
 8373 lib2geom1.2.0                      	       1      388        2        0      385
 8374 lib32ncurses-dev                   	       1       21       20        0        0
 8375 lib32readline-dev                  	       1       12       11        0        0
 8376 lib32z1-dev                        	       1       23       22        0        0
 8377 lib3mf1                            	       1       69        3        0       65
 8378 liba52-0.7.4-dev                   	       1      115      110        4        0
 8379 libabsl-dev                        	       1       10        8        1        0
 8380 libacsccid1                        	       1       12       11        0        0
 8381 libairspyhf1                       	       1       44       42        0        1
 8382 libakode2                          	       1       30       29        0        0
 8383 libakonadi-contact4                	       1        6        5        0        0
 8384 libakonadi-data                    	       1       11        0        0       10
 8385 libakonadi-kde4                    	       1        7        6        0        0
 8386 libakonadicalendar-data            	       1        6        0        1        4
 8387 libakonadisearch-bin               	       1        6        2        3        0
 8388 libalgorithm-munkres-perl          	       1        5        4        0        0
 8389 libalien-sdl-perl                  	       1       92       88        3        0
 8390 libamd3                            	       1      134        1        0      132
 8391 libamtk-5-0                        	       1      342        9        0      332
 8392 libanthy1                          	       1       63        6        0       56
 8393 libany-uri-escape-perl             	       1       77       76        0        0
 8394 libapache2-mod-apreq2              	       1        1        0        0        0
 8395 libapache2-mod-auth-gssapi         	       1        8        7        0        0
 8396 libapache2-mod-auth-pgsql          	       1        1        0        0        0
 8397 libapache2-mod-authz-unixgroup     	       1        2        1        0        0
 8398 libapache2-mod-bw                  	       1        1        0        0        0
 8399 libapache2-mod-evasive             	       1       15       14        0        0
 8400 libapache2-mod-fastcgi             	       1        5        4        0        0
 8401 libapache2-mod-geoip               	       1        6        5        0        0
 8402 libapache2-mod-jk                  	       1        3        2        0        0
 8403 libapache2-mod-log-sql             	       1        3        2        0        0
 8404 libapache2-mod-log-sql-mysql       	       1        3        2        0        0
 8405 libapache2-mod-log-sql-ssl         	       1        3        2        0        0
 8406 libapache2-mod-mapcache            	       1        3        2        0        0
 8407 libapache2-mod-php8.0              	       1        9        8        0        0
 8408 libapache2-mod-uwsgi               	       1        7        6        0        0
 8409 libapache2-mod-xsendfile           	       1        2        1        0        0
 8410 libapache2-reload-perl             	       1       31       30        0        0
 8411 libapt-pkg-dev                     	       1       11        9        1        0
 8412 libapt-pkg5.0                      	       1      950        0        0      949
 8413 libaqbanking-data                  	       1      109        2        0      106
 8414 libaqbanking44                     	       1      100        5        0       94
 8415 libargtable2-dev                   	       1        4        3        0        0
 8416 libaria2-0                         	       1      137        0        1      135
 8417 libarts1-akode-trinity             	       1       27       26        0        0
 8418 libarts1-audiofile-trinity         	       1       23       22        0        0
 8419 libarts1-mpeglib-trinity           	       1       24       23        0        0
 8420 libarts1-xine-trinity              	       1       23       22        0        0
 8421 libassuan-dev                      	       1       42       41        0        0
 8422 libatk-bridge2.0-dev               	       1      288      275       12        0
 8423 libatkmm-1.6-dev                   	       1       46       45        0        0
 8424 libatm1-dev                        	       1        3        2        0        0
 8425 libatspi2.0-dev                    	       1      289      276       12        0
 8426 libattica0.4                       	       1       51        1        0       49
 8427 libauparse0t64                     	       1       18        2        0       15
 8428 libauthen-cas-client-perl          	       1        3        2        0        0
 8429 libav-tools                        	       1       24       22        0        1
 8430 libavcodec-extra61                 	       1        7        5        0        1
 8431 libavfilter-dev                    	       1       80       78        1        0
 8432 libaxc0                            	       1        7        2        0        4
 8433 libb-keywords-perl                 	       1       32       30        1        0
 8434 libbabeltrace-dev                  	       1       22       21        0        0
 8435 libbabeltrace1                     	       1      697        3        0      693
 8436 libbackuppc-xs-perl                	       1        7        6        0        0
 8437 libbaloowidgets-bin                	       1       14        9        4        0
 8438 libbcmatroska2-5                   	       1       28        0        0       27
 8439 libbeidpkcs11-0                    	       1        8        5        0        2
 8440 libbeidpkcs11-bin                  	       1        8        7        0        0
 8441 libbg2                             	       1        3        0        0        2
 8442 libblas-dev                        	       1      177      170        6        0
 8443 libblockdev-mdraid2                	       1        9        4        0        4
 8444 libbloom1                          	       1        6        4        0        1
 8445 libbluetooth-dev                   	       1       64       63        0        0
 8446 libbobcat6                         	       1       10        0        0        9
 8447 libboost-atomic1.67.0              	       1      198        1        0      196
 8448 libboost-chrono1.62.0              	       1       49        3        0       45
 8449 libboost-chrono1.67.0              	       1      190        2        0      187
 8450 libboost-filesystem1.62.0          	       1      160        3        0      156
 8451 libboost-filesystem1.67.0          	       1      192        2        0      189
 8452 libboost-iostreams1.67.0           	       1      235        0        0      234
 8453 libboost-log1.74.0                 	       1      110        3        0      106
 8454 libboost-program-options1.62.0     	       1       84        3        0       80
 8455 libboost-program-options1.67.0     	       1       96        0        0       95
 8456 libboost-regex1.62.0               	       1       47        3        0       43
 8457 libboost-regex1.67.0               	       1       95        0        0       94
 8458 libboost-system1.62.0              	       1      173        3        0      169
 8459 libboost-system1.67.0              	       1      266        2        0      263
 8460 libboost-thread1.62.0              	       1       79        3        0       75
 8461 libboost-thread1.67.0              	       1      198        2        0      195
 8462 libboost1.67-dev                   	       1       15       14        0        0
 8463 libbrotli-dev                      	       1      735      710       24        0
 8464 libbudgie-appindexer0              	       1        5        0        0        4
 8465 libbudgie-plugin0                  	       1       13        0        0       12
 8466 libbudgie-private0                 	       1        7        0        0        6
 8467 libbudgie-raven-plugin0            	       1        5        0        0        4
 8468 libbudgietheme0                    	       1        7        0        0        6
 8469 libc++1-14                         	       1       25       24        0        0
 8470 libc++1-16t64                      	       1       21       20        0        0
 8471 libc++abi1-14                      	       1       25       24        0        0
 8472 libc++abi1-16t64                   	       1       21       20        0        0
 8473 libc-ares-dev                      	       1       15       14        0        0
 8474 libc-icap-mod-squidclamav          	       1        1        0        0        0
 8475 libc6-dev-armhf-cross              	       1       29       28        0        0
 8476 libc6-dev-i386-cross               	       1       14       13        0        0
 8477 libc6-dev-riscv64-cross            	       1        7        6        0        0
 8478 libc6-dev-x32                      	       1      185      180        4        0
 8479 libcache-cache-perl                	       1       19       17        1        0
 8480 libcairo-gobject-perl              	       1     2414        4        0     2409
 8481 libcairo-perl                      	       1     2607        4        0     2602
 8482 libcairomm-1.0-dev                 	       1       50       49        0        0
 8483 libcamd3                           	       1      132        1        0      130
 8484 libcamera0.0.3                     	       1       23        8        0       14
 8485 libcamera0.3                       	       1       11        3        0        7
 8486 libcamera0.4                       	       1        9        2        0        6
 8487 libcanberra-gtk-module             	       1      173        3        0      169
 8488 libcanberra-gtk0                   	       1      182        5        0      176
 8489 libcanberra0t64                    	       1       20        8        0       11
 8490 libcap-ng-utils                    	       1        5        4        0        0
 8491 libcarp-assert-perl                	       1       19       18        0        0
 8492 libccolamd3                        	       1      132        1        0      130
 8493 libcdb1                            	       1       17        0        0       16
 8494 libcddb2                           	       1     1867        7        0     1859
 8495 libcdk5-dev                        	       1        5        4        0        0
 8496 libcdk5-doc                        	       1        2        1        0        0
 8497 libcdparanoia0                     	       1     3283        2        0     3280
 8498 libcfg7                            	       1       11        6        0        4
 8499 libcfitsio10t64                    	       1       99        0        0       98
 8500 libcgif0                           	       1       13        0        0       12
 8501 libchm-bin                         	       1        6        5        0        0
 8502 libcholmod5                        	       1      123        1        0      121
 8503 libcib27                           	       1        7        6        0        0
 8504 libcinnamon-desktop4t64            	       1       28        9        1       17
 8505 libclamav-client-perl              	       1        3        2        0        0
 8506 libclamav-dev                      	       1        1        0        0        0
 8507 libclang-14-dev                    	       1       40       38        1        0
 8508 libclang-15-dev                    	       1        3        2        0        0
 8509 libclang-16-dev                    	       1        8        7        0        0
 8510 libclang-common-11-dev             	       1      116      114        0        1
 8511 libclang-common-19-dev             	       1       37       34        2        0
 8512 libclang-common-9-dev              	       1       34       33        0        0
 8513 libclang-cpp13                     	       1       37       36        0        0
 8514 libclang-cpp15-dev                 	       1        4        3        0        0
 8515 libclang-cpp16-dev                 	       1        3        2        0        0
 8516 libclang-cpp19                     	       1       43       39        3        0
 8517 libclang-rt-14-dev                 	       1      211      203        7        0
 8518 libclass-std-perl                  	       1       13       12        0        0
 8519 libclass-tiny-chained-perl         	       1        2        1        0        0
 8520 libclc-dev                         	       1       16       15        0        0
 8521 libcmap4                           	       1       16        8        0        7
 8522 libcolamd3                         	       1      212        1        0      210
 8523 libcomedi0                         	       1       25       24        0        0
 8524 libconfig++9v5                     	       1      282        1        0      280
 8525 libconfig-model-approx-perl        	       1       19       18        0        0
 8526 libconfig-model-openssh-perl       	       1       19       18        0        0
 8527 libconfig-model-systemd-perl       	       1       19       18        0        0
 8528 libconfig-model-tkui-perl          	       1       19       18        0        0
 8529 libconfig-std-perl                 	       1        1        0        0        0
 8530 libcontextual-return-perl          	       1      200      197        2        0
 8531 libcork16                          	       1        5        4        0        0
 8532 libcorkipset1                      	       1        5        4        0        0
 8533 libcorosync-common4                	       1       29        8        0       20
 8534 libcowsql0                         	       1        6        1        0        4
 8535 libcpan-meta-perl                  	       1       67       65        1        0
 8536 libcpg4                            	       1       27        6        0       20
 8537 libcppunit-dev                     	       1       70       69        0        0
 8538 libcrmcluster29                    	       1        7        6        0        0
 8539 libcrmcommon34                     	       1        7        6        0        0
 8540 libcrmservice28                    	       1        7        6        0        0
 8541 libcrypt-jwt-perl                  	       1        4        3        0        0
 8542 libcryptx-perl                     	       1       82        5        0       76
 8543 libct4                             	       1       64        0        0       63
 8544 libcue2                            	       1      751        1        0      749
 8545 libcurl3                           	       1      123        0        0      122
 8546 libcurl4-gnutls-dev                	       1      143      141        1        0
 8547 libcvc0t64                         	       1       21        8        1       11
 8548 libcw6                             	       1        7        2        0        4
 8549 libcw7                             	       1       11        0        0       10
 8550 libcwidget4                        	       1      949       19        0      929
 8551 libdaq2                            	       1        8        1        0        6
 8552 libdata-entropy-perl               	       1       14       13        0        0
 8553 libdata-float-perl                 	       1       14       13        0        0
 8554 libdatetime-astro-sunrise-perl     	       1        3        2        0        0
 8555 libdatetime-format-dateparse-perl  	       1        6        5        0        0
 8556 libdatetime-format-flexible-perl   	       1       15       14        0        0
 8557 libdatetime-format-sqlite-perl     	       1       15       14        0        0
 8558 libdatetime-hires-perl             	       1        9        8        0        0
 8559 libdatrie-dev                      	       1      450      429       20        0
 8560 libdb5.3++                         	       1       50        1        0       48
 8561 libdbd-ldap-perl                   	       1        1        0        0        0
 8562 libdbd-mysql                       	       1        8        1        0        6
 8563 libdbd-sqlite3-perl                	       1      220        1        0      218
 8564 libdbusmenu-glib-dev               	       1       22       21        0        0
 8565 libdbusmenu-gtk3-dev               	       1        6        5        0        0
 8566 libdbusmenu-lxqt0                  	       1        6        3        0        2
 8567 libdbusmenu-qt2                    	       1       51        0        0       50
 8568 libdebian-dpkgcross-perl           	       1       26       24        1        0
 8569 libdecaf0                          	       1       34        0        0       33
 8570 libdecor-0-dev                     	       1      180      175        4        0
 8571 libdevel-symdump-perl              	       1       47       46        0        0
 8572 libdevmapper-event1.02.1           	       1     1296        4        0     1291
 8573 libdirectfb-dev                    	       1       17       16        0        0
 8574 libdisplay-info-dev                	       1        3        2        0        0
 8575 libdjvulibre-dev                   	       1       82       79        2        0
 8576 libdlm3                            	       1        6        1        0        4
 8577 libdlrestrictions1                 	       1       74        1        0       72
 8578 libdnlib2.1-cil                    	       1       21       20        0        0
 8579 libdockapp3                        	       1       32       10        0       21
 8580 libdqlite0                         	       1        9        6        0        2
 8581 libdtkcore5                        	       1       16        9        0        6
 8582 libdtkgui5                         	       1       16        9        0        6
 8583 libduktape205                      	       1      186        1        0      184
 8584 libdumbnet1                        	       1       61        2        0       58
 8585 libdv4                             	       1     2972        8        0     2963
 8586 libdv4t64                          	       1      224        2        0      221
 8587 libdvbcsa1                         	       1       16        6        0        9
 8588 libdvdcss-dev                      	       1      162      159        2        0
 8589 libdvdcss2                         	       1      235        3        0      231
 8590 libebur128-1                       	       1      406       10        1      394
 8591 libegl-nvidia-legacy-390xx0        	       1       14        2        0       11
 8592 libegl-nvidia-tesla-470-0          	       1       15       10        0        4
 8593 libell-dev                         	       1        2        1        0        0
 8594 libelogind-dev                     	       1       16       14        1        0
 8595 libemeraldengine0                  	       1       11       10        0        0
 8596 libenchant-2-voikko                	       1        9        0        0        8
 8597 libenchant1c2a                     	       1      328        2        0      325
 8598 libepoxy-dev                       	       1      317      303       13        0
 8599 libesmtp6                          	       1       49       19        0       29
 8600 libetpan20t64                      	       1       15        3        0       11
 8601 libevdev-dev                       	       1       80       78        1        0
 8602 libevdev-tools                     	       1        9        8        0        0
 8603 libevent-dev                       	       1      185      183        1        0
 8604 libevent-pthreads-2.1-6            	       1      152        1        0      150
 8605 libex-monkeypatched-perl           	       1        2        1        0        0
 8606 libexif-dev                        	       1      162      159        2        0
 8607 libexporter-easy-perl              	       1        2        1        0        0
 8608 libfbclient2                       	       1      773        4        0      768
 8609 libfcft4                           	       1       41        7        0       33
 8610 libfcft4t64                        	       1       11        0        0       10
 8611 libfcgi-perl                       	       1     1229        2        0     1226
 8612 libfcitx-gclient1                  	       1       27       10        0       16
 8613 libfcitx5-qt1                      	       1       26        6        0       19
 8614 libfcitx5config6                   	       1       26       14        0       11
 8615 libfcitx5core7                     	       1       23       14        0        8
 8616 libfcitx5gclient2                  	       1       19       10        0        8
 8617 libfcitx5utils2                    	       1       28       14        0       13
 8618 libfeedback-0.0-0                  	       1        9        2        0        6
 8619 libfftw3-bin                       	       1      184      179        4        0
 8620 libfftw3-dev                       	       1      179      174        4        0
 8621 libfido2-dev                       	       1        8        7        0        0
 8622 libfile-bom-perl                   	       1        2        1        0        0
 8623 libfile-remove-perl                	       1       37       35        1        0
 8624 libfilter-signatures-perl          	       1        2        1        0        0
 8625 libfl2                             	       1     1413        7        0     1405
 8626 libflac++10                        	       1      512        4        0      507
 8627 libfltk-images1.3                  	       1      285        6        0      278
 8628 libfltk1.3                         	       1      502       10        0      491
 8629 libfm-qt13                         	       1        4        2        0        1
 8630 libfm-qt14                         	       1        5        2        0        2
 8631 libfm-qt6-15                       	       1        6        3        0        2
 8632 libfolks26                         	       1      110        1        0      108
 8633 libfortune-perl                    	       1        3        2        0        0
 8634 libfprint-2-2                      	       1       45       42        2        0
 8635 libfreecell-solver0                	       1       91        6        0       84
 8636 libfreehand-0.1-1                  	       1     2818        5        0     2812
 8637 libfreeimage3                      	       1      274        5        0      268
 8638 libfreerdp-server2-2               	       1      308        1        0      306
 8639 libfreerdp-shadow-subsystem2-2     	       1       19        1        0       17
 8640 libfreerdp-shadow2-2               	       1       19        1        0       17
 8641 libfreetype6-dev                   	       1      447       65        0      381
 8642 libfribidi-dev                     	       1      504      483       20        0
 8643 libfshfs-utils                     	       1        1        0        0        0
 8644 libfsntfs-utils                    	       1       19       18        0        0
 8645 libftdi1                           	       1      162        0        0      161
 8646 libfuture-perl                     	       1      165      161        3        0
 8647 libgamemodeauto0                   	       1       66        0        1       64
 8648 libgbinder                         	       1        7        0        0        6
 8649 libgbm-dev                         	       1      221      213        7        0
 8650 libgcin                            	       1        4        0        0        3
 8651 libgconf2-dev                      	       1       18       17        0        0
 8652 libgcrypt20-dev                    	       1      179      176        2        0
 8653 libgda-5.0-4                       	       1       52        5        0       46
 8654 libgda-5.0-common                  	       1       53       13        0       39
 8655 libgdk-pixbuf-xlib-2.0-0           	       1     1225        8        0     1216
 8656 libgdk-pixbuf-xlib-2.0-dev         	       1      124      122        1        0
 8657 libgdome2-0                        	       1       27        5        0       21
 8658 libgegl-0.4-0t64                   	       1      109        1        0      107
 8659 libgegl-common                     	       1     2648        7        0     2640
 8660 libgeo-metar-perl                  	       1        4        3        0        0
 8661 libges-1.0-0                       	       1      421        2        0      418
 8662 libgif-dev                         	       1      130      126        3        0
 8663 libgimp-3.0-0                      	       1       35        0        0       34
 8664 libgirepository1.0-dev             	       1      111       99        2        9
 8665 libgit2-1.1                        	       1      180       10        0      169
 8666 libgit2-27                         	       1       46        0        0       45
 8667 libgit2-dev                        	       1       24       22        1        0
 8668 libgitlab-api-v4-perl              	       1      197      194        2        0
 8669 libgles2-mesa-dev                  	       1       73       16        0       56
 8670 libglib2.0-tests                   	       1        5        3        1        0
 8671 libglibmm-2.4-dev                  	       1       66       65        0        0
 8672 libglibmm-2.68-dev                 	       1       10        9        0        0
 8673 libglibmm-2.68-doc                 	       1        2        1        0        0
 8674 libglibutil                        	       1        7        0        0        6
 8675 libglut-dev                        	       1      196      190        5        0
 8676 libglx-nvidia-legacy-390xx0        	       1       14        4        0        9
 8677 libglx-nvidia-tesla-470-0          	       1       17        9        0        7
 8678 libgmime-3.0-0t64                  	       1       15        2        0       12
 8679 libgmobile-common                  	       1        3        2        0        0
 8680 libgmobile0                        	       1        3        0        0        2
 8681 libgnome-bg-4-2t64                 	       1       21        4        0       16
 8682 libgnome-desktop-3-20t64           	       1       81        7        0       73
 8683 libgnome-desktop-4-2t64            	       1       35        4        0       30
 8684 libgnome-panel0                    	       1       73        4        1       67
 8685 libgnome2-bin                      	       1       76       74        1        0
 8686 libgnt0                            	       1       10        0        0        9
 8687 libgnupg-interface-perl            	       1       18       16        1        0
 8688 libgnustep-base1.28                	       1      548        2        0      545
 8689 libgnustep-gui0.29                 	       1       22        2        0       19
 8690 libgnutls-deb0-28                  	       1      187        3        0      183
 8691 libgom-1.0-0t64                    	       1       28        0        0       27
 8692 libgoocanvas2-perl                 	       1       62       61        0        0
 8693 libgooglepinyin0                   	       1        6        0        0        5
 8694 libgpaste-2                        	       1        4        3        0        0
 8695 libgphoto2-l10n                    	       1     3106        0        0     3105
 8696 libgpm-dev                         	       1       27       26        0        0
 8697 libgpod4t64                        	       1       49        2        0       46
 8698 libgrantlee-core0                  	       1        4        3        0        0
 8699 libgrantlee-textdocument5          	       1       46        0        0       45
 8700 libgraphics-colorutils-perl        	       1        2        1        0        0
 8701 libgraphite2-dev                   	       1      526      505       20        0
 8702 libgrpc++-dev                      	       1        5        4        0        0
 8703 libgrpc-dev                        	       1        6        5        0        0
 8704 libgs10                            	       1     2716       10        0     2705
 8705 libgsettings-qt1                   	       1      536        9        0      526
 8706 libgsl27                           	       1      726        9        0      716
 8707 libgslcblas0                       	       1     1039       10        0     1028
 8708 libgsoap-kopano-2.8.109            	       1        1        0        0        0
 8709 libgsound0t64                      	       1       47        1        1       44
 8710 libgssapi3-heimdal                 	       1       42        1        0       40
 8711 libgssdp-1.6-0                     	       1     2415        7        0     2407
 8712 libgtest-dev                       	       1       32       30        1        0
 8713 libgtk2.0-bin                      	       1     3253       48        1     3203
 8714 libgtk3-imageview-perl             	       1       61       60        0        0
 8715 libgtk3-simplelist-perl            	       1       60       59        0        0
 8716 libgtkmm-2.4-1v5                   	       1      362        1        0      360
 8717 libgtkmm-3.0-dev                   	       1       34       33        0        0
 8718 libguard-perl                      	       1      167        0        0      166
 8719 libgudev-1.0-dev                   	       1      103      102        0        0
 8720 libguestfs-tools                   	       1       94       89        4        0
 8721 libgupnp-1.6-0                     	       1     2413        7        0     2405
 8722 libgupnp-av-1.0-3                  	       1      327        2        0      324
 8723 libgupnp-dlna-2.0-4                	       1      326        2        0      323
 8724 libgwengui-gtk3-79                 	       1       86        4        0       81
 8725 libgwenhywfar-data                 	       1      114        2        0      111
 8726 libgwenhywfar79                    	       1      100        4        0       95
 8727 libgxps2                           	       1     2384        0        0     2383
 8728 libh2o-evloop0.13                  	       1        3        0        0        2
 8729 libhamlib4                         	       1       70       68        1        0
 8730 libhangul1                         	       1        9        2        0        6
 8731 libhdhomerun4                      	       1        8        1        0        6
 8732 libheif-plugin-x265                	       1      258        1        0      256
 8733 libheimntlm0-heimdal               	       1       43        2        0       40
 8734 libhidapi-libusb0                  	       1      268        6        1      260
 8735 libhiredis1.1.0                    	       1       32        8        0       23
 8736 libhogweed2                        	       1      183        3        0      179
 8737 libhtml-restrict-perl              	       1        2        1        0        0
 8738 libhttp-parser-dev                 	       1       25       23        1        0
 8739 libhttp-parser2.8                  	       1       55        0        0       54
 8740 libhttp-parser2.9                  	       1      891       20        0      870
 8741 libhttp-tiny-multipart-perl        	       1      197      194        2        0
 8742 libhx509-5-heimdal                 	       1       78        2        0       75
 8743 libib-util                         	       1      723        2        0      720
 8744 libiberty-dev                      	       1       37       36        0        0
 8745 libibumad3                         	       1       58        0        0       57
 8746 libibus-1.0-dev                    	       1      231      224        6        0
 8747 libibverbs-dev                     	       1      137      136        0        0
 8748 libical-dev                        	       1       27       26        0        0
 8749 libicapapi5                        	       1        4        0        0        3
 8750 libicns1                           	       1       30        2        0       27
 8751 libicu52                           	       1      226        1        0      224
 8752 libicu57                           	       1      297        6        0      290
 8753 libident                           	       1       10        9        0        0
 8754 libidn-dev                         	       1       52       50        1        0
 8755 libidn2-dev                        	       1      251      244        6        0
 8756 libiio0                            	       1       42       40        0        1
 8757 libijs-0.35                        	       1     3574       13        0     3560
 8758 libimage-base-bundle-perl          	       1       33       32        0        0
 8759 libimage-info-perl                 	       1       33       32        0        0
 8760 libimage-png-libpng-perl           	       1       72       71        0        0
 8761 libimage-size-perl                 	       1       32       31        0        0
 8762 libimager-perl                     	       1        4        3        0        0
 8763 libimath-dev                       	       1       97       94        2        0
 8764 libiniparser1                      	       1       86        0        1       84
 8765 libinput-dev                       	       1       64       63        0        0
 8766 libinput-tools                     	       1       40       39        0        0
 8767 libio-compress-lzma-perl           	       1       26       25        0        0
 8768 libio-compress-perl                	       1       28       27        0        0
 8769 libio-prompter-perl                	       1      200      197        2        0
 8770 libio-socket-timeout-perl          	       1       16       15        0        0
 8771 libiodbc2                          	       1       47        1        0       45
 8772 libiptables-chainmgr-perl          	       1        3        2        0        0
 8773 libiptables-parse-perl             	       1        4        3        0        0
 8774 libiscsi7                          	       1      471        2        0      468
 8775 libjack-jackd2-dev                 	       1       91       90        0        0
 8776 libjansson-dev                     	       1       85       83        1        0
 8777 libjbig2dec0                       	       1     3573       15        0     3557
 8778 libjson-c-dev                      	       1       73       70        2        0
 8779 libjsoncpp26                       	       1       59        2        0       56
 8780 libjsonparser1.1                   	       1       39        4        0       34
 8781 libjurt-java                       	       1      398      382       15        0
 8782 libjwt2                            	       1        1        0        0        0
 8783 libjxl-gdk-pixbuf                  	       1       43        0        0       42
 8784 libjxl0.8                          	       1        8        1        0        6
 8785 libjxr0                            	       1     2934        5        0     2928
 8786 libk3b3-extracodecs-trinity        	       1       16       15        0        0
 8787 libk3b3-trinity                    	       1       19       18        0        0
 8788 libk3b6                            	       1       15       14        0        0
 8789 libk3b6-extracodecs                	       1       15       14        0        0
 8790 libkabc4                           	       1       31       30        0        0
 8791 libkactivities-bin                 	       1        6        5        0        0
 8792 libkadm5clnt-mit12                 	       1      192        2        0      189
 8793 libkcal4                           	       1        6        5        0        0
 8794 libkcarchiver0                     	       1        1        0        0        0
 8795 libkcarchivercore0                 	       1        1        0        0        0
 8796 libkcddb1-trinity                  	       1       33       32        0        0
 8797 libkcfreebusy0                     	       1        1        0        0        0
 8798 libkcicalmapi0                     	       1        1        0        0        0
 8799 libkcinetmapi0                     	       1        1        0        0        0
 8800 libkcmutils4                       	       1       48        1        0       46
 8801 libkcoidc0                         	       1        1        0        0        0
 8802 libkcolorpicker-qt6-0              	       1       16        0        0       15
 8803 libkcolorpicker0                   	       1      527       10        0      516
 8804 libkcrosie0                        	       1        1        0        0        0
 8805 libkcserver0                       	       1        1        0        0        0
 8806 libkcsoap0                         	       1        1        0        0        0
 8807 libkcutil0                         	       1        1        0        0        0
 8808 libkdcrawqt6-5                     	       1       18        0        0       17
 8809 libkdepim4                         	       1        4        1        0        2
 8810 libkdesu5                          	       1       45       44        0        0
 8811 libkdeui5                          	       1       49        1        0       47
 8812 libkdewebkit5                      	       1       48        1        0       46
 8813 libkdnssd4                         	       1       46        1        0       44
 8814 libkdsoap1                         	       1      623        1        0      621
 8815 libkf5coreaddons-dev-bin           	       1       96       91        4        0
 8816 libkf5dav-data                     	       1      468        1        0      466
 8817 libkf5declarative-data             	       1     1112        7        1     1103
 8818 libkf5emoticons5                   	       1       52        1        0       50
 8819 libkf5eventviews-data              	       1      487        3        0      483
 8820 libkf5filemetadata-bin             	       1      788        5        0      782
 8821 libkf5imap-data                    	       1      489        7        0      481
 8822 libkf5kcmutils-data                	       1     1084        5        0     1078
 8823 libkf5kdegames7                    	       1      155       10        0      144
 8824 libkf5kiontlm5                     	       1     1189       23        7     1158
 8825 libkf5kmanagesieve5                	       1      475       12        0      462
 8826 libkf5ksieve-data                  	       1      480        8        0      471
 8827 libkf5ksieve5                      	       1      480       12        0      467
 8828 libkf5ksieveui5                    	       1      473       12        0      460
 8829 libkf5mailcommon-data              	       1      474       10        0      463
 8830 libkf5mailtransport-data           	       1      514        8        0      505
 8831 libkf5pulseaudioqt2                	       1      132        5        0      126
 8832 libkf5sysguard-bin                 	       1      122      108        2       11
 8833 libkf5tnef5                        	       1      472        4        0      467
 8834 libkf6config-bin                   	       1       80       72        7        0
 8835 libkf6dbusaddons-bin               	       1       74       66        7        0
 8836 libkf6dnssd6                       	       1       17        0        0       16
 8837 libkf6filemetadata-bin             	       1       33        0        0       32
 8838 libkf6guiaddons-bin                	       1       74       66        7        0
 8839 libkf6iconthemes-bin               	       1       62       55        6        0
 8840 libkf6kcmutils-bin                 	       1       49       41        7        0
 8841 libkf6konq7                        	       1       13        0        1       11
 8842 libkf6konqsettings7                	       1       13        0        1       11
 8843 libkf6qqc2desktopstyle-data        	       1       36        7        1       27
 8844 libkf6su6                          	       1       24        0        0       23
 8845 libkf6syntaxhighlighting-data      	       1       32        0        1       30
 8846 libkf6threadweaver6                	       1       21        1        0       19
 8847 libkf6wallet-data                  	       1       81       11        1       68
 8848 libkfile4                          	       1       47       46        0        0
 8849 libkfilemetadata4                  	       1        5        4        0        0
 8850 libkholidays4                      	       1        7        6        0        0
 8851 libkimageannotator-common          	       1      561        2        0      558
 8852 libkimageannotator-qt6-0           	       1       16        0        0       15
 8853 libkimageannotator0                	       1      522       10        0      511
 8854 libkio5                            	       1       48        1        0       46
 8855 libkmime-data                      	       1        9        1        1        6
 8856 libkmod-dev                        	       1        7        6        0        0
 8857 libknet1                           	       1        7        6        0        0
 8858 libknewstuff3-4                    	       1       48        1        0       46
 8859 libkonq-common                     	       1       18       17        0        0
 8860 libkparts4                         	       1       48        1        0       46
 8861 libkpim6akonadiagentbase6          	       1        6        0        0        5
 8862 libkpim6akonadisearchcore6         	       1        6        0        0        5
 8863 libkpim6akonadisearchxapian6       	       1        6        0        0        5
 8864 libkpim6mailcommon6                	       1        6        0        0        5
 8865 libkpim6mailimporter6              	       1        6        0        0        5
 8866 libkpim6messagelist6               	       1        6        0        0        5
 8867 libkpimexchange1-trinity           	       1       28       27        0        0
 8868 libkpimitinerary5                  	       1      460        5        0      454
 8869 libkpimpkpass5                     	       1      463        5        0      457
 8870 libkpimsmtp5abi1                   	       1      485       13        0      471
 8871 libkrb5-26-heimdal                 	       1       78        2        0       75
 8872 libkresources4                     	       1       31       30        0        0
 8873 libksgrd9                          	       1      509       11        0      497
 8874 libksysguard-data                  	       1       23        1        0       21
 8875 libksysguardsensorfaces2           	       1       22        1        1       19
 8876 libksysguardsensors2               	       1       22        1        1       19
 8877 libksysguardsystemstats2           	       1       22        1        1       19
 8878 libkuserfeedback-l10n              	       1       42       12        0       29
 8879 liblchown-perl                     	       1       50        3        0       46
 8880 liblcms2-dev                       	       1      179      176        2        0
 8881 liblcomp1                          	       1        2        0        0        1
 8882 liblcomp1-dev                      	       1        2        1        0        0
 8883 libleptonica-dev                   	       1       34       33        0        0
 8884 liblibreofficekitgtk               	       1       63       61        1        0
 8885 libliftoff0                        	       1       52        2        0       49
 8886 liblime0                           	       1       24        0        0       23
 8887 liblinear-tools                    	       1       38       37        0        0
 8888 liblingua-en-inflect-perl          	       1       55       53        1        0
 8889 liblinux-lvm-perl                  	       1       18       17        0        0
 8890 liblirc-dev                        	       1       23       22        0        0
 8891 liblldb-14                         	       1       10        9        0        0
 8892 libllvm13.0.50002-amdgpu           	       1        1        0        0        0
 8893 libllvm17                          	       1        8        4        0        3
 8894 liblnk-utils                       	       1        3        2        0        0
 8895 liblo7                             	       1      197        6        0      190
 8896 liblocale-codes-perl               	       1       56       55        0        0
 8897 liblockfile-simple-perl            	       1       15       14        0        0
 8898 liblog-any-adapter-screen-perl     	       1      207      204        2        0
 8899 liblog4cplus-2.0.5t64              	       1       16        2        0       13
 8900 liblqr-1-0-dev                     	       1       77       74        2        0
 8901 liblrdf0                           	       1     2369        7        0     2361
 8902 liblrmd28                          	       1        7        6        0        0
 8903 liblttng-ust-common1t64            	       1       17        5        0       11
 8904 liblttng-ust1t64                   	       1       17        5        0       11
 8905 liblua5.3-dev                      	       1       35       34        0        0
 8906 liblucene2-java                    	       1        8        7        0        0
 8907 libluksmeta0                       	       1       10        1        0        8
 8908 liblvm2cmd2.03                     	       1     1195        3        0     1191
 8909 liblwp-authen-oauth-perl           	       1        2        1        0        0
 8910 liblwp-authen-oauth2-perl          	       1        2        1        0        0
 8911 liblwp-online-perl                 	       1        4        3        0        0
 8912 liblxc-common                      	       1       68       65        2        0
 8913 liblxc1t64                         	       1        9        1        0        7
 8914 liblxqt-globalkeys-ui2             	       1        5        3        0        1
 8915 liblxqt-globalkeys2                	       1        6        3        0        2
 8916 liblxqt2                           	       1        7        3        0        3
 8917 liblz-dev                          	       1       17       16        0        0
 8918 liblz4-dev                         	       1       95       89        5        0
 8919 libmad0-dev                        	       1      151      146        4        0
 8920 libmagick++-6-headers              	       1       44       42        1        0
 8921 libmagick++-6.q16-8                	       1      692        4        0      687
 8922 libmagickcore-6-arch-config        	       1       75       73        1        0
 8923 libmagickcore-6-headers            	       1       77       75        1        0
 8924 libmagickwand-6-headers            	       1       63       61        1        0
 8925 libmail-box-perl                   	       1       31       30        0        0
 8926 libmail-imapclient-perl            	       1       41       40        0        0
 8927 libmail-message-perl               	       1       30       28        1        0
 8928 libmail-pop3client-perl            	       1        4        3        0        0
 8929 libmail-rbl-perl                   	       1        1        0        0        0
 8930 libmail-srs-perl                   	       1        4        3        0        0
 8931 libmailtransport4                  	       1        7        6        0        0
 8932 libmaliit-plugins2                 	       1        1        0        0        0
 8933 libmapcache1                       	       1        3        2        0        0
 8934 libmapi1                           	       1        1        0        0        0
 8935 libmapserver2                      	       1        9        0        0        8
 8936 libmarc-file-mij-perl              	       1        2        1        0        0
 8937 libmate-slab0                      	       1      494        8        1      484
 8938 libmateweather1t64                 	       1       19        9        1        8
 8939 libmath-bigint-perl                	       1       31       30        0        0
 8940 libmath-round-perl                 	       1       16       15        0        0
 8941 libmatio11                         	       1       34        0        0       33
 8942 libmbedtls-dev                     	       1       52       50        1        0
 8943 libmbedtls21                       	       1       55        0        0       54
 8944 libmbedx509-7                      	       1       55        0        0       54
 8945 libmediaart-2.0-0                  	       1      491        7        0      483
 8946 libmediastreamer11                 	       1        7        1        0        5
 8947 libmediastreamer12                 	       1       23        0        0       22
 8948 libmessageviewer4                  	       1        4        3        0        0
 8949 libmetacity3                       	       1       85        4        1       79
 8950 libmicrodns1                       	       1       62        2        0       59
 8951 libmicrohttpd12t64                 	       1       21        2        0       18
 8952 libmikmod-config                   	       1       16        6        0        9
 8953 libminiupnpc18                     	       1       64        8        0       55
 8954 libmirisdr0                        	       1       41       40        0        0
 8955 libmirisdr4                        	       1       10        8        1        0
 8956 libmnl-dev                         	       1       20       19        0        0
 8957 libmodule-bundled-files-perl       	       1        2        1        0        0
 8958 libmodule-cpanfile-perl            	       1       42       41        0        0
 8959 libmodule-load-conditional-perl    	       1       36       35        0        0
 8960 libmodule-manifest-skip-perl       	       1        5        4        0        0
 8961 libmojolicious-plugin-oauth2-perl  	       1        1        0        0        0
 8962 libmojolicious-plugin-renderfile-perl	       1        2        1        0        0
 8963 libmono-cairo4.0-cil               	       1      161      156        4        0
 8964 libmono-corlib4.5-cil              	       1      318       50        0      267
 8965 libmono-ldap4.0-cil                	       1      126      123        2        0
 8966 libmono-system-componentmodel-dataannotations4.0-cil	       1      126      123        2        0
 8967 libmono-system-design4.0-cil       	       1      126      123        2        0
 8968 libmono-system-enterpriseservices4.0-cil	       1      201      196        4        0
 8969 libmono-system-ldap4.0-cil         	       1      126      123        2        0
 8970 libmono-system-net-http-webrequest4.0-cil	       1       95       92        2        0
 8971 libmono-system-net-http4.0-cil     	       1       96       93        2        0
 8972 libmono-system-runtime-serialization-formatters-soap4.0-cil	       1      197      192        4        0
 8973 libmono-system-runtime4.0-cil      	       1      114      111        2        0
 8974 libmono-system-web-applicationservices4.0-cil	       1      127      124        2        0
 8975 libmono-system-xml-linq4.0-cil     	       1      109      106        2        0
 8976 libmono-webbrowser4.0-cil          	       1      197      192        4        0
 8977 libmono-windowsbase4.0-cil         	       1       95       92        2        0
 8978 libmono-zeroconf1.0-cil            	       1        9        8        0        0
 8979 libmoosex-getopt-perl              	       1       10        8        1        0
 8980 libmoosex-role-parameterized-perl  	       1       15       14        0        0
 8981 libmoosex-singleton-perl           	       1        4        3        0        0
 8982 libmoox-late-perl                  	       1       18       16        1        0
 8983 libmosquitto1                      	       1       79        2        0       76
 8984 libmozjs-115-0t64                  	       1       29        8        1       19
 8985 libmozjs-128-0                     	       1       34        3        0       30
 8986 libmp3lame-dev                     	       1       54       53        0        0
 8987 libmp3splt                         	       1       26       25        0        0
 8988 libmpdec2                          	       1      568        0        0      567
 8989 libmpg123-dev                      	       1      132      130        1        0
 8990 libmpich-dev                       	       1       18       17        0        0
 8991 libmsgpack-c2                      	       1       21        1        0       19
 8992 libmspub-0.1-1                     	       1     2813        5        0     2807
 8993 libmuffin0t64                      	       1       25        7        1       16
 8994 libmunge-dev                       	       1        1        0        0        0
 8995 libmutter-15-0                     	       1       10        0        0        9
 8996 libmxml-bin                        	       1       40       39        0        0
 8997 libmysqlclient18                   	       1       75        2        0       72
 8998 libmyth-33                         	       1        3        0        0        2
 8999 libmythavcodec59                   	       1        6        3        0        2
 9000 libmythavfilter8                   	       1        6        3        0        2
 9001 libmythavformat59                  	       1        6        3        0        2
 9002 libmythavutil57                    	       1        6        3        0        2
 9003 libmythexiv2-0.28-33               	       1        3        0        0        2
 9004 libmythpostproc56                  	       1        6        3        0        2
 9005 libmythswresample4                 	       1        6        3        0        2
 9006 libmythswscale6                    	       1        6        3        0        2
 9007 libnatpmp1t64                      	       1       56        5        0       50
 9008 libnautilus-extension4             	       1      448        7        2      438
 9009 libncursesw5                       	       1      813        0        0      812
 9010 libneon27                          	       1     2175        7        0     2167
 9011 libneon27t64                       	       1      197        1        0      195
 9012 libnet-daemon-perl                 	       1       30       28        1        0
 9013 libnet-oauth-perl                  	       1       21       20        0        0
 9014 libnet-oauth2-authorizationserver-perl	       1        2        1        0        0
 9015 libnet-sftp-foreign-perl           	       1       17       16        0        0
 9016 libnet-smtp-tls-perl               	       1       16       15        0        0
 9017 libnet-smtpauth-perl               	       1       14       13        0        0
 9018 libnet-ssh-perl                    	       1        5        4        0        0
 9019 libnet-xmpp-perl                   	       1       10        9        0        0
 9020 libnetpbm10                        	       1      688        0        0      687
 9021 libnetsnmptrapd40                  	       1       36        0        0       35
 9022 libnettle4                         	       1      185        3        0      181
 9023 libnewt-dev                        	       1       38       37        0        0
 9024 libnewt0.52                        	       1     4132        8        0     4123
 9025 libnfc6                            	       1       30       29        0        0
 9026 libnfsidmap-dev                    	       1        2        1        0        0
 9027 libnginx-mod-http-cache-purge      	       1        6        5        0        0
 9028 libnginx-mod-http-fancyindex       	       1        9        8        0        0
 9029 libnginx-mod-http-lua              	       1        6        5        0        0
 9030 libnginx-mod-http-ndk              	       1        7        6        0        0
 9031 libnginx-mod-http-perl             	       1        6        5        0        0
 9032 libnginx-mod-http-uploadprogress   	       1        6        5        0        0
 9033 libnginx-mod-nchan                 	       1        6        5        0        0
 9034 libnginx-mod-rtmp                  	       1        2        1        0        0
 9035 libnginx-mod-stream-js             	       1        1        0        0        0
 9036 libnl-3-dev                        	       1      155      153        1        0
 9037 libnozzle1                         	       1        7        6        0        0
 9038 libnpupnp9                         	       1        3        1        0        1
 9039 libnspr4-dev                       	       1       80       79        0        0
 9040 libnss-libvirt                     	       1       10        1        0        8
 9041 libnss-tls                         	       1        3        0        1        1
 9042 libnss3-dev                        	       1       74       73        0        0
 9043 libntfs-3g883                      	       1      692       10        0      681
 9044 libntfs-3g89t64                    	       1      216        6        0      209
 9045 libnuma-dev                        	       1      186      183        2        0
 9046 libnvidia-legacy-340xx-cfg1        	       1        9        0        0        8
 9047 libnvidia-legacy-340xx-glcore      	       1       13        4        0        8
 9048 libnvidia-legacy-390xx-cuda1       	       1       12        1        0       10
 9049 libnvidia-legacy-390xx-eglcore     	       1       16        2        0       13
 9050 libnvidia-legacy-390xx-fatbinaryloader	       1       14        1        0       12
 9051 libnvidia-legacy-390xx-glcore      	       1       16        4        0       11
 9052 libnvidia-rtcore                   	       1      155        0        0      154
 9053 libnvidia-tesla-470-cfg1           	       1       16        5        0       10
 9054 libnvidia-tesla-470-eglcore        	       1       17       11        0        5
 9055 libnvidia-tesla-470-glcore         	       1       17       11        0        5
 9056 libnvme1                           	       1       73       13        0       59
 9057 liboar-perl                        	       1        1        0        0        0
 9058 liboath0                           	       1       80        1        0       78
 9059 libobasis7.5-pyuno                 	       1        5        3        0        1
 9060 libobjc4                           	       1     1051        2        0     1048
 9061 libobject-realize-later-perl       	       1       31       29        1        0
 9062 libobs0t64                         	       1       18        0        0       17
 9063 libocct-data-exchange-7.6          	       1      139        3        0      135
 9064 libocct-foundation-7.6             	       1      139        3        0      135
 9065 libocct-modeling-algorithms-7.6    	       1      139        3        0      135
 9066 libocct-modeling-data-7.6          	       1      139        3        0      135
 9067 libocct-ocaf-7.6                   	       1      139        3        0      135
 9068 libocct-visualization-7.6          	       1      139        3        0      135
 9069 liboctave-dev                      	       1       11        5        0        5
 9070 libofx7                            	       1      106        4        0      101
 9071 libokular6core3                    	       1       16        1        0       14
 9072 libomemo0                          	       1        7        2        0        4
 9073 libomp-16-dev                      	       1        1        0        0        0
 9074 libomp5-16t64                      	       1        1        0        0        0
 9075 liboop4                            	       1        2        0        0        1
 9076 libopencsd-dev                     	       1       18       17        0        0
 9077 libopendmarc2                      	       1        2        1        0        0
 9078 libopenexr-dev                     	       1      124      121        2        0
 9079 libopenexr23                       	       1      221        0        1      219
 9080 libopenjp2-7-dev                   	       1      158      152        5        0
 9081 libopenobex2-dev                   	       1        2        1        0        0
 9082 libopenoffice-oodoc-perl           	       1        7        6        0        0
 9083 libopenr2-3                        	       1        2        1        0        0
 9084 libopenslide0                      	       1       21        0        0       20
 9085 libopensm9                         	       1        4        0        0        3
 9086 libopusenc0                        	       1       71        0        0       70
 9087 libortp15                          	       1        7        1        0        5
 9088 libortp16                          	       1       29        0        0       28
 9089 libosmcomp5                        	       1        4        0        0        3
 9090 libosmvendor5                      	       1        4        0        0        3
 9091 libosp5                            	       1      324        5        0      318
 9092 libossp-uuid-perl                  	       1      158        2        0      155
 9093 libossp-uuid16                     	       1      221        2        0      218
 9094 libotf-dev                         	       1       10        9        0        0
 9095 liboxygenstyle5-6                  	       1        4        0        0        3
 9096 liboxygenstyle6-6                  	       1       20        2        0       17
 9097 liboxygenstyleconfig5-5            	       1      531        5        0      525
 9098 libp11-kit-dev                     	       1      268      260        7        0
 9099 libpacemaker1                      	       1        7        6        0        0
 9100 libpagemaker-0.0-0                 	       1     2805        5        0     2799
 9101 libpam-afs-session                 	       1        2        1        0        0
 9102 libpam-fprintd                     	       1       39        8        0       30
 9103 libpam-fscrypt                     	       1        1        0        0        0
 9104 libpam-ldap                        	       1        9        1        0        7
 9105 libpam-passwdqc                    	       1        4        1        0        2
 9106 libpam-shield                      	       1        3        2        0        0
 9107 libpam-ssh-agent-auth              	       1        3        0        0        2
 9108 libpangomm-1.4-dev                 	       1       48       47        0        0
 9109 libpangox-1.0-0                    	       1      290        2        0      287
 9110 libparse-edid-perl                 	       1       11       10        0        0
 9111 libparse-win32registry-perl        	       1       18       17        0        0
 9112 libpasswdqc1                       	       1        6        1        0        4
 9113 libpcap0.8-dev                     	       1       98       96        1        0
 9114 libpdf-api2-perl                   	       1       47       46        0        0
 9115 libpdf-builder-perl                	       1       46       45        0        0
 9116 libpe-rules26                      	       1        7        6        0        0
 9117 libpe-status28                     	       1        7        6        0        0
 9118 libpeas-2-0                        	       1        2        0        0        1
 9119 libperl-critic-perl                	       1       29       27        1        0
 9120 libperl5.30                        	       1        9        8        0        0
 9121 libperl5.34                        	       1       17       16        0        0
 9122 libperlio-utf8-strict-perl         	       1      625        1        0      623
 9123 libperlio-via-timeout-perl         	       1       16       15        0        0
 9124 libperlx-maybe-perl                	       1        5        4        0        0
 9125 libphonon4                         	       1       62        0        0       61
 9126 libphonon4qt5-4t64                 	       1       61       19        0       41
 9127 libphonon4qt5experimental-dev      	       1        6        4        0        1
 9128 libphp-adodb                       	       1       11       10        0        0
 9129 libphysfs-dev                      	       1       20       19        0        0
 9130 libpils2                           	       1       14        6        0        7
 9131 libpipewire-0.3-modules-x11        	       1        5        2        0        2
 9132 libpkcs11-dnie                     	       1        6        4        0        1
 9133 libplacebo338                      	       1       50        3        0       46
 9134 libplank-common                    	       1       51       21        0       29
 9135 libplasma3                         	       1       46        1        0       44
 9136 libpng12-0                         	       1      186        3        0      182
 9137 libpocl2-common                    	       1       55       53        1        0
 9138 libpoco-dev                        	       1        8        7        0        0
 9139 libpod-latex-perl                  	       1       80       78        1        0
 9140 libpod-parser-perl                 	       1      409      399        9        0
 9141 libpod-spell-perl                  	       1       29       27        1        0
 9142 libpolkit-backend-consolekit-1-0   	       1      198        2        0      195
 9143 libpopt-dev                        	       1       62       60        1        0
 9144 libpostproc-dev                    	       1       88       86        1        0
 9145 libpotrace0                        	       1      903        4        0      898
 9146 libpowerdevilui5                   	       1      533        3        1      528
 9147 libppi-perl                        	       1       33       30        2        0
 9148 libppix-quotelike-perl             	       1       28       26        1        0
 9149 libppix-regexp-perl                	       1       29       27        1        0
 9150 libppix-utilities-perl             	       1       29       27        1        0
 9151 libpri1.4                          	       1        2        1        0        0
 9152 libprintsys                        	       1        7        6        0        0
 9153 libproc-daemon-perl                	       1       17       16        0        0
 9154 libproc-pid-file-perl              	       1        3        2        0        0
 9155 libprojectm3                       	       1       17        0        0       16
 9156 libprotobuf-dev                    	       1       80       78        1        0
 9157 libprotoc-dev                      	       1       11       10        0        0
 9158 libpurple-bin                      	       1      395      367       27        0
 9159 libpython-dev                      	       1       76       75        0        0
 9160 libpython2.7                       	       1      503        5        0      497
 9161 libpython2.7-dev                   	       1      133      132        0        0
 9162 libpython3.10-minimal              	       1       30       29        0        0
 9163 libpython3.10-stdlib               	       1       30       29        0        0
 9164 libpython3.12t64                   	       1      194       15        0      178
 9165 libpython3.4-minimal               	       1       34       32        1        0
 9166 libpython3.4-stdlib                	       1       34       32        1        0
 9167 libpython3.9-dbg                   	       1        7        6        0        0
 9168 libqalculate-data                  	       1      506        1        0      504
 9169 libqapt3-runtime                   	       1       44       42        1        0
 9170 libqca2                            	       1       49        0        0       48
 9171 libqglviewer2-qt5                  	       1        9        0        0        8
 9172 libqscintilla2-qt5-13              	       1       22        0        0       21
 9173 libqscintilla2-qt5-15              	       1      270        7        0      262
 9174 libqt4-declarative                 	       1       71        0        0       70
 9175 libqt4-network                     	       1      112        0        0      111
 9176 libqt4-opengl                      	       1       80        0        0       79
 9177 libqt4-script                      	       1       76        0        0       75
 9178 libqt4-sql                         	       1       82        0        0       81
 9179 libqt4-svg                         	       1       96        0        0       95
 9180 libqt4-xml                         	       1      141        0        0      140
 9181 libqt4-xmlpatterns                 	       1       78        0        0       77
 9182 libqt5charts5                      	       1      253        2        1      249
 9183 libqt5ct-common1.8                 	       1       13        8        0        4
 9184 libqt5designer5                    	       1     1327        7        0     1319
 9185 libqt5feedback5                    	       1        7        0        0        6
 9186 libqt5pas1                         	       1       62        3        0       58
 9187 libqt5svg5-dev                     	       1      115      112        2        0
 9188 libqt6concurrent6                  	       1      141        1        0      139
 9189 libqt6pdf6                         	       1       15        2        0       12
 9190 libqt6positioning6-plugins         	       1       31        0        0       30
 9191 libqt6xdg4                         	       1       10        3        0        6
 9192 libqt6xdgiconloader4               	       1       10        3        0        6
 9193 libqtcore4                         	       1      143        0        0      142
 9194 libqtdbus4                         	       1      137        0        0      136
 9195 libqtgui4                          	       1      140        0        0      139
 9196 libqtgui4-perl                     	       1        4        3        0        0
 9197 libqtwebkit4                       	       1       63        0        0       62
 9198 libquazip1-qt5-1t64                	       1        7        0        0        6
 9199 libquazip5-1                       	       1      219        1        0      217
 9200 libquorum5                         	       1       13        6        0        6
 9201 libquvi-scripts                    	       1       28       27        0        0
 9202 libquvi-scripts-0.9                	       1      199      161        4       33
 9203 libqwt-qt5-dev                     	       1       17       16        0        0
 9204 libqxp-0.0-0                       	       1     2743        5        0     2737
 9205 libr1                              	       1       24       16        0        7
 9206 libraft0                           	       1        6        1        0        4
 9207 libraft2                           	       1        9        6        0        2
 9208 libraven0                          	       1        7        0        0        6
 9209 librcc0                            	       1     1036      213        4      818
 9210 librdmacm-dev                      	       1        6        5        0        0
 9211 libre2-10                          	       1       21        4        0       16
 9212 libre2-11                          	       1      129        2        0      126
 9213 libre2-5                           	       1       96        0        0       95
 9214 libre2-dev                         	       1       14       12        1        0
 9215 libreadline7                       	       1      808        1        0      806
 9216 librecad                           	       1      104      102        1        0
 9217 libredis-perl                      	       1       16       15        0        0
 9218 libreoffice-grammalecte            	       1        3        2        0        0
 9219 libreoffice-gtk                    	       1       14       10        1        2
 9220 libreoffice-kde                    	       1        9        8        0        0
 9221 libreoffice-l10n-pt-br             	       1       46       42        3        0
 9222 libreoffice-ogltrans               	       1       49       43        0        5
 9223 libreoffice-style-oxygen           	       1        4        2        0        1
 9224 libreoffice-style-sukapura         	       1       21       20        0        0
 9225 libreoffice-texmaths               	       1       22       20        1        0
 9226 libreoffice-uiconfig-base          	       1       97       92        4        0
 9227 libreoffice-uiconfig-math          	       1      240      220       19        0
 9228 libreoffice-uiconfig-report-builder	       1       77       74        2        0
 9229 libreoffice4.3-debian-menus        	       1        1        0        0        0
 9230 libreoffice5.0-debian-menus        	       1        1        0        0        0
 9231 libreoffice5.1-debian-menus        	       1        2        1        0        0
 9232 libreoffice5.2-debian-menus        	       1        1        0        0        0
 9233 libreoffice6.0-debian-menus        	       1        3        2        0        0
 9234 libreoffice6.2-debian-menus        	       1        2        1        0        0
 9235 libreoffice6.3-debian-menus        	       1        3        2        0        0
 9236 libreoffice7.5-debian-menus        	       1        5        4        0        0
 9237 librhash0                          	       1      642        0        0      641
 9238 librnd3-pixmap                     	       1        9        8        0        0
 9239 libruby3.0                         	       1       21       20        0        0
 9240 librun-parts-perl                  	       1        2        1        0        0
 9241 librust-cc-dev                     	       1       10        9        0        0
 9242 librygel-core-2.8-0                	       1      324        2        0      321
 9243 librygel-db-2.8-0                  	       1      324        2        0      321
 9244 librygel-renderer-2.8-0            	       1      324        2        0      321
 9245 librygel-renderer-gst-2.8-0        	       1      116        2        0      113
 9246 librygel-server-2.8-0              	       1      324        2        0      321
 9247 libsac-java-gcj                    	       1       50       49        0        0
 9248 libsamplerate0-dev                 	       1      218      213        4        0
 9249 libsanlock-client1                 	       1       25        0        0       24
 9250 libsasl2-module-xoauth2            	       1        1        0        0        0
 9251 libsasl2-modules-gssapi-heimdal    	       1        3        1        0        1
 9252 libsasl2-modules-sql               	       1        4        0        0        3
 9253 libsass-dev                        	       1       18       16        1        0
 9254 libsass1                           	       1      131        1        0      129
 9255 libsaxon-java                      	       1      109      106        2        0
 9256 libsbc-dev                         	       1       13       12        0        0
 9257 libscim8v5                         	       1      596        2        0      593
 9258 libscitokens-dev                   	       1        1        0        0        0
 9259 libsdl-mixer1.2-dev                	       1       98       93        4        0
 9260 libsdl2-image-2.0-0                	       1      487        4        0      482
 9261 libsdl2-net-dev                    	       1       29       28        0        0
 9262 libsearpc-dev                      	       1        1        0        0        0
 9263 libseat-dev                        	       1        4        3        0        0
 9264 libseccomp-dev                     	       1       22       21        0        0
 9265 libsemanage2                       	       1     3038        4        0     3033
 9266 libsensors-dev                     	       1       40       39        0        0
 9267 libsepol2                          	       1     3043        4        0     3038
 9268 libset-intspan-perl                	       1      197      194        2        0
 9269 libshout-dev                       	       1       17       16        0        0
 9270 libsidutils0                       	       1     1040        1        0     1038
 9271 libsigc++-2.0-dev                  	       1       82       80        1        0
 9272 libsigc++-3.0-dev                  	       1       12       11        0        0
 9273 libsmi2ldbl                        	       1      348        1        0      346
 9274 libsnapd-glib-2-1                  	       1      325        4        3      317
 9275 libsnapd-qt-2-1                    	       1       10        0        0        9
 9276 libsndfile1-dev                    	       1      121      119        1        0
 9277 libsndio-dev                       	       1      234      229        4        0
 9278 libsnmp-perl                       	       1       33        1        0       31
 9279 libsoapysdr0.8                     	       1       51        2        0       48
 9280 libsofia-sip-ua-glib3t64           	       1        1        0        0        0
 9281 libsofia-sip-ua0t64                	       1        1        0        0        0
 9282 libsolid4                          	       1       48        1        0       46
 9283 libsource-highlight4v5             	       1      528        3        0      524
 9284 libspandsp2t64                     	       1      203        1        0      201
 9285 libspdlog1.12                      	       1       51        5        0       45
 9286 libspectre1                        	       1     3244        5        0     3238
 9287 libspeechd-dev                     	       1       13       12        0        0
 9288 libspeex-dev                       	       1       74       73        0        0
 9289 libspnav0                          	       1      330        5        0      324
 9290 libspng0                           	       1        6        0        0        5
 9291 libspreadsheet-parsexlsx-perl      	       1        2        1        0        0
 9292 libspreadsheet-read-perl           	       1        2        1        0        0
 9293 libspreadsheet-readsxc-perl        	       1        2        1        0        0
 9294 libsqlite3-tcl                     	       1       22       21        0        0
 9295 libss7-2.0                         	       1        2        1        0        0
 9296 libssh-dev                         	       1       34       33        0        0
 9297 libssh2-1-dev                      	       1      104      100        3        0
 9298 libstdc++-4.9-dev                  	       1       56       54        0        1
 9299 libstdc++-8-dev                    	       1      129      128        0        0
 9300 libstfl0                           	       1       44        3        0       40
 9301 libstonithd26                      	       1        7        6        0        0
 9302 libstreamanalyzer0                 	       1        4        3        0        0
 9303 libstring-crc32-perl               	       1      273        3        0      269
 9304 libstring-format-perl              	       1       29       28        0        0
 9305 libstrongswan-extra-plugins        	       1       16       14        1        0
 9306 libstruct-diff-perl                	       1        1        0        0        0
 9307 libsub-handlesvia-perl             	       1       15       13        1        0
 9308 libsubid4                          	       1      119        4        0      114
 9309 libsubunit-dev                     	       1       31       30        0        0
 9310 libsuitesparseconfig7              	       1      212        1        0      210
 9311 libsvm-tools                       	       1       31       30        0        0
 9312 libsvtav1-0                        	       1        5        1        0        3
 9313 libswscale-dev                     	       1      161      157        3        0
 9314 libsys-syscall-perl                	       1        9        8        0        0
 9315 libsysstat-qt5-0                   	       1      246       10        0      235
 9316 libtbbmalloc2                      	       1      531        6        1      523
 9317 libtelepathy-glib0t64              	       1       13        0        0       12
 9318 libtemplate-plugin-dbi-perl        	       1        2        1        0        0
 9319 libtemplate-plugin-json-escape-perl	       1        2        1        0        0
 9320 libtepl-6-2                        	       1      256        4        0      251
 9321 libterm-shell-perl                 	       1        4        3        0        0
 9322 libtermkey1                        	       1      142        6        0      135
 9323 libtext-autoformat-perl            	       1       33       32        0        0
 9324 libtext-diff-perl                  	       1       35       34        0        0
 9325 libtext-levenshtein-damerau-perl   	       1       23       22        0        0
 9326 libtext-multimarkdown-perl         	       1        2        1        0        0
 9327 libtext-reform-perl                	       1       33       32        0        0
 9328 libthai-dev                        	       1      450      429       20        0
 9329 libtheora-bin                      	       1       15       14        0        0
 9330 libtheora-dev                      	       1       76       75        0        0
 9331 libthreadweaver4                   	       1       47        1        0       45
 9332 libtidy5                           	       1       68        0        0       67
 9333 libtie-simple-perl                 	       1       90       86        3        0
 9334 libtiff-opengl                     	       1       16       13        2        0
 9335 libtime-piece-mysql-perl           	       1       18       17        0        0
 9336 libtk-dirselect-perl               	       1       19       18        0        0
 9337 libtk-doubleclick-perl             	       1       19       18        0        0
 9338 libtk-fontdialog-perl              	       1       19       18        0        0
 9339 libtk-histentry-perl               	       1       19       18        0        0
 9340 libtk-pod-perl                     	       1       20       19        0        0
 9341 libtokyocabinet9t64                	       1      111        3        0      107
 9342 libtomlplusplus3t64                	       1        5        0        0        4
 9343 libtommath-dev                     	       1        3        2        0        0
 9344 libtonezone2.0                     	       1        3        1        0        1
 9345 libtorrent-rasterbar10             	       1       57        2        0       54
 9346 libtorrent-rasterbar2.0t64         	       1       32        1        0       30
 9347 libtotem-plparser18                	       1      728       10        0      717
 9348 libtss2-udev                       	       1       34       33        0        0
 9349 libttspico-utils                   	       1        9        8        0        0
 9350 libubootenv-tool                   	       1       52       50        1        0
 9351 libudev-dev                        	       1      393        3        0      389
 9352 libumfpack6                        	       1      132        1        0      130
 9353 libumockdev0                       	       1        7        3        0        3
 9354 libunibilium4                      	       1      143        6        0      136
 9355 libunicode-map8-perl               	       1       14       12        1        0
 9356 libunicode-maputf8-perl            	       1       10        9        0        0
 9357 libunittest++-dev                  	       1       15       14        0        0
 9358 libunoil-java                      	       1      157      156        0        0
 9359 libunwind-14                       	       1       25       24        0        0
 9360 libunwind-16t64                    	       1       21       20        0        0
 9361 libusb-dev                         	       1      120      119        0        0
 9362 libusbguard1                       	       1        6        3        0        2
 9363 libusbmuxd4                        	       1      253        1        0      251
 9364 libutf8-all-perl                   	       1        4        3        0        0
 9365 libuuid-perl                       	       1      247        0        0      246
 9366 libuuid1-dbgsym                    	       1        2        1        0        0
 9367 libv4l-0t64                        	       1      222        4        0      217
 9368 libv4l-dev                         	       1       51       50        0        0
 9369 libv4lconvert0t64                  	       1      222        4        0      217
 9370 libva-amdgpu-drm2                  	       1        3        0        0        2
 9371 libva-amdgpu-x11-2                 	       1        3        0        0        2
 9372 libva-glx2                         	       1      386        3        0      382
 9373 libva2-amdgpu                      	       1        3        0        0        2
 9374 libvdpau-dev                       	       1       77       74        2        0
 9375 libvdpau1-amdgpu                   	       1        2        0        0        1
 9376 libvips42                          	       1       12        0        0       11
 9377 libvirt-daemon-driver-storage-iscsi-direct	       1        4        2        0        1
 9378 libvirt-daemon-driver-storage-zfs  	       1        8        6        0        1
 9379 libvirt-dev                        	       1       17       16        0        0
 9380 libvirt-l10n                       	       1      340        5        0      334
 9381 libvirt-login-shell                	       1        5        4        0        0
 9382 libvmime-kopano3                   	       1        1        0        0        0
 9383 libvo-aacenc0                      	       1     3021        9        0     3011
 9384 libvorbisidec-dev                  	       1       14       13        0        0
 9385 libvpb1                            	       1       12       11        0        0
 9386 libvpx1                            	       1      144        2        0      141
 9387 libvpx5                            	       1      240        2        1      236
 9388 libvterm0                          	       1      141        8        0      132
 9389 libwayland-amdgpu-cursor0          	       1        1        0        0        0
 9390 libwayland-amdgpu-egl1             	       1        6        1        0        4
 9391 libwayland-egl-backend-dev         	       1       12       11        0        0
 9392 libwebinject-perl                  	       1       15       14        0        0
 9393 libwebsockets16                    	       1       29        2        0       26
 9394 libwebsockets8                     	       1        7        0        0        6
 9395 libwin-hivex-perl                  	       1       98       93        4        0
 9396 libwind0-heimdal                   	       1       78        2        0       75
 9397 libwine-dev                        	       1       36       34        1        0
 9398 libwine-development-dev            	       1        4        3        0        0
 9399 libwinpr-tools2-2                  	       1       23        1        0       21
 9400 libwireshark16                     	       1      240        1        0      238
 9401 libwiretap13                       	       1      240        1        0      238
 9402 libwmf-dev                         	       1       94       91        2        0
 9403 libwslay1                          	       1        3        0        0        2
 9404 libwsutil14                        	       1      240        1        0      238
 9405 libwww-oauth-perl                  	       1        2        1        0        0
 9406 libwxbase3.2-1t64                  	       1      106        4        0      101
 9407 libwxgtk-gl3.2-1                   	       1      289        8        0      280
 9408 libwxgtk3.2-1t64                   	       1      104        4        0       99
 9409 libxapian22                        	       1      140       19        1      119
 9410 libxaw3dxft8-dev                   	       1        4        3        0        0
 9411 libxcb-imdkit1                     	       1       29       14        0       14
 9412 libxcb-shm0-dev                    	       1      589      567       21        0
 9413 libxcb-xkb-dev                     	       1       75       70        4        0
 9414 libxcomposite-dev                  	       1      478      460       17        0
 9415 libxfce4windowing-common           	       1       56        0        0       55
 9416 libxkbcommon-tools                 	       1        4        3        0        0
 9417 libxkbcommon-x11-dev               	       1       50       46        3        0
 9418 libxkbregistry-dev                 	       1        7        6        0        0
 9419 libxml-parser-lite-tree-perl       	       1        3        2        0        0
 9420 libxml-simpleobject-libxml-perl    	       1       10        9        0        0
 9421 libxml-stream-perl                 	       1       10        9        0        0
 9422 libxmltv-perl                      	       1       15       14        0        0
 9423 libxmmsclient6                     	       1      100        3        0       96
 9424 libxmu-headers                     	       1      162      160        1        0
 9425 libxmuu1                           	       1     4045        0        0     4044
 9426 libxosd-dev                        	       1        5        4        0        0
 9427 libxpm-dev                         	       1      268      260        7        0
 9428 libxtst-dev                        	       1      348      334       13        0
 9429 libxvmc1                           	       1     3151        5        0     3145
 9430 libyaml-cpp0.5v5                   	       1       14        3        0       10
 9431 libyaml-cpp0.6                     	       1      104        5        0       98
 9432 libyubikey-udev                    	       1       92       91        0        0
 9433 libzen0t64                         	       1       26        2        0       23
 9434 libzen0v5                          	       1      171       11        0      159
 9435 libzeroc-ice-dev                   	       1        3        2        0        0
 9436 libzip-dev                         	       1       79       76        2        0
 9437 libzip4t64                         	       1       47        5        0       41
 9438 libzita-convolver4                 	       1       98        8        0       89
 9439 libzlui-gtk                        	       1       85       82        2        0
 9440 libzmf-0.0-0                       	       1     2741        5        0     2735
 9441 libzmq3-dev                        	       1       42       41        0        0
 9442 lightdm-gtk-greeter-settings       	       1       71       63        7        0
 9443 lightsoff                          	       1      364      327       36        0
 9444 lighttpd-mod-webdav                	       1        5        3        1        0
 9445 lighttpd-modules-lua               	       1        4        2        1        0
 9446 link-grammar-dictionaries-en       	       1       96       90        3        2
 9447 linux-cpupower                     	       1      127      121        5        0
 9448 linux-headers-4.19.0-0.bpo.8-amd64 	       1        1        0        0        0
 9449 linux-headers-4.19.0-0.bpo.8-common	       1        2        1        0        0
 9450 linux-headers-4.19.0-0.bpo.9-amd64 	       1        2        1        0        0
 9451 linux-headers-4.19.0-0.bpo.9-common	       1        2        1        0        0
 9452 linux-headers-4.19.0-8-common      	       1        4        3        0        0
 9453 linux-headers-4.9.0-12-amd64       	       1       15       14        0        0
 9454 linux-headers-4.9.0-12-common      	       1       16       15        0        0
 9455 linux-headers-4.9.227-vs2.3.9.12-beng	       1        5        4        0        0
 9456 linux-headers-5.10.0-26-amd64      	       1       42       40        0        1
 9457 linux-headers-5.10.0-26-common     	       1       44       42        0        1
 9458 linux-headers-5.10.0-33-amd64      	       1       53       52        0        0
 9459 linux-headers-5.10.0-33-common     	       1       55       54        0        0
 9460 linux-headers-6.1.0-0.deb11.17-amd64	       1        5        4        0        0
 9461 linux-headers-6.1.0-0.deb11.17-common	       1        5        4        0        0
 9462 linux-headers-6.1.0-0.deb11.21-amd64	       1        5        4        0        0
 9463 linux-headers-6.1.0-0.deb11.21-common	       1        5        4        0        0
 9464 linux-headers-6.1.0-20-amd64       	       1       30       29        0        0
 9465 linux-headers-6.1.0-20-common      	       1       30       29        0        0
 9466 linux-headers-6.1.0-25-686-pae     	       1        2        1        0        0
 9467 linux-headers-6.1.0-25-amd64       	       1      135      132        0        2
 9468 linux-headers-6.1.0-28-arm64       	       1        1        0        0        0
 9469 linux-headers-6.1.0-29-common      	       1       44       41        2        0
 9470 linux-headers-6.1.0-31-686-pae     	       1        1        0        0        0
 9471 linux-headers-6.5.0-0.deb12.4-amd64	       1        5        4        0        0
 9472 linux-headers-6.5.0-0.deb12.4-common	       1        6        5        0        0
 9473 linux-image-3.16.0-4-amd64         	       1       64       62        0        1
 9474 linux-image-3.2.0-4-amd64          	       1       11       10        0        0
 9475 linux-image-4.1.39-ck2-nooesxi     	       1        2        1        0        0
 9476 linux-image-4.19.0-0.bpo.8-amd64   	       1        2        0        0        1
 9477 linux-image-4.19.0-0.bpo.9-amd64   	       1        3        2        0        0
 9478 linux-image-4.19.0-13-amd64        	       1       28       26        0        1
 9479 linux-image-4.19.0-14-amd64        	       1       65       61        2        1
 9480 linux-image-4.19.0-17-amd64        	       1       42       40        0        1
 9481 linux-image-4.19.0-20-amd64        	       1       32       31        0        0
 9482 linux-image-4.19.0-21-amd64        	       1       29       28        0        0
 9483 linux-image-4.19.0-22-amd64        	       1       25       24        0        0
 9484 linux-image-4.19.0-24-amd64        	       1       28       27        0        0
 9485 linux-image-4.19.0-27-amd64        	       1       74       68        5        0
 9486 linux-image-4.9.0-0.bpo.12-amd64   	       1        1        0        0        0
 9487 linux-image-4.9.0-12-amd64         	       1       34       31        0        2
 9488 linux-image-4.9.0-13-amd64         	       1       25       22        0        2
 9489 linux-image-4.9.0-15-amd64         	       1       22       19        0        2
 9490 linux-image-4.9.0-6-amd64          	       1       73       69        0        3
 9491 linux-image-4.9.0-9-amd64          	       1       17       15        0        1
 9492 linux-image-5.10.0-0.bpo.12-amd64  	       1        4        3        0        0
 9493 linux-image-5.10.0-0.deb10.16-amd64	       1        6        5        0        0
 9494 linux-image-5.10.0-11-amd64        	       1       37       34        0        2
 9495 linux-image-5.10.0-14-amd64-unsigned	       1        1        0        0        0
 9496 linux-image-5.10.0-24-amd64        	       1       25       24        0        0
 9497 linux-image-5.10.0-25-amd64        	       1       71       69        0        1
 9498 linux-image-5.10.0-28-amd64        	       1      127      125        0        1
 9499 linux-image-5.10.0-29-amd64        	       1       70       69        0        0
 9500 linux-image-5.10.0-30-amd64        	       1       95       94        0        0
 9501 linux-image-5.10.0-32-cloud-amd64  	       1        1        0        0        0
 9502 linux-image-5.10.0-33-amd64        	       1      214      198       14        1
 9503 linux-image-5.10.0-33-cloud-amd64  	       1        1        0        0        0
 9504 linux-image-5.10.0-9-amd64         	       1      235      222       10        2
 9505 linux-image-5.10.113               	       1        1        0        0        0
 9506 linux-image-5.15.0                 	       1        1        0        0        0
 9507 linux-image-5.4.0-0.bpo.4-amd64-unsigned	       1        2        1        0        0
 9508 linux-image-5.5.0-0.bpo.2-amd64    	       1        1        0        0        0
 9509 linux-image-6.1.0-0.deb11.17-amd64 	       1        8        7        0        0
 9510 linux-image-6.1.0-12-amd64         	       1       45       44        0        0
 9511 linux-image-6.1.0-13-amd64         	       1      119      117        0        1
 9512 linux-image-6.1.0-25-686           	       1       23       22        0        0
 9513 linux-image-6.1.0-25-686-pae       	       1        4        1        0        2
 9514 linux-image-6.1.0-28-arm64         	       1        3        2        0        0
 9515 linux-image-6.1.0-30-cloud-amd64   	       1        2        1        0        0
 9516 linux-image-6.1.0-31-686           	       1       10        0        9        0
 9517 linux-image-6.1.0-31-686-pae       	       1        2        0        1        0
 9518 linux-image-6.1.0-31-cloud-amd64   	       1        1        0        0        0
 9519 linux-image-6.1.119-327            	       1        1        0        0        0
 9520 linux-image-6.10.11+bpo-amd64      	       1       24       23        0        0
 9521 linux-image-6.10.11-686            	       1        2        1        0        0
 9522 linux-image-6.10.11-kirkwood-tld-1 	       1        1        0        0        0
 9523 linux-image-6.10.7-amd64           	       1        8        7        0        0
 9524 linux-image-6.10.9-amd64           	       1       30       29        0        0
 9525 linux-image-6.11.10-amd64          	       1       36       35        0        0
 9526 linux-image-6.11.2-amd64           	       1       35       28        6        0
 9527 linux-image-6.11.5+bpo-amd64       	       1       23       21        1        0
 9528 linux-image-6.12.13-amd64          	       1        4        0        3        0
 9529 linux-image-6.12.3-amd64           	       1        6        5        0        0
 9530 linux-image-6.6.0                  	       1        2        1        0        0
 9531 linux-image-6.6.15-amd64           	       1       11       10        0        0
 9532 linux-image-6.6.40-x64v3-xanmod1   	       1        1        0        0        0
 9533 linux-image-6.7.12-amd64           	       1       18       17        0        0
 9534 linux-image-6.7.9-amd64            	       1       24       23        0        0
 9535 linux-image-6.8.12-amd64           	       1       15       14        0        0
 9536 linux-image-6.9.7+bpo-amd64        	       1       15       13        1        0
 9537 linux-libc-dev-arm64-cross         	       1       37       35        1        0
 9538 linux-libc-dev-armhf-cross         	       1       29       28        0        0
 9539 linux-libc-dev-i386-cross          	       1       13       12        0        0
 9540 linux-libc-dev-riscv64-cross       	       1        6        5        0        0
 9541 linux-modules-6.11.0-1013-oem      	       1        1        0        0        0
 9542 linux-support-5.10.0-10            	       1        1        0        0        0
 9543 linuxcnc-uspace                    	       1        2        1        0        0
 9544 linuxinfo                          	       1       17       15        1        0
 9545 linuxlogo                          	       1       24       22        1        0
 9546 linuxvnc                           	       1        7        6        0        0
 9547 liquidsoap                         	       1        3        2        0        0
 9548 liquidwar                          	       1       14       13        0        0
 9549 liquidwar-server                   	       1       14       13        0        0
 9550 lld-19                             	       1       14       12        1        0
 9551 lldb                               	       1       14       13        0        0
 9552 lldb-14                            	       1       10        9        0        0
 9553 lltdscan                           	       1       15       14        0        0
 9554 llvm-13-dev                        	       1       31       30        0        0
 9555 llvm-19-linker-tools               	       1       38       35        2        0
 9556 llvm-3.5-dev                       	       1       10        9        0        0
 9557 llvm-6.0                           	       1        6        5        0        0
 9558 llvm-6.0-dev                       	       1        6        5        0        0
 9559 llvm-6.0-runtime                   	       1        6        5        0        0
 9560 llvm-9                             	       1       46       45        0        0
 9561 llvm-9-runtime                     	       1       47       46        0        0
 9562 llvm-9-tools                       	       1       46       45        0        0
 9563 lmms                               	       1       49       46        2        0
 9564 logdigest                          	       1        2        1        0        0
 9565 lomiri-thumbnailer-service         	       1        1        0        0        0
 9566 lookup                             	       1        5        4        0        0
 9567 loupe                              	       1        2        1        0        0
 9568 lprng                              	       1        9        8        0        0
 9569 lsb-core                           	       1       11       10        0        0
 9570 lsdvd                              	       1       60       58        1        0
 9571 lsh-server                         	       1        1        0        0        0
 9572 lsp-plugins-jack                   	       1       22       20        0        1
 9573 lua-any                            	       1       22       21        0        0
 9574 lua-luv                            	       1      128        6        0      121
 9575 lua5.1                             	       1       62       61        0        0
 9576 lua5.3                             	       1       47       45        1        0
 9577 luarocks                           	       1       20       19        0        0
 9578 luckyluks                          	       1        2        1        0        0
 9579 lugaru                             	       1        6        5        0        0
 9580 lunzip                             	       1       11       10        0        0
 9581 lure-of-the-temptress              	       1       16       15        0        0
 9582 lxctl                              	       1       16       15        0        0
 9583 lxd                                	       1        9        8        0        0
 9584 lxde-settings-daemon               	       1      222      199       22        0
 9585 lxlauncher                         	       1      143      126       16        0
 9586 lxmusic                            	       1       64       62        1        0
 9587 lynis                              	       1       66       65        0        0
 9588 lzd                                	       1        8        7        0        0
 9589 lziprecover                        	       1       15       14        0        0
 9590 lzma-alone                         	       1       16       15        0        0
 9591 mac-robber                         	       1       26       25        0        0
 9592 mactelnet-server                   	       1        3        2        0        0
 9593 macutils                           	       1        6        5        0        0
 9594 magicrescue                        	       1       39       38        0        0
 9595 mail-expire                        	       1        4        3        0        0
 9596 maildrop                           	       1       17       16        0        0
 9597 mailman3                           	       1        2        1        0        0
 9598 mailutils-mh                       	       1        9        8        0        0
 9599 mairix                             	       1        5        4        0        0
 9600 make-guile                         	       1        9        7        1        0
 9601 makedumpfile                       	       1        9        8        0        0
 9602 makefs                             	       1        8        7        0        0
 9603 makemkv-bin                        	       1        4        3        0        0
 9604 makemkv-oss                        	       1        4        3        0        0
 9605 mako-notifier                      	       1        9        7        1        0
 9606 maliit-framework                   	       1        1        0        0        0
 9607 maliit-keyboard                    	       1        1        0        0        0
 9608 mame                               	       1       47       46        0        0
 9609 manaplus                           	       1       14       13        0        0
 9610 mangohud                           	       1       23       22        0        0
 9611 manticore                          	       1        1        0        0        0
 9612 manticore-columnar-lib             	       1        2        0        0        1
 9613 mapserver-bin                      	       1        7        6        0        0
 9614 marble-plugins                     	       1      139        1        0      137
 9615 mariadb-backup                     	       1       14       13        0        0
 9616 mariadb-client-compat              	       1        7        6        0        0
 9617 mariadb-client-core-10.3           	       1       62       61        0        0
 9618 mariadb-plugin-gssapi-server       	       1        2        1        0        0
 9619 maskprocessor                      	       1       23       22        0        0
 9620 mason                              	       1        1        0        0        0
 9621 master-pdf-editor                  	       1       24        1        0       22
 9622 mate-calc-common                   	       1      500        0        0      499
 9623 mate-menu                          	       1       72       65        6        0
 9624 mate-sensors-applet-common         	       1      106       12        0       93
 9625 mate-system-monitor-common         	       1      509        4        0      504
 9626 matrix-synapse                     	       1        4        3        0        0
 9627 matroxset                          	       1        2        1        0        0
 9628 mattermost-desktop                 	       1       11        5        0        5
 9629 mblaze                             	       1       21       20        0        0
 9630 mboxgrep                           	       1       21       20        0        0
 9631 mbpfan                             	       1        8        7        0        0
 9632 mbrola                             	       1       15       14        0        0
 9633 mcelog                             	       1       16       15        0        0
 9634 mdbtools                           	       1       28       26        1        0
 9635 mdetect                            	       1       13       12        0        0
 9636 mdf2iso                            	       1       16       15        0        0
 9637 mdk3                               	       1       23       22        0        0
 9638 mdk4                               	       1       23       22        0        0
 9639 mdnsd                              	       1        1        0        0        0
 9640 mediastreamer2-plugin-openh264     	       1       22        0        0       21
 9641 mednafen                           	       1       32       29        2        0
 9642 mednaffe                           	       1       29       26        2        0
 9643 medusa                             	       1       27       26        0        0
 9644 meilisearch                        	       1        1        0        0        0
 9645 membernator                        	       1       15       14        0        0
 9646 mencoder                           	       1      148      146        1        0
 9647 mercurial-git                      	       1        5        3        1        0
 9648 mercury-browser                    	       1        4        3        0        0
 9649 mergerfs                           	       1        8        5        2        0
 9650 mesa-amdgpu-va-drivers             	       1        9        3        0        5
 9651 mesa-amdgpu-vdpau-drivers          	       1        9        0        0        8
 9652 metacam                            	       1       31       30        0        0
 9653 metacity                           	       1       93       83        9        0
 9654 metastore                          	       1        2        1        0        0
 9655 mfcj5730dwlpr                      	       1        1        0        0        0
 9656 mfcuk                              	       1       24       23        0        0
 9657 mfoc                               	       1       25       24        0        0
 9658 mgba-sdl                           	       1        6        5        0        0
 9659 micro-httpd                        	       1        1        0        0        0
 9660 micro-inetd                        	       1        1        0        0        0
 9661 microsoft-edge-stable              	       1       32       28        3        0
 9662 milkytracker                       	       1       29       28        0        0
 9663 minetest                           	       1       54       51        2        0
 9664 minilzip                           	       1        5        4        0        0
 9665 minisign                           	       1       10        9        0        0
 9666 mirage                             	       1       62       61        0        0
 9667 miscfiles                          	       1      189      184        4        0
 9668 missidentify                       	       1       24       23        0        0
 9669 mitmproxy                          	       1       12       11        0        0
 9670 mixmaster                          	       1        4        3        0        0
 9671 mixxx                              	       1       39       38        0        0
 9672 mjpegtools                         	       1       54       53        0        0
 9673 mjpegtools-gtk                     	       1       24       23        0        0
 9674 mktrayicon                         	       1        8        7        0        0
 9675 mkvtoolnix                         	       1      112      109        2        0
 9676 mkvtoolnix-gui                     	       1       61       59        1        0
 9677 mldonkey-server                    	       1        5        4        0        0
 9678 mmdebstrap                         	       1       73       71        1        0
 9679 mod-utilities                      	       1        1        0        0        0
 9680 modsecurity-crs                    	       1       16       15        0        0
 9681 mongodb-server-core                	       1        1        0        0        0
 9682 mono-4.0-service                   	       1       55       52        2        0
 9683 mono-csharp-shell                  	       1       95       92        2        0
 9684 mono-devel                         	       1       91       88        2        0
 9685 mono-mcs                           	       1       98       95        2        0
 9686 mono-xbuild                        	       1       96       93        2        0
 9687 monodoc-base                       	       1       73       70        2        0
 9688 monodoc-http                       	       1       58       55        2        0
 9689 monopd                             	       1        8        7        0        0
 9690 morse                              	       1       15       14        0        0
 9691 morse2ascii                        	       1       14       13        0        0
 9692 mozo                               	       1      102       95        6        0
 9693 mp3blaster                         	       1       16       15        0        0
 9694 mp3check                           	       1       21       20        0        0
 9695 mp3diags                           	       1       22       21        0        0
 9696 mp3fs                              	       1       11       10        0        0
 9697 mp3gain                            	       1       50       48        1        0
 9698 mp3splt                            	       1       25       24        0        0
 9699 mpage                              	       1        4        3        0        0
 9700 mpdas                              	       1        4        3        0        0
 9701 mpdscribble                        	       1        2        1        0        0
 9702 mpich                              	       1       18       17        0        0
 9703 mpollux-digisign-client-for-vrk    	       1        1        0        0        0
 9704 mpop                               	       1        5        4        0        0
 9705 mpv-mpris                          	       1       10        9        0        0
 9706 mrename                            	       1        6        5        0        0
 9707 msodbcsql17                        	       1        7        6        0        0
 9708 msr-tools                          	       1       83       79        3        0
 9709 msrtool                            	       1        3        2        0        0
 9710 mtink                              	       1        8        7        0        0
 9711 mtpolicyd                          	       1        1        0        0        0
 9712 muffin                             	       1      288      261       26        0
 9713 mullvad-vpn                        	       1        3        2        0        0
 9714 munin-plugins-c                    	       1        1        0        0        0
 9715 mupen64plus-ui-console             	       1       16       15        0        0
 9716 musl                               	       1       26       24        1        0
 9717 mutter-common-bin                  	       1       20        3        0       16
 9718 mwm                                	       1        9        8        0        0
 9719 myautomount                        	       1        7        6        0        0
 9720 mypaint                            	       1       33       31        1        0
 9721 mypy                               	       1       34       33        0        0
 9722 myrepos                            	       1       15       14        0        0
 9723 myrescue                           	       1       37       36        0        0
 9724 mysql-client-5.5                   	       1        4        3        0        0
 9725 mysql-server-core-5.5              	       1        8        7        0        0
 9726 mythtv-backend                     	       1        5        4        0        0
 9727 mythtv-common                      	       1        6        3        0        2
 9728 mythtv-transcode                   	       1        5        4        0        0
 9729 mythweb                            	       1        2        1        0        0
 9730 mz                                 	       1        1        0        0        0
 9731 mzclient                           	       1        2        1        0        0
 9732 nagios-plugins-contrib             	       1       14        4        0        9
 9733 nagios4-core                       	       1        2        1        0        0
 9734 nasm                               	       1      169      166        2        0
 9735 nasty                              	       1       26       25        0        0
 9736 nat-traverse                       	       1        1        0        0        0
 9737 natlog                             	       1        2        1        0        0
 9738 nautilus-data                      	       1      230        9        1      219
 9739 nautilus-extension-gnome-terminal  	       1      407       11        2      393
 9740 nbsdgames                          	       1        6        5        0        0
 9741 ncaptool                           	       1        5        4        0        0
 9742 ncdt                               	       1        6        5        0        0
 9743 ncmpc                              	       1       27       25        1        0
 9744 ncurses-hexedit                    	       1       22       20        1        0
 9745 ndpi                               	       1        1        0        0        0
 9746 nec                                	       1        1        0        0        0
 9747 nedit                              	       1       40       39        0        0
 9748 neovim-qt                          	       1       13       11        1        0
 9749 nerd-fonts-fira-code               	       1        1        0        0        0
 9750 netatalk                           	       1        8        7        0        0
 9751 netbird                            	       1        2        1        0        0
 9752 netcat6                            	       1        9        8        0        0
 9753 netdata-core                       	       1        4        3        0        0
 9754 netdata-plugins-bash               	       1        4        3        0        0
 9755 netmask                            	       1        7        6        0        0
 9756 netpipes                           	       1        1        0        0        0
 9757 netplan                            	       1        4        3        0        0
 9758 netrik                             	       1        4        3        0        0
 9759 netris                             	       1       15       14        0        0
 9760 netrw                              	       1        4        3        0        0
 9761 netsed                             	       1        3        2        0        0
 9762 netsend                            	       1        3        2        0        0
 9763 netsniff-ng                        	       1       11       10        0        0
 9764 nettle-dev                         	       1      270      263        6        0
 9765 netwag                             	       1        6        5        0        0
 9766 network-manager-fortisslvpn-gnome  	       1       37       34        2        0
 9767 netwox                             	       1        9        8        0        0
 9768 nextcloud-desktop-cmd              	       1       23       22        0        0
 9769 nexuiz                             	       1       15       14        0        0
 9770 nfoview                            	       1        9        8        0        0
 9771 nfs4-acl-tools                     	       1       27       24        2        0
 9772 nfstrace                           	       1       14       12        1        0
 9773 nfswatch                           	       1       20       18        1        0
 9774 nginx-common                       	       1      159      156        1        1
 9775 nginx-extras                       	       1        5        2        0        2
 9776 nginx-module-perl                  	       1        1        0        0        0
 9777 ngircd                             	       1        1        0        0        0
 9778 nicstat                            	       1        7        6        0        0
 9779 nictools-pci                       	       1       10        9        0        0
 9780 nilfs-tools                        	       1       57       54        2        0
 9781 nload                              	       1       63       62        0        0
 9782 nm-tray-l10n                       	       1       36        8        0       27
 9783 nmrpflash                          	       1        1        0        0        0
 9784 noatun-trinity                     	       1       23       22        0        0
 9785 node-cbor                          	       1        3        2        0        0
 9786 node-corepack                      	       1       10        7        2        0
 9787 node-coveralls                     	       1      153      152        0        0
 9788 node-eslint-plugin-flowtype        	       1        1        0        0        0
 9789 node-lcov-parse                    	       1      153      152        0        0
 9790 node-tap                           	       1      153      152        0        0
 9791 node-tap-mocha-reporter            	       1      153      152        0        0
 9792 node-tap-parser                    	       1      153      151        1        0
 9793 node-typescript                    	       1        7        5        1        0
 9794 noderig                            	       1        2        1        0        0
 9795 notmuch-mutt                       	       1       14       13        0        0
 9796 novena-eeprom                      	       1        1        0        0        0
 9797 nrg2iso                            	       1       19       18        0        0
 9798 nsca                               	       1        3        2        0        0
 9799 nsca-client                        	       1        5        4        0        0
 9800 nsnake                             	       1        8        7        0        0
 9801 nstreams                           	       1       19       18        0        0
 9802 ntfs-config                        	       1        6        5        0        0
 9803 ntpsec-ntpviz                      	       1        5        4        0        0
 9804 ntpstat                            	       1       79       78        0        0
 9805 ntrack-module-libnl-0              	       1       64       63        0        0
 9806 nudoku                             	       1        8        7        0        0
 9807 numactl                            	       1       29       26        2        0
 9808 nut-ipmi                           	       1        5        4        0        0
 9809 nut-monitor                        	       1       10        9        0        0
 9810 nut-snmp                           	       1        6        5        0        0
 9811 nvidia-container-toolkit-base      	       1        4        3        0        0
 9812 nvidia-kernel-support              	       1      181       24        1      155
 9813 nvidia-legacy-390xx-smi            	       1       12       11        0        0
 9814 nvram-wakeup                       	       1        3        2        0        0
 9815 nvramtool                          	       1        6        5        0        0
 9816 nwipe                              	       1       28       26        1        0
 9817 nyancat                            	       1        4        3        0        0
 9818 nyx                                	       1       34       31        2        0
 9819 o-saft                             	       1       24       23        0        0
 9820 oar-common                         	       1        1        0        0        0
 9821 oar-server                         	       1        1        0        0        0
 9822 oar-user                           	       1        1        0        0        0
 9823 oar-web-status                     	       1        1        0        0        0
 9824 obexftp                            	       1       17       16        0        0
 9825 obexpushd                          	       1        7        6        0        0
 9826 obfs4proxy                         	       1       45       44        0        0
 9827 obkey                              	       1        4        1        2        0
 9828 obsidian                           	       1       18        3        0       14
 9829 ocaml                              	       1       65       64        0        0
 9830 ocaml-compiler-libs                	       1       65       62        0        2
 9831 ocaml-nox                          	       1       40       15        0       24
 9832 ocfs2-tools                        	       1        3        2        0        0
 9833 odbcinst                           	       1      270      268        1        0
 9834 odoo                               	       1        4        3        0        0
 9835 offlineimap                        	       1       15        0        0       14
 9836 offlineimap3                       	       1       26       25        0        0
 9837 ofxstatement                       	       1        3        2        0        0
 9838 ogamesim                           	       1        6        5        0        0
 9839 oggvideotools                      	       1       28       27        0        0
 9840 oinkmaster                         	       1       12       11        0        0
 9841 oneko                              	       1       26       25        0        0
 9842 onesixtyone                        	       1        3        2        0        0
 9843 onetime                            	       1        1        0        0        0
 9844 onscripter                         	       1        4        3        0        0
 9845 ooo-thumbnailer                    	       1       14       13        0        0
 9846 ooo2dbk                            	       1        3        2        0        0
 9847 opalmod                            	       1        6        5        0        0
 9848 opam-installer                     	       1       17       16        0        0
 9849 open-adventure                     	       1        6        5        0        0
 9850 openafs-modules-dkms               	       1        6        5        0        0
 9851 openarena-oacmp1                   	       1       31       28        2        0
 9852 openarena-server                   	       1        1        0        0        0
 9853 openbox-menu                       	       1       20       19        0        0
 9854 opencachemanager                   	       1        1        0        0        0
 9855 openclipart-libreoffice            	       1       50       48        1        0
 9856 openclonk                          	       1       10        9        0        0
 9857 opencryptoki                       	       1        5        4        0        0
 9858 opencubicplayer                    	       1       26       24        1        0
 9859 opendmarc                          	       1        3        2        0        0
 9860 openfortigui                       	       1        4        3        0        0
 9861 openfpgaloader                     	       1        1        0        0        0
 9862 openhab                            	       1        3        2        0        0
 9863 openhantek                         	       1        7        6        0        0
 9864 openipmi                           	       1       94       92        1        0
 9865 openjdk-11-jre                     	       1      678        4        0      673
 9866 openjdk-21-jre                     	       1       85        1        0       83
 9867 openjdk-7-jre-headless             	       1       16       14        1        0
 9868 openmw-cs                          	       1        7        6        0        0
 9869 openobex-apps                      	       1       13       12        0        0
 9870 openpace                           	       1       15       14        0        0
 9871 openpref                           	       1       10        9        0        0
 9872 openrazer-driver-dkms              	       1        7        6        0        0
 9873 openscad                           	       1       78       77        0        0
 9874 openscad-nightly                   	       1        3        1        1        0
 9875 openscenegraph                     	       1        7        6        0        0
 9876 opensm                             	       1        1        0        0        0
 9877 opensp                             	       1      157      153        3        0
 9878 openttd                            	       1       49       46        2        0
 9879 openvas                            	       1        2        0        0        1
 9880 openwsman                          	       1        1        0        0        0
 9881 ophcrack-cli                       	       1       24       23        0        0
 9882 oping                              	       1       10        9        0        0
 9883 oracle-j2re1.7                     	       1        3        2        0        0
 9884 orage-data                         	       1       43        4        0       38
 9885 osdlyrics                          	       1        6        4        1        0
 9886 osmose-emulator                    	       1        3        2        0        0
 9887 osspd-pulseaudio                   	       1       34       30        3        0
 9888 ostinato                           	       1        3        2        0        0
 9889 otp                                	       1        6        5        0        0
 9890 otpclient                          	       1       16       15        0        0
 9891 otpclient-cli                      	       1        7        6        0        0
 9892 outguess                           	       1       32       31        0        0
 9893 ovh-rtm-binaries                   	       1        2        1        0        0
 9894 owncloud-complete-files            	       1        1        0        0        0
 9895 pacemaker-resource-agents          	       1       11       10        0        0
 9896 pacvim                             	       1        3        2        0        0
 9897 pamix                              	       1       16       15        0        0
 9898 paperkey                           	       1       79       76        2        0
 9899 papers                             	       1        1        0        0        0
 9900 parchive                           	       1       11       10        0        0
 9901 paris-traceroute                   	       1        4        3        0        0
 9902 parsec47                           	       1        5        4        0        0
 9903 partclone                          	       1       63       61        1        0
 9904 partimage                          	       1       46       44        1        0
 9905 pasco                              	       1       24       23        0        0
 9906 password-gorilla                   	       1        8        7        0        0
 9907 pastebinit                         	       1       91       88        2        0
 9908 pat                                	       1        3        2        0        0
 9909 patator                            	       1       22       21        0        0
 9910 pathological                       	       1       10        9        0        0
 9911 patool                             	       1       19       18        0        0
 9912 pax-utils                          	       1        9        8        0        0
 9913 pcc                                	       1        6        4        1        0
 9914 pcmciautils                        	       1       55       53        1        0
 9915 pcredz                             	       1        1        0        0        0
 9916 pcsxr                              	       1       14       13        0        0
 9917 pdebuild-cross                     	       1        1        0        0        0
 9918 pdfmod                             	       1       20       19        0        0
 9919 pdfresurrect                       	       1       12       11        0        0
 9920 pdfsam                             	       1       60       58        1        0
 9921 pdfsam-basic                       	       1        5        4        0        0
 9922 pdksh                              	       1        5        4        0        0
 9923 pdlzip                             	       1        8        7        0        0
 9924 pdns-backend-pgsql                 	       1        2        0        0        1
 9925 pdns-server                        	       1        7        6        0        0
 9926 pecomato                           	       1       17       16        0        0
 9927 penguins-eggs                      	       1        2        0        1        0
 9928 perforate                          	       1        6        5        0        0
 9929 performous                         	       1        9        8        0        0
 9930 perl-modules                       	       1       61       59        1        0
 9931 perltidy                           	       1       42       40        1        0
 9932 pescetti                           	       1        9        8        0        0
 9933 petris                             	       1       16       15        0        0
 9934 pev                                	       1       19       16        0        2
 9935 pfb2t1c2pfb                        	       1      155      149        5        0
 9936 pflogsumm                          	       1       11       10        0        0
 9937 pfring                             	       1        1        0        0        0
 9938 pg-activity                        	       1        6        5        0        0
 9939 pgbouncer                          	       1        5        4        0        0
 9940 pgtop                              	       1       12       11        0        0
 9941 phalanx                            	       1        9        8        0        0
 9942 phidutil2                          	       1        1        0        0        0
 9943 phonon4qt5-backend-vlc             	       1      949       20        0      928
 9944 photopc                            	       1        6        5        0        0
 9945 php-composer-class-map-generator   	       1       37       36        0        0
 9946 php-composer-metadata-minifier     	       1       37       36        0        0
 9947 php-composer-pcre                  	       1       37       36        0        0
 9948 php-composer-semver                	       1       47       46        0        0
 9949 php-composer-spdx-licenses         	       1       47       46        0        0
 9950 php-composer-xdebug-handler        	       1       45       44        0        0
 9951 php-ds                             	       1        2        0        0        1
 9952 php-geoip                          	       1        4        2        0        1
 9953 php-icinga                         	       1        6        5        0        0
 9954 php-igbinary                       	       1       22        2        0       19
 9955 php-json-schema                    	       1       45       43        1        0
 9956 php-mapscript-ng                   	       1        2        1        0        0
 9957 php-memcache                       	       1       24       22        1        0
 9958 php-mythtv                         	       1        3        2        0        0
 9959 php-parser                         	       1        4        3        0        0
 9960 php-pclzip                         	       1        6        5        0        0
 9961 php-pimple                         	       1        2        1        0        0
 9962 php-ps                             	       1        1        0        0        0
 9963 php-random-compat                  	       1        5        4        0        0
 9964 php-react-promise                  	       1       41       40        0        0
 9965 php-redis                          	       1       25        1        0       23
 9966 php-sass                           	       1        1        0        0        0
 9967 php-seclib                         	       1        9        8        0        0
 9968 php-seld-signal-handler            	       1       37       36        0        0
 9969 php-ssh2                           	       1        7        2        0        4
 9970 php-symfony-process                	       1       50       49        0        0
 9971 php-tideways                       	       1        2        0        0        1
 9972 php-uploadprogress                 	       1        5        3        0        1
 9973 php-wikidiff2                      	       1       13       12        0        0
 9974 php-yaml                           	       1       12        1        0       10
 9975 php5-apcu                          	       1        9        8        0        0
 9976 php5-intl                          	       1       10        9        0        0
 9977 php5-recode                        	       1        2        1        0        0
 9978 php5-snmp                          	       1        1        0        0        0
 9979 php5.6-apcu                        	       1        3        2        0        0
 9980 php5.6-bcmath                      	       1        1        0        0        0
 9981 php5.6-dba                         	       1        1        0        0        0
 9982 php5.6-enchant                     	       1        1        0        0        0
 9983 php5.6-gmp                         	       1        1        0        0        0
 9984 php5.6-imap                        	       1        4        3        0        0
 9985 php5.6-ldap                        	       1        3        2        0        0
 9986 php5.6-mcrypt                      	       1        5        4        0        0
 9987 php5.6-odbc                        	       1        1        0        0        0
 9988 php5.6-pspell                      	       1        4        3        0        0
 9989 php5.6-recode                      	       1        1        0        0        0
 9990 php5.6-snmp                        	       1        1        0        0        0
 9991 php5.6-soap                        	       1        1        0        0        0
 9992 php5.6-sqlite3                     	       1        1        0        0        0
 9993 php5.6-sybase                      	       1        3        2        0        0
 9994 php5.6-tidy                        	       1        1        0        0        0
 9995 php7-mapi                          	       1        1        0        0        0
 9996 php7.0-bcmath                      	       1        2        1        0        0
 9997 php7.0-dba                         	       1        1        0        0        0
 9998 php7.0-geoip                       	       1        1        0        0        0
 9999 php7.0-gmp                         	       1        1        0        0        0
10000 php7.0-igbinary                    	       1        1        0        0        0
10001 php7.0-imap                        	       1        8        7        0        0
10002 php7.0-memcached                   	       1        1        0        0        0
10003 php7.0-msgpack                     	       1        1        0        0        0
10004 php7.0-tidy                        	       1        5        4        0        0
10005 php7.0-xmlrpc                      	       1        8        7        0        0
10006 php7.1-cli                         	       1        6        5        0        0
10007 php7.1-common                      	       1        9        8        0        0
10008 php7.1-fpm                         	       1        2        1        0        0
10009 php7.1-json                        	       1        6        5        0        0
10010 php7.1-opcache                     	       1        6        5        0        0
10011 php7.1-readline                    	       1        6        5        0        0
10012 php7.2-bcmath                      	       1        1        0        0        0
10013 php7.2-bz2                         	       1        1        0        0        0
10014 php7.2-cli                         	       1        5        4        0        0
10015 php7.2-common                      	       1        6        5        0        0
10016 php7.2-curl                        	       1        1        0        0        0
10017 php7.2-gd                          	       1        3        2        0        0
10018 php7.2-intl                        	       1        2        1        0        0
10019 php7.2-json                        	       1        5        4        0        0
10020 php7.2-mbstring                    	       1        4        3        0        0
10021 php7.2-mysql                       	       1        4        3        0        0
10022 php7.2-opcache                     	       1        5        4        0        0
10023 php7.2-pspell                      	       1        2        1        0        0
10024 php7.2-readline                    	       1        5        4        0        0
10025 php7.2-recode                      	       1        2        1        0        0
10026 php7.2-sqlite3                     	       1        2        1        0        0
10027 php7.2-xml                         	       1        4        3        0        0
10028 php7.2-xmlrpc                      	       1        2        1        0        0
10029 php7.2-zip                         	       1        4        3        0        0
10030 php7.3-apcu                        	       1        7        6        0        0
10031 php7.3-gmp                         	       1        7        6        0        0
10032 php7.3-imap                        	       1        9        8        0        0
10033 php7.3-ldap                        	       1        4        3        0        0
10034 php7.3-redis                       	       1        2        1        0        0
10035 php7.4-apcu-bc                     	       1        4        3        0        0
10036 php7.4-cgi                         	       1       15       14        0        0
10037 php7.4-dba                         	       1        1        0        0        0
10038 php7.4-enchant                     	       1        1        0        0        0
10039 php7.4-imagick                     	       1        6        5        0        0
10040 php7.4-interbase                   	       1        1        0        0        0
10041 php7.4-memcached                   	       1        2        1        0        0
10042 php7.4-msgpack                     	       1        2        1        0        0
10043 php7.4-odbc                        	       1        3        2        0        0
10044 php7.4-phpdbg                      	       1        9        8        0        0
10045 php7.4-pspell                      	       1       14       13        0        0
10046 php7.4-snmp                        	       1        1        0        0        0
10047 php7.4-sybase                      	       1        1        0        0        0
10048 php7.4-tidy                        	       1       12       11        0        0
10049 php7.4-yaml                        	       1        2        1        0        0
10050 php8.0-bz2                         	       1        8        7        0        0
10051 php8.0-cli                         	       1       18       17        0        0
10052 php8.0-igbinary                    	       1        4        3        0        0
10053 php8.0-imap                        	       1        7        6        0        0
10054 php8.0-ldap                        	       1        1        0        0        0
10055 php8.0-pgsql                       	       1        2        1        0        0
10056 php8.0-pspell                      	       1        8        7        0        0
10057 php8.0-redis                       	       1        3        2        0        0
10058 php8.1-bz2                         	       1        6        5        0        0
10059 php8.1-fpm                         	       1        7        6        0        0
10060 php8.1-imagick                     	       1        8        7        0        0
10061 php8.1-mysql                       	       1       13       12        0        0
10062 php8.1-soap                        	       1        8        7        0        0
10063 php8.2-dev                         	       1       25       23        1        0
10064 php8.2-gnupg                       	       1        4        3        0        0
10065 php8.2-zmq                         	       1        1        0        0        0
10066 php8.3-apcu                        	       1        3        2        0        0
10067 php8.3-fpm                         	       1        4        3        0        0
10068 php8.3-ssh2                        	       1        1        0        0        0
10069 php8.4-bcmath                      	       1        1        0        0        0
10070 php8.4-bz2                         	       1        1        0        0        0
10071 php8.4-curl                        	       1        3        2        0        0
10072 php8.4-gd                          	       1        2        1        0        0
10073 php8.4-igbinary                    	       1        2        1        0        0
10074 php8.4-mbstring                    	       1        2        1        0        0
10075 php8.4-mcrypt                      	       1        1        0        0        0
10076 php8.4-mysql                       	       1        2        1        0        0
10077 php8.4-redis                       	       1        2        1        0        0
10078 php8.4-xml                         	       1        2        1        0        0
10079 php8.4-zip                         	       1        2        1        0        0
10080 phpldapadmin                       	       1        3        2        0        0
10081 phppgadmin                         	       1        7        6        0        0
10082 phpsysinfo                         	       1        3        2        0        0
10083 pidentd                            	       1        7        6        0        0
10084 pidgin-extprefs                    	       1       17       15        1        0
10085 pidgin-gnome-keyring               	       1       11        9        1        0
10086 pidgin-guifications                	       1       14       12        1        0
10087 pidgin-hotkeys                     	       1        9        7        1        0
10088 pidgin-sipe                        	       1        9        7        1        0
10089 pikopixel.app                      	       1        4        3        0        0
10090 pinball                            	       1       18       17        0        0
10091 pinentry-tty                       	       1       31       29        1        0
10092 pinfo                              	       1       28       26        1        0
10093 pingus                             	       1       35       32        2        0
10094 pioneers                           	       1       14       13        0        0
10095 pioneers-console                   	       1       14       13        0        0
10096 pipebench                          	       1       25       24        0        0
10097 pipenv                             	       1       17       16        0        0
10098 pipewire-libcamera                 	       1       18        7        0       10
10099 pisg                               	       1        1        0        0        0
10100 pitivi                             	       1       29       27        1        0
10101 piu-piu                            	       1        3        2        0        0
10102 pius                               	       1        2        1        0        0
10103 pk-update-icon                     	       1        3        0        0        2
10104 pkg-kde-tools                      	       1       77       74        2        0
10105 pkg-php-tools                      	       1       35       33        1        0
10106 pkgdiff                            	       1        4        3        0        0
10107 plantuml                           	       1       27       26        0        0
10108 plasma-activities-bin              	       1       48       41        6        0
10109 plasma-containments-addons         	       1        4        3        0        0
10110 plasma-dataengines-workspace       	       1        4        3        0        0
10111 plasma-discover-backend-snap       	       1        6        5        0        0
10112 plasma-pass                        	       1        1        0        0        0
10113 plasma-scriptengine-javascript     	       1       44       43        0        0
10114 plasma-scriptengine-python         	       1        2        1        0        0
10115 plasma-scriptengine-superkaramba   	       1        4        3        0        0
10116 plasma-scriptengine-webkit         	       1        6        5        0        0
10117 plasma-settings                    	       1        3        2        0        0
10118 plasma-widget-folderview           	       1        4        3        0        0
10119 plasma-widget-lancelot             	       1        3        2        0        0
10120 plasma-widgets-workspace           	       1        4        3        0        0
10121 plexmediaserver                    	       1        5        4        0        0
10122 pngcheck                           	       1       33       32        0        0
10123 pngmeta                            	       1       10        9        0        0
10124 pngquant                           	       1       78       76        1        0
10125 pocketsphinx                       	       1       14       13        0        0
10126 pokerth                            	       1       16       15        0        0
10127 polenum                            	       1       24       23        0        0
10128 policyd-weight                     	       1        1        0        0        0
10129 polkit-kde-1                       	       1       11        2        0        8
10130 polyglot                           	       1       12       11        0        0
10131 pompem                             	       1       24       23        0        0
10132 portaudio19-dev                    	       1       48       47        0        0
10133 portmap                            	       1        1        0        0        0
10134 portsentry                         	       1        3        2        0        0
10135 postgresql-10                      	       1        5        4        0        0
10136 postgresql-13-snakeoil             	       1        3        2        0        0
10137 postgresql-14                      	       1        6        5        0        0
10138 postgresql-8.4                     	       1        2        1        0        0
10139 postgresql-client-10               	       1        5        4        0        0
10140 postgresql-client-14               	       1       12       11        0        0
10141 postgresql-client-16               	       1       25       24        0        0
10142 postgrey                           	       1       23       22        0        0
10143 potrace                            	       1       61       59        1        0
10144 powershell                         	       1       10        8        1        0
10145 ppdfilt                            	       1        9        8        0        0
10146 pppconfig                          	       1       16       15        0        0
10147 pppoe                              	       1       34       33        0        0
10148 pps-tools                          	       1       11       10        0        0
10149 pptp-linux                         	       1       64       61        2        0
10150 pqueue                             	       1        1        0        0        0
10151 prads                              	       1        2        1        0        0
10152 printfilters-ppd                   	       1        2        1        0        0
10153 pristine-tar                       	       1      199      196        2        0
10154 privbind                           	       1        1        0        0        0
10155 procenv                            	       1        3        2        0        0
10156 procyon-decompiler                 	       1       24       23        0        0
10157 projectm-pulseaudio                	       1       12       11        0        0
10158 prometheus                         	       1        5        4        0        0
10159 prometheus-node-exporter           	       1        8        7        0        0
10160 protobuf-compiler                  	       1       67       65        1        0
10161 protobuf-compiler-grpc             	       1        4        3        0        0
10162 proton-vpn-gtk-app                 	       1       12       11        0        0
10163 proxmox-backup-client              	       1        2        1        0        0
10164 proxychains4                       	       1       10        9        0        0
10165 pry                                	       1        3        2        0        0
10166 ps-watcher                         	       1        1        0        0        0
10167 psad                               	       1        3        2        0        0
10168 psensor-common                     	       1       77        1        0       75
10169 psensor-server                     	       1        4        3        0        0
10170 psi                                	       1       26       25        0        0
10171 psi-plugins                        	       1        4        3        0        0
10172 psi-plus                           	       1       18       17        0        0
10173 psi-plus-plugins                   	       1       19       18        0        0
10174 pslist                             	       1        5        4        0        0
10175 pspg                               	       1        8        7        0        0
10176 psrip                              	       1       18       17        0        0
10177 pst-utils                          	       1       18       17        0        0
10178 pstotext                           	       1       14       13        0        0
10179 puddletag                          	       1       23       22        0        0
10180 pulsar                             	       1        9        8        0        0
10181 pulseaudio-equalizer               	       1       35       33        1        0
10182 pulseaudio-module-gconf            	       1       13       12        0        0
10183 pulseaudio-module-x11              	       1       15       13        1        0
10184 puma                               	       1       11        9        1        0
10185 puppet-agent                       	       1       18       17        0        0
10186 puppetserver                       	       1        1        0        0        0
10187 purple-discord                     	       1       15        4        0       10
10188 purple-lurch                       	       1        7        2        0        4
10189 putty                              	       1       61       57        3        0
10190 pybik                              	       1       11       10        0        0
10191 pychess                            	       1       13       12        0        0
10192 pycodestyle                        	       1       51       49        1        0
10193 pydf                               	       1       18       17        0        0
10194 pyflakes3                          	       1       63       61        1        0
10195 pypy                               	       1       13       12        0        0
10196 pypy-lib                           	       1       13       12        0        0
10197 pypy3                              	       1       14       13        0        0
10198 pyqt5-dev                          	       1       24       21        0        2
10199 python-atomicwrites                	       1        8        7        0        0
10200 python-attr                        	       1       35       34        0        0
10201 python-avahi                       	       1        6        5        0        0
10202 python-babel                       	       1       12       11        0        0
10203 python-cddb                        	       1       17       15        1        0
10204 python-central                     	       1       10        9        0        0
10205 python-cffi                        	       1       19       18        0        0
10206 python-cherrypy3                   	       1       22       21        0        0
10207 python-configparser                	       1       41       39        1        0
10208 python-cups                        	       1       20       18        1        0
10209 python-cupshelpers                 	       1       13       11        1        0
10210 python-defusedxml                  	       1       72       70        1        0
10211 python-dev                         	       1       74       73        0        0
10212 python-distorm3                    	       1        5        4        0        0
10213 python-et-xmlfile                  	       1        5        4        0        0
10214 python-feedparser                  	       1       60       57        1        1
10215 python-flask                       	       1        6        5        0        0
10216 python-fpconst                     	       1       15       11        0        3
10217 python-funcsigs                    	       1       27       26        0        0
10218 python-genshi                      	       1        4        3        0        0
10219 python-gevent                      	       1        3        2        0        0
10220 python-gi-dev                      	       1       14       13        0        0
10221 python-gpgme                       	       1        6        5        0        0
10222 python-greenlet                    	       1        8        6        1        0
10223 python-itsdangerous                	       1        6        5        0        0
10224 python-jdcal                       	       1        5        4        0        0
10225 python-jinja2                      	       1       28       27        0        0
10226 python-kde4                        	       1        4        3        0        0
10227 python-keyrings.alt                	       1       45       43        1        0
10228 python-libpcap                     	       1        1        0        0        0
10229 python-libsmbios                   	       1        1        0        0        0
10230 python-markupsafe                  	       1       42       41        0        0
10231 python-mate-menu                   	       1       71       69        1        0
10232 python-meld3                       	       1        3        2        0        0
10233 python-more-itertools              	       1        8        7        0        0
10234 python-musicbrainz2                	       1       10        8        1        0
10235 python-netlib                      	       1        1        0        0        0
10236 python-nose                        	       1       15       14        0        0
10237 python-nut                         	       1        6        5        0        0
10238 python-olefile                     	       1       69       68        0        0
10239 python-openpyxl                    	       1        6        4        0        1
10240 python-parallel                    	       1        1        0        0        0
10241 python-pathlib                     	       1       10        9        0        0
10242 python-pathlib2                    	       1       13       12        0        0
10243 python-pdfminer                    	       1        2        1        0        0
10244 python-pluggy                      	       1        8        7        0        0
10245 python-ply                         	       1       34       33        0        0
10246 python-progressbar                 	       1        1        0        0        0
10247 python-py                          	       1       10        9        0        0
10248 python-pycparser                   	       1       22       21        0        0
10249 python-pygame                      	       1       27       26        0        0
10250 python-pyinotify                   	       1       79       77        1        0
10251 python-pytest                      	       1       10        9        0        0
10252 python-qt4                         	       1       26       25        0        0
10253 python-qt4-dbus                    	       1        9        8        0        0
10254 python-recaptcha                   	       1        2        1        0        0
10255 python-renderpm                    	       1       36       34        0        1
10256 python-reportlab                   	       1       37       35        0        1
10257 python-reportlab-accel             	       1       37       35        0        1
10258 python-scandir                     	       1       13       12        0        0
10259 python-serial                      	       1       27       26        0        0
10260 python-sip                         	       1       61       60        0        0
10261 python-smbc                        	       1       16       14        1        0
10262 python-soappy                      	       1       68       66        1        0
10263 python-sortedcontainers            	       1        2        1        0        0
10264 python-soupsieve                   	       1       81       80        0        0
10265 python-subversion                  	       1        7        6        0        0
10266 python-suds                        	       1        8        7        0        0
10267 python-tz                          	       1       73       71        0        1
10268 python-utidylib                    	       1       57       55        1        0
10269 python-virtualenv                  	       1       22       21        0        0
10270 python-werkzeug                    	       1       10        8        0        1
10271 python-yara                        	       1        5        4        0        0
10272 python-yubico-tools                	       1        6        5        0        0
10273 python2.6                          	       1       39       38        0        0
10274 python2.6-minimal                  	       1       60       59        0        0
10275 python2.7-dev                      	       1      124      123        0        0
10276 python3-ajpy                       	       1       22       21        0        0
10277 python3-alabaster                  	       1      155      152        2        0
10278 python3-alsaaudio                  	       1        9        8        0        0
10279 python3-annotated-types            	       1       10        9        0        0
10280 python3-anyio                      	       1      462      445       16        0
10281 python3-artifacts                  	       1       14       13        0        0
10282 python3-asgiref                    	       1       99       96        2        0
10283 python3-authres                    	       1       11       10        0        0
10284 python3-autopep8                   	       1       43       41        1        0
10285 python3-avahi                      	       1       35       34        0        0
10286 python3-awscrt                     	       1       18       16        1        0
10287 python3-axolotl-curve25519         	       1       45       44        0        0
10288 python3-azure-kusto-data           	       1        1        0        0        0
10289 python3-babelfish                  	       1        7        6        0        0
10290 python3-bareos                     	       1        2        1        0        0
10291 python3-barman                     	       1        6        5        0        0
10292 python3-binwalk                    	       1       53       51        1        0
10293 python3-bitarray                   	       1        9        8        0        0
10294 python3-blessed                    	       1       24       23        0        0
10295 python3-brotlicffi                 	       1       24       23        0        0
10296 python3-cachetools                 	       1       44       43        0        0
10297 python3-canmatrix                  	       1        3        2        0        0
10298 python3-cattr                      	       1       12       11        0        0
10299 python3-cerberus                   	       1        9        8        0        0
10300 python3-cffi                       	       1      142      138        3        0
10301 python3-cheetah                    	       1        8        7        0        0
10302 python3-cheroot                    	       1       21       19        1        0
10303 python3-chm                        	       1      217      214        2        0
10304 python3-cliapp                     	       1       21       20        0        0
10305 python3-click-plugins              	       1       59       58        0        0
10306 python3-constantly                 	       1      297      281       15        0
10307 python3-css-parser                 	       1      266      262        3        0
10308 python3-cssselect2                 	       1       47       46        0        0
10309 python3-dbusmock                   	       1        8        5        2        0
10310 python3-dfdatetime                 	       1       14       13        0        0
10311 python3-dfvfs                      	       1       14       13        0        0
10312 python3-dfwinreg                   	       1       14       13        0        0
10313 python3-django-allauth             	       1        2        1        0        0
10314 python3-django-extensions          	       1        2        1        0        0
10315 python3-django-tagging             	       1        3        2        0        0
10316 python3-djangorestframework        	       1        2        1        0        0
10317 python3-dns                        	       1       81       77        3        0
10318 python3-doc8                       	       1        1        0        0        0
10319 python3-dockerpty                  	       1      112      108        3        0
10320 python3-dtfabric                   	       1       14       13        0        0
10321 python3-editorconfig               	       1       33       32        0        0
10322 python3-elasticsearch              	       1       16       15        0        0
10323 python3-electrum                   	       1       14       13        0        0
10324 python3-enet                       	       1        9        8        0        0
10325 python3-ephem                      	       1       16       15        0        0
10326 python3-exceptiongroup             	       1       92       88        3        0
10327 python3-executing                  	       1      259      250        8        0
10328 python3-eyed3                      	       1       56       55        0        0
10329 python3-fido2                      	       1       37       36        0        0
10330 python3-flake8                     	       1       56       54        1        0
10331 python3-flask                      	       1      106      103        2        0
10332 python3-flufl.i18n                 	       1        2        1        0        0
10333 python3-flufl.lock                 	       1        2        1        0        0
10334 python3-fsapfs                     	       1       14       13        0        0
10335 python3-fuse                       	       1      498      448       49        0
10336 python3-gbinder                    	       1        7        6        0        0
10337 python3-gdbm                       	       1      108       97        4        6
10338 python3-germinate                  	       1        1        0        0        0
10339 python3-gevent                     	       1       34       32        1        0
10340 python3-gps                        	       1        8        5        2        0
10341 python3-gtts                       	       1       15       13        1        0
10342 python3-gtts-token                 	       1       12       11        0        0
10343 python3-guessit                    	       1        7        6        0        0
10344 python3-guestfs                    	       1       15       14        0        0
10345 python3-hamcrest                   	       1      292      276       15        0
10346 python3-httpcore                   	       1      455      440       14        0
10347 python3-humanfriendly              	       1       73       71        1        0
10348 python3-humanize                   	       1       31       29        1        0
10349 python3-ijson                      	       1        4        3        0        0
10350 python3-imagesize                  	       1      156      153        2        0
10351 python3-impacket                   	       1       35       34        0        0
10352 python3-incremental                	       1      297      281       15        0
10353 python3-influxdb                   	       1       36       35        0        0
10354 python3-iniconfig                  	       1      291      282        8        0
10355 python3-ipy                        	       1       26       25        0        0
10356 python3-itsdangerous               	       1      115      112        2        0
10357 python3-jaraco.classes             	       1      224      213       10        0
10358 python3-jmespath                   	       1      110      107        2        0
10359 python3-joblib                     	       1      152      143        8        0
10360 python3-jsbeautifier               	       1       28       27        0        0
10361 python3-json-pointer               	       1      268      257       10        0
10362 python3-jsondiff                   	       1       28       27        0        0
10363 python3-keyrings.alt               	       1       84       82        1        0
10364 python3-kopano-search              	       1        1        0        0        0
10365 python3-kopano-utils               	       1        1        0        0        0
10366 python3-langdetect                 	       1       18       16        1        0
10367 python3-lazr.config                	       1        2        1        0        0
10368 python3-lazr.delegates             	       1        2        1        0        0
10369 python3-ldap3                      	       1       52       51        0        0
10370 python3-ldapdomaindump             	       1       35       34        0        0
10371 python3-libarchive-c               	       1       39       38        0        0
10372 python3-libbde                     	       1       14       13        0        0
10373 python3-libcreg                    	       1       14       13        0        0
10374 python3-libesedb                   	       1       14       13        0        0
10375 python3-libevt                     	       1       14       13        0        0
10376 python3-libevtx                    	       1       14       13        0        0
10377 python3-libewf                     	       1       14       13        0        0
10378 python3-libfsext                   	       1       14       13        0        0
10379 python3-libfshfs                   	       1       14       13        0        0
10380 python3-libfsntfs                  	       1       17       16        0        0
10381 python3-libfsxfs                   	       1       14       13        0        0
10382 python3-libfvde                    	       1       14       13        0        0
10383 python3-libfwnt                    	       1       14       13        0        0
10384 python3-libfwsi                    	       1       14       13        0        0
10385 python3-liblnk                     	       1       14       13        0        0
10386 python3-libluksde                  	       1       14       13        0        0
10387 python3-libmsiecf                  	       1       14       13        0        0
10388 python3-libnmap                    	       1        7        6        0        0
10389 python3-libolecf                   	       1       14       13        0        0
10390 python3-libqcow                    	       1       15       14        0        0
10391 python3-libregf                    	       1       14       13        0        0
10392 python3-libsass                    	       1       50       47        2        0
10393 python3-libscca                    	       1       14       13        0        0
10394 python3-libsigscan                 	       1       14       13        0        0
10395 python3-libsmbios                  	       1       16       15        0        0
10396 python3-libsmdev                   	       1       14       13        0        0
10397 python3-libsmraw                   	       1       14       13        0        0
10398 python3-libvhdi                    	       1       14       13        0        0
10399 python3-libvmdk                    	       1       14       13        0        0
10400 python3-libvshadow                 	       1       14       13        0        0
10401 python3-libvslvm                   	       1       14       13        0        0
10402 python3-locket                     	       1       17       16        0        0
10403 python3-logutils                   	       1       11       10        0        0
10404 python3-lunr                       	       1      119      111        7        0
10405 python3-lzo                        	       1       64       61        2        0
10406 python3-mapi                       	       1        1        0        0        0
10407 python3-mapproxy                   	       1        2        1        0        0
10408 python3-matplotlib-inline          	       1      260      253        6        0
10409 python3-memcache                   	       1       17       16        0        0
10410 python3-mergedeep                  	       1      118      110        7        0
10411 python3-mimeparse                  	       1        7        6        0        0
10412 python3-mpi4py                     	       1       21       20        0        0
10413 python3-mpltoolkits.basemap        	       1        3        2        0        0
10414 python3-mygpoclient                	       1       20       19        0        0
10415 python3-mypy                       	       1       39       38        0        0
10416 python3-mythtv                     	       1        6        5        0        0
10417 python3-natsort                    	       1       86       81        4        0
10418 python3-nbxmpp                     	       1       59       57        1        0
10419 python3-nose2                      	       1       21       20        0        0
10420 python3-numexpr                    	       1       93       92        0        0
10421 python3-numpy-dev                  	       1        6        3        2        0
10422 python3-openshot                   	       1       81       80        0        0
10423 python3-paho-mqtt                  	       1       13       12        0        0
10424 python3-pampy                      	       1      272      245       26        0
10425 python3-pdm                        	       1        2        1        0        0
10426 python3-peewee                     	       1       20       19        0        0
10427 python3-pickleshare                	       1      262      255        6        0
10428 python3-plaso                      	       1       14       13        0        0
10429 python3-prompt-toolkit             	       1      317      308        8        0
10430 python3-proton-core                	       1       13       11        1        0
10431 python3-proton-vpn-api-core        	       1       12       11        0        0
10432 python3-proton-vpn-local-agent     	       1       10        9        0        0
10433 python3-proton-vpn-network-manager 	       1       12       11        0        0
10434 python3-psshlib                    	       1        9        7        1        0
10435 python3-public                     	       1        4        3        0        0
10436 python3-pure-eval                  	       1      258      251        6        0
10437 python3-pyasyncore                 	       1      125      116        8        0
10438 python3-pyclamd                    	       1        6        5        0        0
10439 python3-pycodestyle                	       1       88       86        1        0
10440 python3-pycountry                  	       1       73       70        2        0
10441 python3-pydecorate                 	       1        2        1        0        0
10442 python3-pyflakes                   	       1       71       69        1        0
10443 python3-pyfuse3                    	       1       30       29        0        0
10444 python3-pykka                      	       1       13       11        1        0
10445 python3-pylibacl                   	       1      518      468       49        0
10446 python3-pyqt5.qtwebkit             	       1      236      230        5        0
10447 python3-pyregfi                    	       1       26       25        0        0
10448 python3-pyroute2                   	       1       13       12        0        0
10449 python3-pysol-cards                	       1        3        2        0        0
10450 python3-pytest-xdist               	       1        5        4        0        0
10451 python3-pyx                        	       1        3        2        0        0
10452 python3-pyyaml-env-tag             	       1      118      110        7        0
10453 python3-qrtools                    	       1       40       39        0        0
10454 python3-radicale                   	       1       13       12        0        0
10455 python3-rcssmin                    	       1        2        1        0        0
10456 python3-repoze.who                 	       1        1        0        0        0
10457 python3-requests-cache             	       1       12       11        0        0
10458 python3-requests-oauthlib          	       1       30       26        3        0
10459 python3-restructuredtext-lint      	       1        2        1        0        0
10460 python3-rfc3986                    	       1      400      387       12        0
10461 python3-rfc3987                    	       1      260      249       10        0
10462 python3-rjsmin                     	       1        4        3        0        0
10463 python3-rpm                        	       1       34       33        0        0
10464 python3-searpc                     	       1        2        1        0        0
10465 python3-selenium                   	       1       37       33        3        0
10466 python3-send2trash                 	       1      106      104        1        0
10467 python3-service-identity           	       1      297      281       15        0
10468 python3-sfml                       	       1        2        1        0        0
10469 python3-shodan                     	       1        7        6        0        0
10470 python3-smartypants                	       1       14       13        0        0
10471 python3-snowballstemmer            	       1      153      150        2        0
10472 python3-spf-engine                 	       1        9        8        0        0
10473 python3-sqlalchemy                 	       1       95       91        3        0
10474 python3-sqlparse                   	       1       42       40        1        0
10475 python3-stack-data                 	       1      258      251        6        0
10476 python3-stetl                      	       1        3        2        0        0
10477 python3-stevedore                  	       1       29       28        0        0
10478 python3-tabulate                   	       1       52       51        0        0
10479 python3-termcolor                  	       1       21       20        0        0
10480 python3-textile                    	       1       20       19        0        0
10481 python3-texttable                  	       1      317      311        5        0
10482 python3-tinycss                    	       1      242      217       24        0
10483 python3-tld                        	       1       29       28        0        0
10484 python3-tlsh                       	       1       20       19        0        0
10485 python3-tomli                      	       1      119      111        7        0
10486 python3-traitlets                  	       1      323      315        7        0
10487 python3-trio-websocket             	       1       37       33        3        0
10488 python3-tsk                        	       1       15       14        0        0
10489 python3-ttystatus                  	       1       21       20        0        0
10490 python3-twisted-bin                	       1       41       40        0        0
10491 python3-unearth                    	       1        2        1        0        0
10492 python3-unidiff                    	       1      196      193        2        0
10493 python3-url-normalize              	       1       12       11        0        0
10494 python3-userpath                   	       1      142      130       11        0
10495 python3-virtualenv-clone           	       1       25       24        0        0
10496 python3-virtualenvwrapper          	       1        8        7        0        0
10497 python3-webcolors                  	       1      261      250       10        0
10498 python3-werkzeug                   	       1      118      114        3        0
10499 python3-wsproto                    	       1       65       61        3        0
10500 python3-xcffib                     	       1       49       47        1        0
10501 python3-xlib                       	       1      444      405       38        0
10502 python3-xlwt                       	       1       31       30        0        0
10503 python3-yara                       	       1       14       13        0        0
10504 python3-yaswfp                     	       1       29       28        0        0
10505 python3-ykman                      	       1       37       36        0        0
10506 python3-yubico                     	       1       25       23        1        0
10507 python3-zbar                       	       1       44       43        0        0
10508 python3-zope.configuration         	       1        2        1        0        0
10509 python3-zope.deprecation           	       1        2        1        0        0
10510 python3-zope.i18nmessageid         	       1        2        1        0        0
10511 python3-zope.schema                	       1        2        1        0        0
10512 python3-zstandard                  	       1       14       13        0        0
10513 python3.10-minimal                 	       1       27       26        0        0
10514 python3.4                          	       1       34       32        1        0
10515 python3.8-minimal                  	       1        6        5        0        0
10516 python3.9-venv                     	       1       45       43        1        0
10517 q4wine                             	       1       71       67        3        0
10518 qbittorrent-nox                    	       1       13       12        0        0
10519 qdmr                               	       1        7        6        0        0
10520 qdoc-qt5                           	       1      193      186        6        0
10521 qhelpgenerator-qt5                 	       1      186      179        6        0
10522 qjoypad                            	       1       14       13        0        0
10523 qlcplus                            	       1        7        6        0        0
10524 qmapshack                          	       1       15       13        1        0
10525 qml-module-io-thp-pyotherside      	       1       31        1        0       29
10526 qml-module-org-kde-activities      	       1      527       11        1      514
10527 qml-module-org-kde-purpose         	       1      769        2        0      766
10528 qml-module-qt-labs-qmlmodels       	       1      423        4        0      418
10529 qml-module-qtcharts                	       1       49        2        0       46
10530 qml6-module-org-kde-activities     	       1       22        0        0       21
10531 qml6-module-org-kde-kquickcontrols 	       1       22        2        1       18
10532 qml6-module-org-kde-ksysguard      	       1       22        1        1       19
10533 qmtn                               	       1        1        0        0        0
10534 qownnotes                          	       1        2        1        0        0
10535 qpdfview-djvu-plugin               	       1      307      288       18        0
10536 qpdfview-ps-plugin                 	       1      311      292       18        0
10537 qpxtool                            	       1       13       12        0        0
10538 qrq                                	       1       12       11        0        0
10539 qsynth                             	       1      120      114        5        0
10540 qt5-assistant                      	       1      199      192        6        0
10541 qt5-style-plugin-motif             	       1      303        3        0      299
10542 qt5dxcb-plugin                     	       1       32       16        0       15
10543 qt6-base-dev-tools                 	       1      143      135        7        0
10544 qt6-image-formats-plugin-pdf       	       1        9        2        0        6
10545 qt6-wayland                        	       1      279       10        1      267
10546 qt6-xdgdesktopportal-platformtheme 	       1        9        0        0        8
10547 qtattributionsscanner-qt5          	       1      186      179        6        0
10548 qtermwidget-data                   	       1        9        2        0        6
10549 qtermwidget5-data                  	       1      309       20        1      287
10550 qthid-fcd-controller               	       1        9        8        0        0
10551 qtqr                               	       1       43       42        0        0
10552 qttools5-dev                       	       1      106      101        4        0
10553 qttools5-dev-tools                 	       1      207      186        6       14
10554 quadrapassel                       	       1      374      337       36        0
10555 quake                              	       1        9        8        0        0
10556 quake2                             	       1        9        8        0        0
10557 quake3                             	       1        6        5        0        0
10558 qualitis-carpaltunnel              	       1        1        0        0        0
10559 quassel-client                     	       1        8        7        0        0
10560 quassel-data                       	       1      139        0        0      138
10561 quiterss                           	       1       18       17        0        0
10562 qv4l2                              	       1       25       24        0        0
10563 r-cran-shinythemes                 	       1        8        7        0        0
10564 r8168-dkms                         	       1       13       12        0        0
10565 radicale                           	       1       13       12        0        0
10566 raincat                            	       1       17       16        0        0
10567 randomsound                        	       1        3        2        0        0
10568 razercfg                           	       1        3        2        0        0
10569 rbdoom3bfg                         	       1        6        5        0        0
10570 rbenv                              	       1        9        8        0        0
10571 rdfind                             	       1       45       44        0        0
10572 rdiff                              	       1       26       25        0        0
10573 rdiff-backup                       	       1       41       40        0        0
10574 re                                 	       1      158      136       21        0
10575 realtek-r8125-dkms                 	       1        1        0        0        0
10576 recoverdm                          	       1       30       29        0        0
10577 recoverjpeg                        	       1       36       35        0        0
10578 redeclipse                         	       1       10        9        0        0
10579 redir                              	       1       16       15        0        0
10580 rednotebook                        	       1        8        7        0        0
10581 refind                             	       1       38       37        0        0
10582 regionset                          	       1       24       23        0        0
10583 regolith-control-center            	       1        1        0        0        0
10584 regolith-ftue                      	       1        1        0        0        0
10585 regolith-rofication                	       1        1        0        0        0
10586 regolith-session-common            	       1        1        0        0        0
10587 regolith-session-flashback         	       1        1        0        0        0
10588 regolith-unclutter-xfixes          	       1        1        0        0        0
10589 regripper                          	       1       17       16        0        0
10590 reiser4progs                       	       1       32       31        0        0
10591 remaster-iso                       	       1        2        1        0        0
10592 renameutils                        	       1       19       18        0        0
10593 renpy                              	       1        5        4        0        0
10594 renpy-thequestion                  	       1        5        4        0        0
10595 rephrase                           	       1       24       23        0        0
10596 reprepro                           	       1       23       22        0        0
10597 reptyr                             	       1       30       29        0        0
10598 retroarch                          	       1       27       24        2        0
10599 rfcdiff                            	       1        4        3        0        0
10600 rhash                              	       1       34       33        0        0
10601 rhino                              	       1       48       45        2        0
10602 ri-li                              	       1       18       17        0        0
10603 rien-certbot-manualdns-alwaysdata  	       1        1        0        0        0
10604 rien-host                          	       1        2        1        0        0
10605 rien-mx                            	       1        2        1        0        0
10606 rlvm                               	       1        4        3        0        0
10607 robocode                           	       1        4        3        0        0
10608 robotfindskitten                   	       1        5        4        0        0
10609 rocm-device-libs                   	       1       12       10        1        0
10610 rocm-llvm                          	       1        5        4        0        0
10611 rocm-ocl-icd                       	       1        6        2        0        3
10612 rocm-opencl                        	       1       16       13        2        0
10613 rocm-opencl-icd-loader             	       1        7        4        0        2
10614 rocminfo                           	       1       20       17        2        0
10615 rocprofiler-register               	       1       11        8        2        0
10616 rolldice                           	       1        8        7        0        0
10617 rsakeyfind                         	       1       24       23        0        0
10618 rsbackup                           	       1        7        6        0        0
10619 rst2pdf                            	       1       10        9        0        0
10620 rstudio-server                     	       1        3        2        0        0
10621 rsyslog-gnutls                     	       1       11        3        0        7
10622 rtl-433                            	       1       17       15        1        0
10623 rtl-sdr                            	       1       47       46        0        0
10624 rtorrent                           	       1       93       92        0        0
10625 rubber                             	       1        9        8        0        0
10626 ruby-asciidoctor                   	       1       63       62        0        0
10627 ruby-atk                           	       1       34       33        0        0
10628 ruby-build                         	       1        8        7        0        0
10629 ruby-builder                       	       1       42       39        2        0
10630 ruby-childprocess                  	       1       38       35        2        0
10631 ruby-chronic                       	       1        1        0        0        0
10632 ruby-classifier-reborn             	       1       10        9        0        0
10633 ruby-colorator                     	       1       10        9        0        0
10634 ruby-deep-merge                    	       1       29       28        0        0
10635 ruby-diffy                         	       1       22       19        2        0
10636 ruby-em-websocket                  	       1       11       10        0        0
10637 ruby-erubi                         	       1       55       52        2        0
10638 ruby-excon                         	       1       30       27        2        0
10639 ruby-faraday                       	       1       32       29        2        0
10640 ruby-fast-gettext                  	       1        3        2        0        0
10641 ruby-fast-stemmer                  	       1       10        9        0        0
10642 ruby-ffi                           	       1       89       16        0       72
10643 ruby-fog-core                      	       1       26       23        2        0
10644 ruby-fog-json                      	       1       26       24        1        0
10645 ruby-fog-libvirt                   	       1       26       23        2        0
10646 ruby-fog-xml                       	       1       26       24        1        0
10647 ruby-formatador                    	       1       26       23        2        0
10648 ruby-forwardable-extended          	       1       10        9        0        0
10649 ruby-gdk-pixbuf2                   	       1       35       34        0        0
10650 ruby-gio2                          	       1       36       35        0        0
10651 ruby-glib2                         	       1       37       36        0        0
10652 ruby-gobject-introspection         	       1       36       35        0        0
10653 ruby-google-protobuf               	       1       24       21        2        0
10654 ruby-googleapis-common-protos-types	       1       24       21        2        0
10655 ruby-googleauth                    	       1       24       21        2        0
10656 ruby-gpgme                         	       1        1        0        0        0
10657 ruby-highline                      	       1        8        7        0        0
10658 ruby-hocon                         	       1       23       22        0        0
10659 ruby-http-parser.rb                	       1       11       10        0        0
10660 ruby-i18n                          	       1       57       54        2        0
10661 ruby-ipaddress                     	       1       18       17        0        0
10662 ruby-jekyll-feed                   	       1       10        9        0        0
10663 ruby-jekyll-sass-converter         	       1       10        9        0        0
10664 ruby-jekyll-watch                  	       1       10        9        0        0
10665 ruby-jwt                           	       1       25       22        2        0
10666 ruby-kde4                          	       1        3        2        0        0
10667 ruby-kramdown                      	       1       20       19        0        0
10668 ruby-kramdown-parser-gfm           	       1       13       12        0        0
10669 ruby-libvirt                       	       1       26       23        2        0
10670 ruby-liquid                        	       1       12       11        0        0
10671 ruby-listen                        	       1       59       56        2        0
10672 ruby-little-plugger                	       1       24       21        2        0
10673 ruby-lockfile                      	       1        1        0        0        0
10674 ruby-log4r                         	       1       30       28        1        0
10675 ruby-logging                       	       1       24       21        2        0
10676 ruby-memoist                       	       1       26       23        2        0
10677 ruby-mercenary                     	       1       10        9        0        0
10678 ruby-method-source                 	       1       15       13        1        0
10679 ruby-mime                          	       1       25       24        0        0
10680 ruby-mini-exiftool                 	       1       28       27        0        0
10681 ruby-multipart-post                	       1       31       28        2        0
10682 ruby-mysql2                        	       1        5        4        0        0
10683 ruby-ncurses                       	       1        6        4        1        0
10684 ruby-net-http-digest-auth          	       1       27       26        0        0
10685 ruby-net-scp                       	       1       30       27        2        0
10686 ruby-net-sftp                      	       1       30       27        2        0
10687 ruby-net-ssh                       	       1       31       28        2        0
10688 ruby-nio4r                         	       1       15        5        0        9
10689 ruby-numerizer                     	       1        2        1        0        0
10690 ruby-oj                            	       1       42       39        2        0
10691 ruby-os                            	       1       24       21        2        0
10692 ruby-pathutil                      	       1       10        9        0        0
10693 ruby-plasma                        	       1        3        2        0        0
10694 ruby-pygments.rb                   	       1       13       12        0        0
10695 ruby-qt4                           	       1        6        5        0        0
10696 ruby-qt4-webkit                    	       1        3        2        0        0
10697 ruby-rb-inotify                    	       1       59       56        2        0
10698 ruby-rchardet                      	       1       18       17        0        0
10699 ruby-rdiscount                     	       1       12       11        0        0
10700 ruby-redcarpet                     	       1       16       15        0        0
10701 ruby-rouge                         	       1       21       20        0        0
10702 ruby-rubymail                      	       1        2        1        0        0
10703 ruby-sassc                         	       1       16       14        1        0
10704 ruby-semantic-puppet               	       1       16       15        0        0
10705 ruby-serialport                    	       1        1        0        0        0
10706 ruby-signet                        	       1       24       21        2        0
10707 ruby-spider                        	       1       26       25        0        0
10708 ruby-sqlite3                       	       1       84       64        3       16
10709 ruby-terminal-table                	       1        9        8        0        0
10710 ruby-tomlrb                        	       1       12       11        0        0
10711 ruby-unf-ext                       	       1       73       68        4        0
10712 ruby-vagrant-cloud                 	       1       29       26        2        0
10713 ruby-xapian                        	       1        1        0        0        0
10714 ruby-yajl                          	       1       10        9        0        0
10715 ruby2.5                            	       1       88       87        0        0
10716 rust-llvm                          	       1       12       10        1        0
10717 rxvt-ml                            	       1        4        3        0        0
10718 rygel-playbin                      	       1      132        4        0      127
10719 rygel-tracker                      	       1      129        3        0      125
10720 safeeyes                           	       1        4        3        0        0
10721 salliere                           	       1        9        8        0        0
10722 samba-ad-dc                        	       1       16       10        1        4
10723 samba-doc                          	       1       16       15        0        0
10724 samba-testsuite                    	       1        7        5        1        0
10725 sanitizer                          	       1        1        0        0        0
10726 sanoid                             	       1        4        3        0        0
10727 sash                               	       1       31       29        1        0
10728 sbcl                               	       1       69       66        2        0
10729 scamper                            	       1        3        2        0        0
10730 scanlogd                           	       1        1        0        0        0
10731 scanmem                            	       1       16       15        0        0
10732 scanssh                            	       1        9        8        0        0
10733 scdoc                              	       1       19       17        1        0
10734 schism                             	       1       19       18        0        0
10735 scid                               	       1       18       17        0        0
10736 scim                               	       1        8        7        0        0
10737 scim-anthy                         	       1        6        2        0        3
10738 scim-gtk-immodule                  	       1        8        2        0        5
10739 scim-im-agent                      	       1        8        7        0        0
10740 scim-modules-socket                	       1        8        2        0        5
10741 scorched3d                         	       1       13       12        0        0
10742 scottfree                          	       1        7        6        0        0
10743 scrounge-ntfs                      	       1       49       48        0        0
10744 scsitools                          	       1       30       29        0        0
10745 scummvm                            	       1       63       62        0        0
10746 scummvm-tools                      	       1       11       10        0        0
10747 sdkmanager                         	       1        5        4        0        0
10748 sdlfrotz                           	       1        7        6        0        0
10749 sdparm                             	       1       74       71        2        0
10750 seamonkey-mozilla-build            	       1       10        8        1        0
10751 searchandrescue                    	       1        9        8        0        0
10752 secback-libs                       	       1        1        0        0        0
10753 secback-server                     	       1        1        0        0        0
10754 self-service-password              	       1        2        1        0        0
10755 sendxmpp                           	       1        7        6        0        0
10756 sent                               	       1        8        7        0        0
10757 ser2net                            	       1        4        3        0        0
10758 setcd                              	       1       16       15        0        0
10759 sfcb                               	       1        1        0        0        0
10760 sfftobmp                           	       1        4        3        0        0
10761 sg3-utils-udev                     	       1       11       10        0        0
10762 sgrep                              	       1        5        4        0        0
10763 shadowsocks-libev                  	       1        5        4        0        0
10764 sharutils                          	       1      191      187        3        0
10765 shed                               	       1       33       32        0        0
10766 shelldap                           	       1        3        2        0        0
10767 shellinabox                        	       1        6        5        0        0
10768 shorewall                          	       1       21       19        1        0
10769 shorewall6                         	       1        6        4        1        0
10770 sidplayfp                          	       1       16       15        0        0
10771 signal-desktop-beta                	       1        2        0        0        1
10772 signify-openbsd                    	       1       17       16        0        0
10773 signing-party                      	       1        6        5        0        0
10774 simple-ccsm                        	       1        7        5        1        0
10775 sipcalc                            	       1       31       30        0        0
10776 sipcrack                           	       1       18       17        0        0
10777 sipgrep                            	       1       17       16        0        0
10778 sipvicious                         	       1       17       16        0        0
10779 sirikali                           	       1        9        8        0        0
10780 slashem                            	       1       21       19        1        0
10781 slay                               	       1       17       16        0        0
10782 slimbookbattery                    	       1        1        0        0        0
10783 slrnpull                           	       1        3        2        0        0
10784 sludge-engine                      	       1       10        9        0        0
10785 smarty3                            	       1        4        3        0        0
10786 smbc                               	       1        9        8        0        0
10787 smbios-utils                       	       1       16       15        0        0
10788 smbmap                             	       1       30       29        0        0
10789 smbnetfs                           	       1       21       20        0        0
10790 smemstat                           	       1        7        6        0        0
10791 smitools                           	       1        3        2        0        0
10792 smp-utils                          	       1        4        1        2        0
10793 smpeg-plaympeg                     	       1        6        5        0        0
10794 smplayer-themes                    	       1      499        4        0      494
10795 smtp-in                            	       1        2        1        0        0
10796 snap                               	       1       28       26        1        0
10797 sndfile-programs                   	       1       61       57        3        0
10798 sndfile-tools                      	       1       56       52        3        0
10799 snetz                              	       1        1        0        0        0
10800 sng                                	       1       25       24        0        0
10801 sngrep                             	       1       22       21        0        0
10802 sniffit                            	       1        4        3        0        0
10803 snmptrapd                          	       1        7        6        0        0
10804 snmptt                             	       1        5        4        0        0
10805 snort                              	       1        7        6        0        0
10806 snort-common                       	       1        8        7        0        0
10807 snort-common-libraries             	       1        8        7        0        0
10808 snowdrop                           	       1       23       22        0        0
10809 snowflake-proxy                    	       1        4        3        0        0
10810 socket                             	       1        3        2        0        0
10811 softmaker-office-2024              	       1        6        5        0        0
10812 software-properties-kde            	       1      106      102        3        0
10813 software-properties-qt             	       1      406      359       46        0
10814 solarwolf                          	       1        6        5        0        0
10815 sonnet6-plugins                    	       1       38        1        1       35
10816 soprano-daemon                     	       1       25       24        0        0
10817 sopwith                            	       1        7        6        0        0
10818 sosreport                          	       1        3        2        0        0
10819 sound-juicer                       	       1      286      260       25        0
10820 spacefm-common                     	       1       46        3        0       42
10821 spawn-fcgi                         	       1       77       76        0        0
10822 spectre-meltdown-checker           	       1       33       31        1        0
10823 speedtest-cli                      	       1      123      121        1        0
10824 spf-tools-perl                     	       1       20       19        0        0
10825 sphinx-common                      	       1      167      164        2        0
10826 sphinxbase                         	       1        1        0        0        0
10827 spice-client-gtk                   	       1       51       47        3        0
10828 spice-vdagent                      	       1       19       18        0        0
10829 spl                                	       1        8        1        0        6
10830 spl-dkms                           	       1        5        0        0        4
10831 splay                              	       1        4        3        0        0
10832 spring                             	       1       11       10        0        0
10833 springlobby                        	       1       11       10        0        0
10834 sq                                 	       1        5        4        0        0
10835 sq-keyring-linter                  	       1        4        3        0        0
10836 sqlite                             	       1       31       30        0        0
10837 squashfs-tools-ng                  	       1       26       25        0        0
10838 squidclient                        	       1        9        8        0        0
10839 squirrelmail                       	       1        1        0        0        0
10840 squirrelmail-compatibility         	       1        1        0        0        0
10841 squirrelmail-lockout               	       1        1        0        0        0
10842 squirrelmail-logger                	       1        1        0        0        0
10843 squirrelmail-quicksave             	       1        1        0        0        0
10844 squirrelmail-secure-login          	       1        1        0        0        0
10845 squirrelmail-sent-confirmation     	       1        1        0        0        0
10846 squirrelmail-viewashtml            	       1        1        0        0        0
10847 sqv                                	       1        5        4        0        0
10848 sqwebmail                          	       1        1        0        0        0
10849 srecord                            	       1       16       15        0        0
10850 srvadmin-cm                        	       1        2        1        0        0
10851 srvadmin-deng                      	       1        2        1        0        0
10852 srvadmin-deng-snmp                 	       1        1        0        0        0
10853 srvadmin-hapi                      	       1        2        1        0        0
10854 srvadmin-idrac-vmcli               	       1        1        0        0        0
10855 srvadmin-idracadm7                 	       1        1        0        0        0
10856 srvadmin-isvc                      	       1        2        1        0        0
10857 srvadmin-itunnelprovider           	       1        1        0        0        0
10858 srvadmin-jre                       	       1        2        1        0        0
10859 srvadmin-omacore                   	       1        2        1        0        0
10860 srvadmin-omcommon                  	       1        2        1        0        0
10861 srvadmin-omilcore                  	       1        2        1        0        0
10862 srvadmin-ominst                    	       1        2        1        0        0
10863 srvadmin-smcommon                  	       1        2        1        0        0
10864 srvadmin-smweb                     	       1        1        0        0        0
10865 srvadmin-storage                   	       1        2        1        0        0
10866 srvadmin-storelib-sysfs            	       1        2        1        0        0
10867 srvadmin-tomcat                    	       1        2        1        0        0
10868 ssdeep                             	       1       25       24        0        0
10869 ssh-audit                          	       1       27       26        0        0
10870 sshpass                            	       1      124      121        2        0
10871 sshuttle                           	       1       16       15        0        0
10872 ssldump                            	       1       32       30        1        0
10873 sslh                               	       1        1        0        0        0
10874 ssvnc                              	       1       36       35        0        0
10875 st                                 	       1        1        0        0        0
10876 statsprocessor                     	       1       23       22        0        0
10877 status-bar                         	       1        1        0        0        0
10878 ste-plugins                        	       1       15       13        1        0
10879 stegcracker                        	       1       23       22        0        0
10880 steghide                           	       1       44       42        1        0
10881 stegsnow                           	       1       24       23        0        0
10882 stellarium                         	       1      102      100        1        0
10883 stepic                             	       1       16       15        0        0
10884 stk                                	       1       69       64        4        0
10885 stow                               	       1       29       27        1        0
10886 stterm                             	       1       72       69        2        0
10887 subliminal                         	       1        4        3        0        0
10888 subnetcalc                         	       1       21       20        0        0
10889 subversion-tools                   	       1       38       34        3        0
10890 sucrack                            	       1       25       24        0        0
10891 sup-mail                           	       1        1        0        0        0
10892 super                              	       1        6        5        0        0
10893 superiotool                        	       1        3        2        0        0
10894 supertransball2                    	       1        7        6        0        0
10895 supertux                           	       1       50       49        0        0
10896 supertuxkart                       	       1       66       65        0        0
10897 surf                               	       1       70       66        3        0
10898 suricata                           	       1        6        5        0        0
10899 suricata-oinkmaster                	       1        3        2        0        0
10900 suricata-update                    	       1        5        4        0        0
10901 swaks                              	       1       48       47        0        0
10902 sway-notification-center           	       1       12        9        2        0
10903 swell-foop                         	       1      369      332       36        0
10904 swig3.0                            	       1       12       11        0        0
10905 sxid                               	       1        1        0        0        0
10906 sylfilter                          	       1       40       39        0        0
10907 sylpheed                           	       1       54       53        0        0
10908 syncevolution-common               	       1        3        2        0        0
10909 syncthing-discosrv                 	       1        5        4        0        0
10910 sysbench                           	       1       69       68        0        0
10911 sysconftool                        	       1        4        3        0        0
10912 sysfsutils                         	       1       69       68        0        0
10913 sysinfo                            	       1        6        5        0        0
10914 syslinux-efi                       	       1       24       23        0        0
10915 syslog-ng-mod-geoip                	       1        2        1        0        0
10916 syslog-ng-mod-journal              	       1        3        2        0        0
10917 syslog-ng-mod-json                 	       1        2        1        0        0
10918 sysrqd                             	       1        2        1        0        0
10919 systemtap-sdt-dev                  	       1       18       17        0        0
10920 systray-x-minimal                  	       1        2        1        0        0
10921 systune                            	       1        7        6        0        0
10922 sysvinit                           	       1     3132       54        1     3076
10923 tableau-parm                       	       1       24       23        0        0
10924 tagcoll                            	       1        4        3        0        0
10925 tali                               	       1      363      326       36        0
10926 talk                               	       1       39       36        2        0
10927 talkd                              	       1       22       19        2        0
10928 tardiff                            	       1        5        4        0        0
10929 tauthon                            	       1        1        0        0        0
10930 tcc                                	       1       38       37        0        0
10931 tcl-dev                            	       1       91       88        2        0
10932 tcl-tclex                          	       1        7        5        1        0
10933 tcl8.5                             	       1       41       40        0        0
10934 tcl8.6-tdbc                        	       1        5        4        0        0
10935 tcl8.6-tdbc-postgres               	       1        2        1        0        0
10936 tcl9.0                             	       1        2        1        0        0
10937 tclcurl                            	       1        9        8        0        0
10938 tclx8.4                            	       1        9        6        2        0
10939 tcpflow                            	       1       26       25        0        0
10940 tcpreplay                          	       1       20       19        0        0
10941 tcpspy                             	       1        5        4        0        0
10942 tcpstat                            	       1       11       10        0        0
10943 tcptrace                           	       1       34       33        0        0
10944 tcptrack                           	       1       25       24        0        0
10945 tcs                                	       1        2        1        0        0
10946 tde-style-qtcurve-trinity          	       1        6        5        0        0
10947 tdeaddons-tdefile-plugins-trinity  	       1       24       23        0        0
10948 tdebase-tdeio-smb-trinity          	       1       31       30        0        0
10949 tdemultimedia-tdeio-plugins-trinity	       1       32       31        0        0
10950 tdepim-tdeio-plugins-trinity       	       1       28       27        0        0
10951 tdepim-tderesources-trinity        	       1       29       28        0        0
10952 tdsodbc                            	       1       17        0        0       16
10953 tealdeer                           	       1        7        6        0        0
10954 teckit                             	       1      230      226        3        0
10955 tecnoballz                         	       1        8        7        0        0
10956 teeworlds                          	       1       11       10        0        0
10957 telnet-ssl                         	       1       27       26        0        0
10958 temurin-17-jdk                     	       1        9        8        0        0
10959 temurin-17-jre                     	       1        5        4        0        0
10960 tenace                             	       1        9        8        0        0
10961 termsaver                          	       1       69       68        0        0
10962 testssl.sh                         	       1       28       27        0        0
10963 tetrinet-client                    	       1       16       15        0        0
10964 texlive-lang-japanese              	       1       96       93        2        0
10965 texstudio                          	       1       69       65        3        0
10966 tf                                 	       1        7        6        0        0
10967 tftp                               	       1       47       15        0       31
10968 tftpd                              	       1       11       10        0        0
10969 tgn                                	       1        1        0        0        0
10970 thc-ipv6                           	       1        5        4        0        0
10971 thonny                             	       1       26       25        0        0
10972 thorium-browser                    	       1       11        8        2        0
10973 thunar-gtkhash                     	       1       26       13        0       12
10974 thunar-megasync                    	       1        6        4        0        1
10975 thunderbird-l10n-es-ar             	       1        6        5        0        0
10976 thunderbird-l10n-ru                	       1       10        9        0        0
10977 tigervnc-scraping-server           	       1       32       31        0        0
10978 tigervnc-tools                     	       1       73       70        2        0
10979 time-decode                        	       1       17       16        0        0
10980 timeit                             	       1        1        0        0        0
10981 timelimit                          	       1        9        8        0        0
10982 timeoutd                           	       1        1        0        0        0
10983 tinc                               	       1       18       17        0        0
10984 tint                               	       1       20       18        1        0
10985 tintin++                           	       1        8        7        0        0
10986 tinymux                            	       1        4        3        0        0
10987 tio                                	       1       11       10        0        0
10988 tipp10                             	       1       22       21        0        0
10989 tk-html3                           	       1       18       17        0        0
10990 tk8.5                              	       1       27       26        0        0
10991 tkmib                              	       1       10        9        0        0
10992 tmx-cups                           	       1        1        0        0        0
10993 tnef                               	       1       34       33        0        0
10994 todour                             	       1        2        1        0        0
10995 tomboy-ng                          	       1        6        5        0        0
10996 tomcat10-common                    	       1        7        6        0        0
10997 tomoyo-tools                       	       1       28       27        0        0
10998 toot                               	       1       13       11        1        0
10999 torcs                              	       1       15       14        0        0
11000 torus-trooper                      	       1        7        6        0        0
11001 toxic                              	       1        5        4        0        0
11002 toybox                             	       1        3        2        0        0
11003 tpm-tools                          	       1        9        7        1        0
11004 trac                               	       1        3        2        0        0
11005 tracpaththeme                      	       1        1        0        0        0
11006 trader                             	       1        2        1        0        0
11007 translate-toolkit                  	       1       47       44        2        0
11008 translatelocally                   	       1        1        0        0        0
11009 transmission-qt                    	       1       35       34        0        0
11010 trayer                             	       1       25       24        0        0
11011 trickle                            	       1       20       19        0        0
11012 trn4                               	       1        4        3        0        0
11013 tsocks                             	       1       14       13        0        0
11014 tstools                            	       1        6        5        0        0
11015 ttf-aenigma                        	       1       16        2        0       13
11016 ttf-denemo                         	       1        5        1        0        3
11017 ttf-sazanami-gothic                	       1        2        0        0        1
11018 ttf-sazanami-mincho                	       1        4        0        0        3
11019 tty-clock                          	       1       16       14        1        0
11020 tty-solitaire                      	       1        4        3        0        0
11021 tucnak                             	       1        7        6        0        0
11022 tuxfootball                        	       1       10        9        0        0
11023 tuxmath                            	       1       30       29        0        0
11024 tuxpuck                            	       1       12       11        0        0
11025 twms                               	       1        2        1        0        0
11026 tzwatch                            	       1        8        7        0        0
11027 u-boot                             	       1        2        0        0        1
11028 u3-tool                            	       1        3        2        0        0
11029 ucblogo                            	       1        7        6        0        0
11030 ucspi-tcp                          	       1       16       15        0        0
11031 ucspi-unix                         	       1        6        5        0        0
11032 udiskie                            	       1       30       28        1        0
11033 ueberzug                           	       1       23       20        2        0
11034 ufoai                              	       1       17       16        0        0
11035 ufraw                              	       1       24       23        0        0
11036 ufraw-batch                        	       1      110      109        0        0
11037 ugrep                              	       1       24       23        0        0
11038 uhd-host                           	       1       24       23        0        0
11039 uim-qt5-immodule                   	       1       34        7        1       25
11040 ultracopier                        	       1        3        2        0        0
11041 umbrello                           	       1       50       47        2        0
11042 unaccent                           	       1        3        2        0        0
11043 unadf                              	       1       17       16        0        0
11044 unalz                              	       1       28       26        1        0
11045 ungoogled-chromium                 	       1       11       10        0        0
11046 uni2ascii                          	       1       33       31        1        0
11047 unicode                            	       1       23       20        2        0
11048 unison-2.51+4.11.1                 	       1       15       14        0        0
11049 unison-2.52-gtk                    	       1       24       23        0        0
11050 unison-gtk                         	       1       30       29        0        0
11051 unison2.32.52                      	       1        2        1        0        0
11052 uniutils                           	       1        6        5        0        0
11053 unixodbc                           	       1       82       81        0        0
11054 unixodbc-dev                       	       1      105      103        1        0
11055 unknown-horizons                   	       1        7        6        0        0
11056 unmass                             	       1        9        8        0        0
11057 unmo3                              	       1       17       16        0        0
11058 unoconv                            	       1       58       57        0        0
11059 unp                                	       1       48       47        0        0
11060 unrtf                              	       1       38       37        0        0
11061 unshield                           	       1       27       26        0        0
11062 unyaffs                            	       1        3        2        0        0
11063 upgrade-system                     	       1       12       10        1        0
11064 upnp-router-control                	       1        2        1        0        0
11065 upx-ucl                            	       1       20       19        0        0
11066 urbackup-server                    	       1        1        0        0        0
11067 urlscan                            	       1        9        8        0        0
11068 usbguard                           	       1        5        4        0        0
11069 usbredirserver                     	       1        5        4        0        0
11070 usbrelay                           	       1        3        2        0        0
11071 usbview                            	       1       37       36        0        0
11072 user-mode-linux                    	       1        6        5        0        0
11073 user-setup                         	       1      163      155        7        0
11074 usermode                           	       1      179      161       17        0
11075 ussp-push                          	       1        5        4        0        0
11076 utf8-migration-tool                	       1        3        2        0        0
11077 util-vserver-build                 	       1       21       20        0        0
11078 uudeview                           	       1       27       26        0        0
11079 uvccapture                         	       1       16       15        0        0
11080 uw-imapd                           	       1        4        3        0        0
11081 v4l2loopback-utils                 	       1       36       33        2        0
11082 vacation                           	       1       15       14        0        0
11083 vagrant                            	       1       37       34        2        0
11084 vagrant-libvirt                    	       1       26       23        2        0
11085 valac                              	       1       38       37        0        0
11086 valac-bin                          	       1       36       35        0        0
11087 vbindiff                           	       1       18       15        2        0
11088 vco-plugins                        	       1       19       17        1        0
11089 vcsh                               	       1       10        9        0        0
11090 vdr                                	       1        8        7        0        0
11091 vdr-plugin-streamdev-server        	       1        1        0        0        0
11092 verse                              	       1        6        4        1        0
11093 vgrabbj                            	       1        8        7        0        0
11094 view3dscene                        	       1        5        4        0        0
11095 vile                               	       1        3        2        0        0
11096 vile-common                        	       1        3        2        0        0
11097 vinagre                            	       1       70       69        0        0
11098 vino                               	       1      297      271       25        0
11099 virt-p2v                           	       1       73       68        4        0
11100 virt-top                           	       1       28       27        0        0
11101 virtualbox-5.2                     	       1        4        3        0        0
11102 vitetris                           	       1       11       10        0        0
11103 vivaldi-snapshot                   	       1        6        5        0        0
11104 vkd3d-compiler                     	       1       48       47        0        0
11105 vlc                                	       1     1199       49        1     1148
11106 vlc-data                           	       1     1649       15        1     1632
11107 vlc-nox                            	       1       18       11        1        5
11108 vlc-plugin-fluidsynth              	       1       66        3        0       62
11109 vlc-plugin-notify                  	       1     1109       13        1     1094
11110 vlc-plugin-samba                   	       1     1066       11        1     1053
11111 vlevel                             	       1        6        5        0        0
11112 vmfs-tools                         	       1       10        9        0        0
11113 volatility                         	       1        5        4        0        0
11114 volatility-tools                   	       1        6        5        0        0
11115 voms-dev                           	       1        1        0        0        0
11116 vpx-tools                          	       1       10        9        0        0
11117 vrms                               	       1       50       48        1        0
11118 vsdump                             	       1        1        0        0        0
11119 vsmartcard-vpcd                    	       1        2        1        0        0
11120 vtable-dumper                      	       1        3        2        0        0
11121 vtgrab                             	       1        4        3        0        0
11122 vtun                               	       1       27       24        2        0
11123 vtwm                               	       1        6        5        0        0
11124 vufind                             	       1        1        0        0        0
11125 vuls                               	       1        4        3        0        0
11126 vvmplayer                          	       1        2        0        1        0
11127 w-scan                             	       1       24       23        0        0
11128 wabt                               	       1       27       26        0        0
11129 wah-plugins                        	       1       18       16        1        0
11130 wamerican-huge                     	       1       24       23        0        0
11131 wamerican-insane                   	       1       27       26        0        0
11132 wamerican-large                    	       1       22       21        0        0
11133 wamerican-small                    	       1       22       21        0        0
11134 wapiti                             	       1       29       28        0        0
11135 warmux                             	       1       23       22        0        0
11136 warzone2100                        	       1       16       15        0        0
11137 wavpack                            	       1      146      141        4        0
11138 waydroid                           	       1        7        6        0        0
11139 wbar                               	       1       13       12        0        0
11140 wbritish-huge                      	       1       26       25        0        0
11141 wbritish-insane                    	       1       31       30        0        0
11142 wbritish-large                     	       1       20       19        0        0
11143 wbritish-small                     	       1       20       19        0        0
11144 wbulgarian                         	       1       22       21        0        0
11145 wcanadian                          	       1       18       17        0        0
11146 wcanadian-huge                     	       1       18       17        0        0
11147 wcanadian-insane                   	       1       19       18        0        0
11148 wcanadian-large                    	       1       18       17        0        0
11149 wcanadian-small                    	       1       18       17        0        0
11150 wcatalan                           	       1       23       22        0        0
11151 weasyprint                         	       1       12       11        0        0
11152 webex                              	       1        9        8        0        0
11153 webext-privacy-badger              	       1        9        8        0        0
11154 webext-ublock-origin-chromium      	       1       40       39        0        0
11155 webp                               	       1       87       86        0        0
11156 weechat-core                       	       1       54        7        0       46
11157 weechat-curses                     	       1       54       51        2        0
11158 weechat-perl                       	       1       48        4        0       43
11159 weechat-plugins                    	       1       54        7        0       46
11160 weechat-python                     	       1       53        5        0       47
11161 weechat-ruby                       	       1       47        4        0       42
11162 weplab                             	       1       19       18        0        0
11163 wesnoth-1.18                       	       1       12       10        1        0
11164 weston                             	       1       25       24        0        0
11165 wev                                	       1        6        5        0        0
11166 wfaroese                           	       1       15       14        0        0
11167 wfrench                            	       1      129      122        6        0
11168 wfuzz                              	       1       26       25        0        0
11169 wgaelic                            	       1       15       14        0        0
11170 whatmaps                           	       1        1        0        0        0
11171 whatweb                            	       1       17       16        0        0
11172 whichman                           	       1        3        2        0        0
11173 wicd-curses                        	       1       13       11        1        0
11174 widelands                          	       1       15       14        0        0
11175 wifite                             	       1       30       29        0        0
11176 wine-binfmt                        	       1       47       32        2       12
11177 wine-development                   	       1       14       13        0        0
11178 wine-stable                        	       1       46       42        3        0
11179 wine-stable-amd64                  	       1       45       41        3        0
11180 wine-stable-i386                   	       1       47       43        3        0
11181 wine-staging                       	       1       12       11        0        0
11182 wine-staging-amd64                 	       1       12       11        0        0
11183 wine-staging-i386                  	       1       12       11        0        0
11184 wine32-preloader                   	       1       24       23        0        0
11185 wine64-development                 	       1       15       14        0        0
11186 wine64-development-preloader       	       1        5        4        0        0
11187 wine64-development-tools           	       1        4        3        0        0
11188 wine64-preloader                   	       1       29       27        1        0
11189 wine64-tools                       	       1       34       32        1        0
11190 winehq-stable                      	       1       42       38        3        0
11191 winregfs                           	       1       24       23        0        0
11192 wipe                               	       1       64       63        0        0
11193 wirish                             	       1       18       17        0        0
11194 witalian                           	       1      142      121        4       16
11195 wks2ods                            	       1        2        1        0        0
11196 wlr-randr                          	       1       11       10        0        0
11197 wm-icons                           	       1       16       15        0        0
11198 wmanx                              	       1       15       14        0        0
11199 wmauda                             	       1        5        4        0        0
11200 wmbubble                           	       1        5        4        0        0
11201 wmcpuload                          	       1       12       11        0        0
11202 wmifinfo                           	       1        4        3        0        0
11203 wmix                               	       1       10        9        0        0
11204 wmmixer                            	       1       12       11        0        0
11205 wmmon                              	       1       11       10        0        0
11206 wmnet                              	       1       13       12        0        0
11207 wmsystemtray                       	       1        9        8        0        0
11208 wmweather                          	       1       10        9        0        0
11209 wmxmms2                            	       1        4        3        0        0
11210 wogerman                           	       1       16       15        0        0
11211 woof-doom                          	       1        3        2        0        0
11212 wordpress                          	       1        9        8        0        0
11213 workrave                           	       1       16       14        1        0
11214 wp2x                               	       1       13       12        0        0
11215 wpagui                             	       1       50       48        1        0
11216 wpd2odt                            	       1        4        3        0        0
11217 wpg2odg                            	       1        1        0        0        0
11218 wportuguese                        	       1       20       19        0        0
11219 wps2odt                            	       1        2        1        0        0
11220 wsdd2                              	       1        3        2        0        0
11221 wspanish                           	       1      290      271       18        0
11222 wswedish                           	       1       33       32        0        0
11223 wswiss                             	       1       24       23        0        0
11224 wtmpdb                             	       1       54       42       11        0
11225 wukrainian                         	       1       21       20        0        0
11226 wv                                 	       1       38       37        0        0
11227 wx3.0-headers                      	       1       71       70        0        0
11228 wx3.2-headers                      	       1       66       64        1        0
11229 wxhexeditor                        	       1       36       35        0        0
11230 wxmaxima                           	       1       28       27        0        0
11231 wzip                               	       1       17       16        0        0
11232 x11-touchscreen-calibrator         	       1        4        3        0        0
11233 x11-xfs-utils                      	       1      151      146        4        0
11234 x11proto-randr-dev                 	       1      179       16        0      162
11235 x11proto-render-dev                	       1      168       24        0      143
11236 x11proto-scrnsaver-dev             	       1      208       15        0      192
11237 x11proto-video-dev                 	       1       60       11        0       48
11238 x11proto-xinerama-dev              	       1      178       16        0      161
11239 x264                               	       1       63       61        1        0
11240 x2godesktopsharing                 	       1        6        5        0        0
11241 x2goserver-fmbindings              	       1       62       58        3        0
11242 x2goserver-printing                	       1       62       58        3        0
11243 x86info                            	       1       22       21        0        0
11244 xapian-examples                    	       1        4        3        0        0
11245 xapian-tools                       	       1       14       13        0        0
11246 xapt                               	       1        1        0        0        0
11247 xautomation                        	       1       19       17        1        0
11248 xawtv-tools                        	       1        5        4        0        0
11249 xbacklight                         	       1       67       64        2        0
11250 xbanish                            	       1        4        3        0        0
11251 xboard                             	       1       55       54        0        0
11252 xbomb                              	       1       19       18        0        0
11253 xcalib                             	       1       60       59        0        0
11254 xchm                               	       1       48       45        2        0
11255 xcompmgr                           	       1       35       33        1        0
11256 xcwcp                              	       1       16       15        0        0
11257 xd                                 	       1        4        3        0        0
11258 xdemineur                          	       1       18       17        0        0
11259 xdg-desktop-portal-lxqt            	       1        6        0        0        5
11260 xdg-desktop-portal-regolith        	       1        1        0        0        0
11261 xdg-desktop-portal-wlr             	       1       22       19        2        0
11262 xdg-desktop-portal-xapp            	       1       26       22        3        0
11263 xdiskusage                         	       1       30       29        0        0
11264 xdman                              	       1        1        0        0        0
11265 xdms                               	       1       11       10        0        0
11266 xe-guest-utilities                 	       1        5        2        2        0
11267 xemacs21-mule                      	       1       15       14        0        0
11268 xeroxofficeprtdrv                  	       1        3        2        0        0
11269 xfce4-dev-tools                    	       1       34       32        1        0
11270 xfce4-mailwatch-plugin             	       1     1648        5        0     1642
11271 xfce4-mixer                        	       1       11        9        1        0
11272 xfce4-verve-plugin                 	       1     1653       35        1     1616
11273 xfonts-base                        	       1     3247        3        0     3243
11274 xfonts-cronyx-75dpi                	       1        9        0        0        8
11275 xfonts-terminus                    	       1      209        0        0      208
11276 xjadeo                             	       1       68       66        1        0
11277 xkcdpass                           	       1       27       26        0        0
11278 xkeycaps                           	       1       30       28        1        0
11279 xloadimage                         	       1       38       37        0        0
11280 xmaxima                            	       1       12       11        0        0
11281 xmille                             	       1        6        5        0        0
11282 xmlbeans                           	       1       19       18        0        0
11283 xmltv-util                         	       1       14       13        0        0
11284 xmms2-plugin-asf                   	       1       10        9        0        0
11285 xmms2-plugin-curl                  	       1       13       12        0        0
11286 xmms2-plugin-daap                  	       1       10        9        0        0
11287 xmms2-plugin-faad                  	       1       11       10        0        0
11288 xmms2-plugin-flac                  	       1       17       16        0        0
11289 xmms2-plugin-flv                   	       1       11       10        0        0
11290 xmms2-plugin-ices                  	       1       12       11        0        0
11291 xmms2-plugin-icymetaint            	       1       13       12        0        0
11292 xmms2-plugin-jack                  	       1       11       10        0        0
11293 xmms2-plugin-m3u                   	       1       13       12        0        0
11294 xmms2-plugin-mms                   	       1        9        8        0        0
11295 xmms2-plugin-modplug               	       1       10        9        0        0
11296 xmms2-plugin-mp4                   	       1       13       12        0        0
11297 xmms2-plugin-pls                   	       1       11       10        0        0
11298 xmms2-plugin-sid                   	       1       10        9        0        0
11299 xmobar                             	       1       11       10        0        0
11300 xmoto                              	       1       12       11        0        0
11301 xmount                             	       1       34       33        0        0
11302 xmp                                	       1       18       17        0        0
11303 xosview                            	       1       33       32        0        0
11304 xpad                               	       1       23       22        0        0
11305 xpat2                              	       1       13       12        0        0
11306 xphoon                             	       1       11       10        0        0
11307 xplanet                            	       1       58       57        0        0
11308 xplot-xplot.org                    	       1       32       31        0        0
11309 xrescat                            	       1        1        0        0        0
11310 xrootconsole                       	       1        8        7        0        0
11311 xscorch                            	       1       11       10        0        0
11312 xscreensaver-data-extra            	       1      181       33        0      147
11313 xscreensaver-gl-extra              	       1      157       23        0      133
11314 xsct                               	       1       12       11        0        0
11315 xsensors                           	       1       62       59        2        0
11316 xserver-xephyr                     	       1      273      253       19        0
11317 xserver-xorg                       	       1     3190       16        1     3172
11318 xserver-xorg-dev                   	       1       76       74        1        0
11319 xserver-xorg-input-joystick        	       1       17       16        0        0
11320 xserver-xorg-input-kbd             	       1       55       53        1        0
11321 xserver-xorg-input-multitouch      	       1       11       10        0        0
11322 xserver-xorg-input-vmmouse         	       1       17       15        1        0
11323 xserver-xorg-video-geode           	       1       14       12        1        0
11324 xserver-xorg-video-modesetting     	       1       18       16        1        0
11325 xserver-xorg-video-nvidia-legacy-340xx	       1        9        8        0        0
11326 xserver-xorg-video-nvidia-legacy-390xx	       1       14       13        0        0
11327 xserver-xorg-video-nvidia-tesla-470	       1       16       15        0        0
11328 xskat                              	       1       17       16        0        0
11329 xsol                               	       1       23       22        0        0
11330 xsoldier                           	       1        8        7        0        0
11331 xsystem35                          	       1        4        3        0        0
11332 xtrlock                            	       1       25       23        1        0
11333 xutils-dev                         	       1       76       74        1        0
11334 xva-img                            	       1       15       14        0        0
11335 xvkbd                              	       1       38       34        3        0
11336 xwallpaper                         	       1       15       13        1        0
11337 xwrited                            	       1        3        2        0        0
11338 xygrib                             	       1        7        6        0        0
11339 xzip                               	       1       14       13        0        0
11340 yad                                	       1      207      198        8        0
11341 yagiuda                            	       1        9        8        0        0
11342 yamagi-quake2                      	       1       10        9        0        0
11343 yamagi-quake2-core                 	       1       10        9        0        0
11344 yandex-browser-beta                	       1        2        1        0        0
11345 yara                               	       1       28       27        0        0
11346 yasm                               	       1       97       96        0        0
11347 ycmd                               	       1       14       12        1        0
11348 yoshimi                            	       1       16       15        0        0
11349 ypserv                             	       1        8        7        0        0
11350 ytree                              	       1        9        8        0        0
11351 yubico-piv-tool                    	       1       16       15        0        0
11352 yubikey-val                        	       1        1        0        0        0
11353 yuki-iptv                          	       1        1        0        0        0
11354 yuzu                               	       1        4        3        0        0
11355 z-push-backend-kopano              	       1        1        0        0        0
11356 z-push-common                      	       1        1        0        0        0
11357 z-push-ipc-sharedmemory            	       1        1        0        0        0
11358 z80asm                             	       1       11        9        1        0
11359 zabbix-server-pgsql                	       1        2        1        0        0
11360 zathura-cb                         	       1       19        1        0       17
11361 zathura-djvu                       	       1       37        1        0       35
11362 zathura-ps                         	       1       38        1        0       36
11363 zbar-tools                         	       1       67       65        1        0
11364 zeroc-ice-compilers                	       1        3        2        0        0
11365 zfsnap                             	       1        5        4        0        0
11366 zipalign                           	       1       34       33        0        0
11367 zipper.app                         	       1        9        8        0        0
11368 ziptool                            	       1       12       10        1        0
11369 zmap                               	       1       10        9        0        0
11370 znc-backlog                        	       1        3        2        0        0
11371 znc-perl                           	       1       13       12        0        0
11372 znc-python                         	       1       13       12        0        0
11373 znc-tcl                            	       1       13       12        0        0
11374 zoo                                	       1       34       33        0        0
11375 zoom-player                        	       1        9        8        0        0
11376 zopfli                             	       1        9        8        0        0
11377 zp                                 	       1        6        5        0        0
11378 zram-tools                         	       1       53       51        1        0
11379 zsh-static                         	       1        5        3        1        0
11380 zssh                               	       1       12       11        0        0
11381 zurl                               	       1        1        0        0        0
11382 zutils                             	       1       19       18        0        0
11383 zvbi                               	       1        3        2        0        0
11384 zynaddsubfx                        	       1       59       56        2        0
11385 0ad-data                           	       0       59        0        0       59
11386 0ad-data-common                    	       0       61        0        0       61
11387 0install                           	       0       12       12        0        0
11388 0install-core                      	       0       32       32        0        0
11389 0xffff                             	       0        3        3        0        0
11390 1c-enterprise-8.3.18.1208-common   	       0        1        0        0        1
11391 1c-enterprise-8.3.18.1208-common-nls	       0        1        0        0        1
11392 1c-enterprise-8.3.18.1208-crs      	       0        1        0        0        1
11393 1c-enterprise-8.3.18.1208-server   	       0        1        0        0        1
11394 1c-enterprise-8.3.18.1208-server-nls	       0        1        0        0        1
11395 1c-enterprise-8.3.18.1208-ws       	       0        1        0        0        1
11396 1c-enterprise-8.3.18.1208-ws-nls   	       0        1        0        0        1
11397 1c-enterprise-8.3.18.1563-common   	       0        1        0        0        1
11398 1c-enterprise-8.3.18.1563-common-nls	       0        1        0        0        1
11399 1c-enterprise-8.3.18.1563-crs      	       0        1        0        0        1
11400 1c-enterprise-8.3.18.1563-server   	       0        1        0        0        1
11401 1c-enterprise-8.3.18.1563-server-nls	       0        1        0        0        1
11402 1c-enterprise-8.3.18.1563-ws       	       0        1        0        0        1
11403 1c-enterprise-8.3.18.1563-ws-nls   	       0        1        0        0        1
11404 1c-enterprise-8.3.19.1665-common   	       0        1        0        0        1
11405 1c-enterprise-8.3.19.1665-common-nls	       0        1        0        0        1
11406 1c-enterprise-8.3.19.1665-crs      	       0        1        0        0        1
11407 1c-enterprise-8.3.19.1665-server   	       0        1        0        0        1
11408 1c-enterprise-8.3.19.1665-server-nls	       0        1        0        0        1
11409 1c-enterprise-8.3.19.1665-ws       	       0        1        0        0        1
11410 1c-enterprise-8.3.19.1665-ws-nls   	       0        1        0        0        1
11411 1c-enterprise83-common             	       0        1        0        0        1
11412 1c-enterprise83-common-nls         	       0        1        0        0        1
11413 1c-enterprise83-crs                	       0        1        0        0        1
11414 1c-enterprise83-server             	       0        1        0        0        1
11415 1c-enterprise83-server-nls         	       0        1        0        0        1
11416 1c-enterprise83-ws                 	       0        1        0        0        1
11417 1c-enterprise83-ws-nls             	       0        1        0        0        1
11418 1oom                               	       0        1        1        0        0
11419 1password                          	       0        9        2        1        6
11420 1password-cli                      	       0        3        3        0        0
11421 2048                               	       0       13       13        0        0
11422 2048-qt                            	       0       19       19        0        0
11423 2to3                               	       0       80       77        3        0
11424 2vcard                             	       0        5        5        0        0
11425 3270-common                        	       0       12       12        0        0
11426 389-ds-base-libs                   	       0        1        0        0        1
11427 3depict                            	       0        1        1        0        0
11428 4digits                            	       0        3        3        0        0
11429 4kimagecompressor                  	       0        1        1        0        0
11430 4kslideshowmaker                   	       0        1        1        0        0
11431 4kstogram                          	       0        1        1        0        0
11432 4ktokkit                           	       0        2        2        0        0
11433 4kvideodownloader                  	       0        6        5        0        1
11434 4kvideodownloaderplus              	       0        2        2        0        0
11435 4kvideotomp3                       	       0        1        1        0        0
11436 4kyoutubetomp3                     	       0        1        1        0        0
11437 4l                                 	       0        1        1        0        0
11438 4pane                              	       0        4        4        0        0
11439 4ti2                               	       0       11       11        0        0
11440 64tass                             	       0        1        1        0        0
11441 6tunnel                            	       0        3        3        0        0
11442 7kaa-data                          	       0       17        0        0       17
11443 7w                                 	       0        1        1        0        0
11444 7zip-standalone                    	       0        3        3        0        0
11445 8814au                             	       0        1        0        0        1
11446 915resolution                      	       0        1        1        0        0
11447 9base                              	       0        9        9        0        0
11448 9menu                              	       0       18       17        1        0
11449 9mount                             	       0        6        6        0        0
11450 9wm                                	       0        8        8        0        0
11451 a2d                                	       0        2        2        0        0
11452 a56                                	       0        2        2        0        0
11453 a7xpg-data                         	       0        9        0        0        9
11454 aa3d                               	       0        6        6        0        0
11455 aac-enc                            	       0        7        7        0        0
11456 aacgain                            	       0        2        2        0        0
11457 aacplusenc                         	       0        5        5        0        0
11458 aacskeys                           	       0       10       10        0        0
11459 aad                                	       0        1        1        0        0
11460 aajm                               	       0        2        2        0        0
11461 aaphoto                            	       0        8        8        0        0
11462 aasvg                              	       0        2        2        0        0
11463 abacas                             	       0        1        1        0        0
11464 abakus-trinity                     	       0        1        1        0        0
11465 abbtr                              	       0        2        2        0        0
11466 abby                               	       0        1        1        0        0
11467 abcl                               	       0        2        2        0        0
11468 abcm2ps                            	       0        3        3        0        0
11469 abcmidi                            	       0       10       10        0        0
11470 abe-data                           	       0        9        0        0        9
11471 abgate                             	       0        4        4        0        0
11472 abigail-doc                        	       0        2        0        0        2
11473 abigail-tools                      	       0        3        3        0        0
11474 abinit                             	       0        1        1        0        0
11475 abinit-data                        	       0        1        0        0        1
11476 abinit-doc                         	       0        1        0        0        1
11477 abiword-common                     	       0       96        0        0       96
11478 abiword-help                       	       0        2        0        0        2
11479 abiword-plugin-grammar             	       0       85        1        0       84
11480 abiword-plugin-mathview            	       0        1        0        0        1
11481 abiword-plugins-gnome              	       0        1        0        0        1
11482 ableton-link-utils                 	       0        2        2        0        0
11483 ableton-link-utils-gui             	       0        1        1        0        0
11484 abntex                             	       0        1        0        0        1
11485 abr2gbr                            	       0        5        5        0        0
11486 abraca                             	       0        1        1        0        0
11487 abricotine                         	       0        1        0        0        1
11488 abs-guide                          	       0       14        0        0       14
11489 abuse                              	       0        2        2        0        0
11490 abuse-lib                          	       0        2        0        0        2
11491 abuse-sfx                          	       0        1        0        0        1
11492 abx                                	       0        3        3        0        0
11493 abyss                              	       0        1        1        0        0
11494 acarsdec                           	       0        1        1        0        0
11495 acccheck                           	       0        1        1        0        0
11496 accel-ppp                          	       0        1        1        0        0
11497 accerciser                         	       0        1        1        0        0
11498 accounts-qml-module-doc            	       0        1        0        0        1
11499 ace                                	       0        1        1        0        0
11500 ace-gperf                          	       0        3        3        0        0
11501 acedb-other                        	       0        2        1        0        1
11502 acedb-other-belvu                  	       0        1        0        0        1
11503 acedb-other-dotter                 	       0        1        0        0        1
11504 acetoneiso                         	       0       19       19        0        0
11505 acfax                              	       0        1        1        0        0
11506 acheck                             	       0        1        1        0        0
11507 acheck-rules                       	       0        1        0        0        1
11508 achilles                           	       0        1        1        0        0
11509 ack                                	       0       27       27        0        0
11510 ack-grep                           	       0        3        0        0        3
11511 acl2                               	       0        5        5        0        0
11512 acl2-books                         	       0        5        5        0        0
11513 acl2-books-certs                   	       0        5        5        0        0
11514 acl2-books-source                  	       0        5        5        0        0
11515 acl2-doc                           	       0        3        3        0        0
11516 acl2-emacs                         	       0        1        1        0        0
11517 acl2-infix                         	       0        2        2        0        0
11518 acl2-infix-source                  	       0        2        2        0        0
11519 acl2-source                        	       0        5        0        0        5
11520 aclock.app                         	       0        3        2        1        0
11521 acm                                	       0        5        5        0        0
11522 acme                               	       0        5        5        0        0
11523 acme-tiny                          	       0        5        5        0        0
11524 acmetool                           	       0        4        4        0        0
11525 acnhscale                          	       0        1        1        0        0
11526 aconnectgui                        	       0       12       12        0        0
11527 acpi-call-dkms                     	       0       34        0        0       34
11528 acpi-call-tools                    	       0        1        0        0        1
11529 acpi-ec                            	       0        1        0        0        1
11530 acpi-override-initramfs            	       0        1        0        0        1
11531 acpi-support-base                  	       0      814        0        0      814
11532 acpidump                           	       0        5        0        0        5
11533 acpitool-dbg                       	       0        1        1        0        0
11534 acr                                	       0        4        4        0        0
11535 acroread-dictionary-en             	       0       10       10        0        0
11536 acroread-doc-de                    	       0        1        1        0        0
11537 acroread-escript                   	       0        2        2        0        0
11538 acroread-fonts-jpn                 	       0        2        2        0        0
11539 acroread-l10n-en                   	       0       11       11        0        0
11540 acroread-plugin-speech             	       0        1        1        0        0
11541 acroread-plugins                   	       0        2        2        0        0
11542 actiona                            	       0        3        3        0        0
11543 activity-aware-firefox             	       0        5        5        0        0
11544 activitywatch                      	       0        2        2        0        0
11545 ada-reference-manual-2005          	       0        4        0        0        4
11546 ada-reference-manual-2012          	       0       14        0        0       14
11547 ada-reference-manual-2020          	       0        7        0        0        7
11548 adabrowse                          	       0        1        1        0        0
11549 adanaxisgpl                        	       0        1        1        0        0
11550 adanaxisgpl-data                   	       0        1        0        0        1
11551 adapta-colorpack                   	       0        1        0        0        1
11552 adapta-gtk-theme                   	       0       16        2        0       14
11553 adapta-kde                         	       0        9        0        0        9
11554 adapterremoval                     	       0        1        1        0        0
11555 adcli                              	       0       10       10        0        0
11556 add-apt-key                        	       0        1        1        0        0
11557 addressmanager.app                 	       0        4        3        1        0
11558 adduser-ng                         	       0        1        1        0        0
11559 adduser-ng-doc                     	       0        1        0        0        1
11560 adduser-ng-doc-devel               	       0        1        1        0        0
11561 adduser-plugin-quota               	       0        1        1        0        0
11562 adjtimex                           	       0       11       11        0        0
11563 adlint                             	       0        1        1        0        0
11564 admesh                             	       0        3        3        0        0
11565 adminer                            	       0        6        6        0        0
11566 adms                               	       0        3        3        0        0
11567 adns-tools                         	       0       10       10        0        0
11568 adobe-flash-player                 	       0        1        0        0        1
11569 adobe-flash-player-browserplugin   	       0        1        1        0        0
11570 adobe-flashplugin                  	       0        1        1        0        0
11571 adobeair                           	       0        1        0        0        1
11572 adobereader-enu                    	       0        9        9        0        0
11573 adoptium-ca-certificates           	       0       16        0        0       16
11574 adoptopenjdk-11-hotspot            	       0        2        2        0        0
11575 adoptopenjdk-11-hotspot-jre        	       0        1        1        0        0
11576 adoptopenjdk-8-hotspot             	       0        8        8        0        0
11577 adoptopenjdk-8-hotspot-jre         	       0        4        4        0        0
11578 adoptopenjdk-8-openj9              	       0        1        1        0        0
11579 adplay                             	       0        4        4        0        0
11580 adplug-utils                       	       0       10       10        0        0
11581 adql-java                          	       0        2        0        0        2
11582 adun-core                          	       0        1        0        1        0
11583 adun.app                           	       0        1        0        1        0
11584 advancecomp                        	       0       55       53        2        0
11585 advanced-rest-client               	       0        1        0        0        1
11586 advi                               	       0        6        6        0        0
11587 advi-examples                      	       0        2        0        0        2
11588 adw-gtk3                           	       0        1        0        0        1
11589 adwaita-icon-theme                 	       0     3803        0        0     3803
11590 adwaita-qt-data                    	       0        1        0        0        1
11591 adwaita-qt4                        	       0        1        1        0        0
11592 adwaita-qt6                        	       0       16        0        0       16
11593 adzapper                           	       0        1        1        0        0
11594 aegis-virus-scanner                	       0        1        1        0        0
11595 aegisub                            	       0       15       15        0        0
11596 aegisub-l10n                       	       0        5        0        0        5
11597 aeolus                             	       0       12       12        0        0
11598 aephea                             	       0        1        0        0        1
11599 aes2501-wy                         	       0        1        1        0        0
11600 aeson-pretty                       	       0        7        7        0        0
11601 aether                             	       0        1        0        0        1
11602 aevol                              	       0        1        1        0        0
11603 aewan                              	       0        5        5        0        0
11604 aewm                               	       0        2        2        0        0
11605 aewm++                             	       0        9        9        0        0
11606 aewm++-goodies                     	       0        9        9        0        0
11607 afdko                              	       0        2        2        0        0
11608 afdko-bin                          	       0        4        0        0        4
11609 afdko-doc                          	       0        1        0        0        1
11610 affiche.app                        	       0        4        3        1        0
11611 afick-doc                          	       0        1        0        0        1
11612 afick-gui                          	       0        1        1        0        0
11613 afio                               	       0       11       11        0        0
11614 afl                                	       0        1        0        0        1
11615 afl++                              	       0        8        8        0        0
11616 afl++-clang                        	       0        3        2        0        1
11617 afl++-doc                          	       0        7        7        0        0
11618 aft                                	       0        2        2        0        0
11619 aften                              	       0        2        2        0        0
11620 aftershot2x64                      	       0        1        1        0        0
11621 aftershot3x64                      	       0        1        1        0        0
11622 afterstep                          	       0        9        9        0        0
11623 afterstep-data                     	       0       10        0        0       10
11624 afuse                              	       0        7        7        0        0
11625 agda                               	       0        2        0        0        2
11626 agda-bin                           	       0        6        5        1        0
11627 agda-stdlib                        	       0        2        0        0        2
11628 agda-stdlib-doc                    	       0        2        0        0        2
11629 age                                	       0       21       19        2        0
11630 agenda.app                         	       0        4        3        1        0
11631 aglfn                              	       0      416        0        0      416
11632 agrep                              	       0        6        6        0        0
11633 agress                             	       0        1        1        0        0
11634 ags                                	       0        1        1        0        0
11635 ahcpd                              	       0        1        1        0        0
11636 aide                               	       0       12       11        1        0
11637 aide-dynamic                       	       0        1        1        0        0
11638 aidl                               	       0       30       30        0        0
11639 aiksaurus                          	       0        3        3        0        0
11640 ain-imager                         	       0        1        1        0        0
11641 airdroid                           	       0        2        0        0        2
11642 airgraph-ng                        	       0       16       16        0        0
11643 airport-utils                      	       0        2        2        0        0
11644 airsnort                           	       0        1        1        0        0
11645 airspy                             	       0        8        8        0        0
11646 airspyhf                           	       0        5        5        0        0
11647 airstrike                          	       0        6        5        1        0
11648 airstrike-common                   	       0        6        0        0        6
11649 aish                               	       0        1        1        0        0
11650 aj-snapshot                        	       0       13       13        0        0
11651 akira                              	       0        2        2        0        0
11652 akonadi-backend-postgresql         	       0        4        0        0        4
11653 akonadi-contacts-data              	       0      536        1        0      535
11654 akonadi-import-wizard              	       0        8        8        0        0
11655 akonadiconsole                     	       0       52       50        2        0
11656 akqml                              	       0       10        0        0       10
11657 akregator-trinity                  	       0       26       26        0        0
11658 alacconvert                        	       0        1        1        0        0
11659 aladin                             	       0        2        2        0        0
11660 alarm-clock                        	       0        1        1        0        0
11661 alarm-clock-applet                 	       0        8        8        0        0
11662 alarm-clock-applet-gconf-migration 	       0        5        5        0        0
11663 albatross-gtk-theme                	       0       85        0        0       85
11664 album                              	       0        6        6        0        0
11665 album-data                         	       0        5        5        0        0
11666 ale                                	       0        3        3        0        0
11667 alembic                            	       0        7        6        0        1
11668 alevt                              	       0        2        2        0        0
11669 alevtd                             	       0        1        1        0        0
11670 alex                               	       0        3        3        0        0
11671 alex4-data                         	       0        7        0        0        7
11672 alexandria                         	       0        1        1        0        0
11673 alfa                               	       0        2        2        0        0
11674 algobox                            	       0        6        6        0        0
11675 algol68g                           	       0        3        2        1        0
11676 algotutor                          	       0        1        1        0        0
11677 alice                              	       0        3        3        0        0
11678 alien-arena                        	       0        3        3        0        0
11679 alien-arena-data                   	       0        3        0        0        3
11680 alien-arena-server                 	       0        1        1        0        0
11681 alienblaster                       	       0        5        5        0        0
11682 alienblaster-data                  	       0        5        0        0        5
11683 aliki                              	       0        3        3        0        0
11684 alire                              	       0        4        4        0        0
11685 alkimia-bin                        	       0        4        4        0        0
11686 alkimia-data                       	       0        5        0        0        5
11687 all-knowing-dns                    	       0        1        1        0        0
11688 allegro-demo                       	       0        1        1        0        0
11689 allegro-demo-data                  	       0        1        0        0        1
11690 allegro4-doc                       	       0        4        0        0        4
11691 allegro5-build-deps                	       0        1        0        0        1
11692 alleyoop                           	       0        3        3        0        0
11693 alliance                           	       0        1        1        0        0
11694 alltray                            	       0        2        2        0        0
11695 allure                             	       0        2        2        0        0
11696 almanah                            	       0        2        2        0        0
11697 alot                               	       0        6        6        0        0
11698 alot-doc                           	       0        1        0        0        1
11699 alpine-chroot-install              	       0        4        4        0        0
11700 alpine-doc                         	       0       71        0        0       71
11701 alpine-pico                        	       0       14       12        2        0
11702 alsa-base                          	       0       52        0        0       52
11703 alsa-firmware                      	       0        1        1        0        0
11704 alsa-sndio                         	       0        1        0        0        1
11705 alsa-source                        	       0        1        0        0        1
11706 alsa-ucm-conf                      	       0     3221        0        0     3221
11707 alsa-ucm-conf-yogabook             	       0        1        0        0        1
11708 alsaplayer-alsa                    	       0       76        1        0       75
11709 alsaplayer-daemon                  	       0        8        0        0        8
11710 alsaplayer-gtk                     	       0       70        3        0       67
11711 alsaplayer-jack                    	       0       16        0        0       16
11712 alsaplayer-nas                     	       0        4        0        0        4
11713 alsaplayer-oss                     	       0       10        0        0       10
11714 alsaplayer-text                    	       0       16        0        0       16
11715 alsaplayer-xosd                    	       0        7        0        0        7
11716 alsoft-conf                        	       0        1        1        0        0
11717 alter-sequence-alignment           	       0        1        1        0        0
11718 altermime                          	       0       25       25        0        0
11719 altree                             	       0        1        1        0        0
11720 alure-doc                          	       0        1        0        0        1
11721 alure-utils                        	       0        1        1        0        0
11722 amap-align                         	       0        4        4        0        0
11723 amarok                             	       0        7        7        0        0
11724 amarok-common                      	       0        7        1        0        6
11725 amarok-common-trinity              	       0        6        6        0        0
11726 amarok-doc                         	       0        3        0        0        3
11727 amarok-engine-akode-trinity        	       0        2        2        0        0
11728 amarok-engine-yauap-trinity        	       0        1        1        0        0
11729 amarok-trinity-dbg                 	       0        1        1        0        0
11730 amarok-utils                       	       0        7        7        0        0
11731 amavisd-milter                     	       0        1        1        0        0
11732 amazon-ecr-credential-helper       	       0        1        1        0        0
11733 ambdec                             	       0       12       11        1        0
11734 amber                              	       0        2        2        0        0
11735 amd-clinfo                         	       0        1        1        0        0
11736 amd-libopencl1                     	       0        1        1        0        0
11737 amd-opencl-dev                     	       0        2        0        0        2
11738 amd-opencl-icd                     	       0        4        0        0        4
11739 amd-opencl-icd-legacy              	       0        1        0        0        1
11740 amd-smi-lib                        	       0        3        2        1        0
11741 amdgcn-tools                       	       0        5        5        0        0
11742 amdgpu                             	       0        2        0        0        2
11743 amdgpu-core                        	       0       22        0        0       22
11744 amdgpu-dkms                        	       0       13       11        2        0
11745 amdgpu-dkms-firmware               	       0       12        8        2        2
11746 amdgpu-dkms-headers                	       0        2        2        0        0
11747 amdgpu-doc                         	       0        2        0        0        2
11748 amdgpu-install                     	       0       25       22        3        0
11749 amdgpu-lib                         	       0        7        0        0        7
11750 amdgpu-lib32                       	       0        4        0        0        4
11751 amdgpu-pin                         	       0        2        0        0        2
11752 amdgpu-pro-core                    	       0        3        0        0        3
11753 amdgpu-pro-pin                     	       0        1        0        0        1
11754 amdgpu-top                         	       0        1        1        0        0
11755 ament-cmake                        	       0        2        0        0        2
11756 ament-cmake-core                   	       0        3        0        0        3
11757 ament-cmake-python                 	       0        3        0        0        3
11758 ament-cmake-xmllint                	       0        1        0        0        1
11759 amf-codec-headers                  	       0        1        1        0        0
11760 amfora                             	       0       10       10        0        0
11761 amide                              	       0       10       10        0        0
11762 amiwm                              	       0        5        5        0        0
11763 amixer-gtk                         	       0        2        2        0        0
11764 amoebax                            	       0       11       11        0        0
11765 amoebax-data                       	       0       11        0        0       11
11766 amor-trinity                       	       0       23       23        0        0
11767 amora-applet                       	       0        1        1        0        0
11768 ampache-themes                     	       0        1        0        0        1
11769 amphetamine                        	       0        5        5        0        0
11770 amphetamine-data                   	       0        5        0        0        5
11771 ampliconnoise                      	       0        1        1        0        0
11772 amqp-specs                         	       0        2        0        0        2
11773 amrenc                             	       0        3        3        0        0
11774 amrnb                              	       0        1        1        0        0
11775 amrwb                              	       0        1        1        0        0
11776 ams                                	       0       11       11        0        0
11777 ams.lv2                            	       0        1        1        0        0
11778 amsynth                            	       0       13       13        0        0
11779 amtterm                            	       0        2        2        0        0
11780 amule-common                       	       0       78       77        1        0
11781 amule-daemon                       	       0        5        5        0        0
11782 amule-emc                          	       0        3        3        0        0
11783 amule-gnome-support                	       0        2        0        0        2
11784 amule-utils                        	       0       72       71        1        0
11785 amule-utils-gui                    	       0       14       14        0        0
11786 anacrolix-dms                      	       0        3        3        0        0
11787 analitza-common                    	       0       52        0        0       52
11788 analizo                            	       0        1        1        0        0
11789 anarchism                          	       0        8        0        0        8
11790 anbox                              	       0       11       11        0        0
11791 andi                               	       0        1        1        0        0
11792 androguard                         	       0       16       16        0        0
11793 android-framework-res              	       0       28        0        0       28
11794 android-libaapt                    	       0       61        0        0       61
11795 android-libadb                     	       0       65        1        0       64
11796 android-libandroidfw               	       0       62        0        0       62
11797 android-libandroidfw-dev           	       0        2        2        0        0
11798 android-libart                     	       0       26       25        0        1
11799 android-libbacktrace               	       0       80        0        0       80
11800 android-libbacktrace-dev           	       0        2        0        0        2
11801 android-libbase-dev                	       0        3        3        0        0
11802 android-libboringssl-dev           	       0        3        3        0        0
11803 android-libcrypto-utils            	       0       74        1        0       73
11804 android-libcrypto-utils-dev        	       0        1        1        0        0
11805 android-libcutils-dev              	       0        3        3        0        0
11806 android-libetc1                    	       0       24        0        0       24
11807 android-libetc1-dev                	       0        1        1        0        0
11808 android-libext4-utils              	       0       41        0        0       41
11809 android-libext4-utils-dev          	       0        3        3        0        0
11810 android-libf2fs-utils              	       0       15        0        0       15
11811 android-libf2fs-utils-dev          	       0        1        1        0        0
11812 android-libfec                     	       0        3        0        0        3
11813 android-libfec-dev                 	       0        1        1        0        0
11814 android-liblog-dev                 	       0        4        4        0        0
11815 android-libnativebridge            	       0       27        0        0       27
11816 android-libnativehelper            	       0        4        0        0        4
11817 android-libnativehelper-dev        	       0        2        2        0        0
11818 android-libnativeloader            	       0       27        0        0       27
11819 android-libselinux                 	       0        5        0        0        5
11820 android-libselinux-dev             	       0        2        2        0        0
11821 android-libsepol                   	       0        3        0        0        3
11822 android-libsepol-dev               	       0        2        2        0        0
11823 android-libsparse                  	       0      187        0        0      187
11824 android-libsparse-dev              	       0        2        2        0        0
11825 android-libunwind                  	       0       58        0        0       58
11826 android-libunwind-dev              	       0        1        1        0        0
11827 android-libutils                   	       0       79        0        0       79
11828 android-libutils-dev               	       0        2        2        0        0
11829 android-libziparchive              	       0      232        0        0      232
11830 android-libziparchive-dev          	       0        3        3        0        0
11831 android-logtags-tools              	       0        1        1        0        0
11832 android-platform-frameworks-native-headers	       0        2        2        0        0
11833 android-platform-libcore-headers   	       0        1        1        0        0
11834 android-platform-system-core-headers	       0        3        3        0        0
11835 android-platform-tools-base        	       0        4        4        0        0
11836 android-sdk                        	       0       27       27        0        0
11837 android-sdk-build-tools            	       0       29        0        0       29
11838 android-sdk-build-tools-common     	       0       31        0        0       31
11839 android-sdk-common                 	       0       31       31        0        0
11840 android-sdk-ext4-utils             	       0        1        1        0        0
11841 android-sdk-helper                 	       0        1        0        0        1
11842 android-sdk-libsparse-utils        	       0        6        6        0        0
11843 android-sdk-platform-23            	       0        6        0        0        6
11844 android-sdk-platform-tools         	       0       78        0        0       78
11845 android-tools-adbd                 	       0        5        5        0        0
11846 android-tools-fastboot             	       0       16        0        0       16
11847 angband-data                       	       0       16        0        0       16
11848 angband-doc                        	       0        2        0        0        2
11849 angrydd                            	       0       14       14        0        0
11850 anica-deb-source-using-tor-alpha   	       0        1        0        0        1
11851 anica-deb-source-using-tor-beta    	       0        1        0        0        1
11852 anica-deb-source-using-tor-stable  	       0        1        0        0        1
11853 animals                            	       0        9        9        0        0
11854 anjuta                             	       0        5        5        0        0
11855 anjuta-common                      	       0       16       16        0        0
11856 anjuta-extras                      	       0        3        0        0        3
11857 anki                               	       0       14       14        0        0
11858 anomaly                            	       0        2        2        0        0
11859 anope                              	       0        1        1        0        0
11860 anorack                            	       0        1        1        0        0
11861 ansible-doc                        	       0        3        0        0        3
11862 ansible-lint                       	       0        9        9        0        0
11863 ansible-mitogen                    	       0        2        2        0        0
11864 ansifilter                         	       0       16       16        0        0
11865 ansifilter-gui                     	       0       10       10        0        0
11866 ansilove                           	       0        2        2        0        0
11867 ansiweather                        	       0       13       12        1        0
11868 ant-contrib                        	       0      145        0        0      145
11869 ant-contrib-cpptasks               	       0        1        0        0        1
11870 ant-doc                            	       0       17        0        0       17
11871 ant-optional                       	       0      842        0        0      842
11872 anteater                           	       0        1        1        0        0
11873 anthy                              	       0       65       63        2        0
11874 anthy-el                           	       0        1        1        0        0
11875 antigravitaattori                  	       0        5        5        0        0
11876 antimicro                          	       0       11       11        0        0
11877 antimicrox                         	       0        1        1        0        0
11878 antimony                           	       0        3        3        0        0
11879 antix-archive-keyring              	       0        2        0        0        2
11880 antix-libs                         	       0        1        1        0        0
11881 antlr-doc                          	       0        3        3        0        0
11882 antlr3-gunit-maven-plugin          	       0        1        0        0        1
11883 antlr3-maven-plugin                	       0        1        0        0        1
11884 antlr3.2                           	       0        8        8        0        0
11885 antlr3.2-gunit-maven-plugin        	       0        1        0        0        1
11886 antlr3.2-maven-plugin              	       0        1        0        0        1
11887 antlr4                             	       0       12       12        0        0
11888 antlr4-doc                         	       0        3        0        0        3
11889 antlr4-maven-plugin                	       0        4        0        0        4
11890 antofox-keyrings                   	       0        1        0        0        1
11891 antpm                              	       0        1        1        0        0
11892 anymeal                            	       0        2        2        0        0
11893 anypaper                           	       0        1        1        0        0
11894 anyremote                          	       0        9        9        0        0
11895 anyremote-data                     	       0        9        0        0        9
11896 anyremote-doc                      	       0        3        0        0        3
11897 anytype                            	       0        1        1        0        0
11898 aobook                             	       0        1        1        0        0
11899 aocc-compiler-4.2.0                	       0        1        1        0        0
11900 aocl-linux-aocc-4.2.0              	       0        1        1        0        0
11901 aodh-common                        	       0        1        1        0        0
11902 aodh-evaluator                     	       0        1        1        0        0
11903 aodh-notifier                      	       0        1        1        0        0
11904 aoetools                           	       0        2        2        0        0
11905 aoflagger                          	       0        2        2        0        0
11906 aoflagger-dev                      	       0        2        2        0        0
11907 aom-tools                          	       0        8        8        0        0
11908 aosd-cat                           	       0        5        5        0        0
11909 aot-client-gl                      	       0        1        1        0        0
11910 aot-client-sdl                     	       0        1        1        0        0
11911 apache2-data                       	       0      793        0        0      793
11912 apache2-dev                        	       0       18       18        0        0
11913 apache2-doc                        	       0      364        0        0      364
11914 apache2-mpm-prefork                	       0        2        0        0        2
11915 apache2-mpm-worker                 	       0        2        1        0        1
11916 apache2-ssl-dev                    	       0        1        1        0        0
11917 apache2-suexec-custom              	       0        5        5        0        0
11918 apache2.2-bin                      	       0        3        2        0        1
11919 apache2.2-common                   	       0        2        1        0        1
11920 apbs                               	       0        7        7        0        0
11921 apbs-data                          	       0        7        0        0        7
11922 apcalc                             	       0     1018       56        0      962
11923 apcalc-common                      	       0       74        0        0       74
11924 apcalc-dev                         	       0        2        1        0        1
11925 apcupsd-cgi                        	       0       14       14        0        0
11926 apel                               	       0       14       13        1        0
11927 apertium                           	       0        7        7        0        0
11928 apertium-afr-nld                   	       0        1        0        0        1
11929 apertium-anaphora                  	       0        2        2        0        0
11930 apertium-arg-cat                   	       0        1        0        0        1
11931 apertium-bel-rus                   	       0        1        0        0        1
11932 apertium-br-fr                     	       0        1        0        0        1
11933 apertium-cat-ita                   	       0        1        0        0        1
11934 apertium-cat-srd                   	       0        1        0        0        1
11935 apertium-crh-tur                   	       0        1        0        0        1
11936 apertium-cy-en                     	       0        1        0        0        1
11937 apertium-dan-nor                   	       0        1        0        0        1
11938 apertium-dev                       	       0        3        3        0        0
11939 apertium-en-es                     	       0        4        0        0        4
11940 apertium-en-gl                     	       0        1        0        0        1
11941 apertium-eng-cat                   	       0        1        0        0        1
11942 apertium-eng-spa                   	       0        2        0        0        2
11943 apertium-eo-ca                     	       0        1        0        0        1
11944 apertium-eo-en                     	       0        2        0        0        2
11945 apertium-eo-es                     	       0        2        0        0        2
11946 apertium-eo-fr                     	       0        2        0        0        2
11947 apertium-es-ast                    	       0        1        0        0        1
11948 apertium-es-gl                     	       0        1        0        0        1
11949 apertium-es-pt                     	       0        3        0        0        3
11950 apertium-es-ro                     	       0        2        0        0        2
11951 apertium-eu-en                     	       0        1        0        0        1
11952 apertium-eu-es                     	       0        1        0        0        1
11953 apertium-eval-translator           	       0        3        3        0        0
11954 apertium-fr-es                     	       0        2        0        0        2
11955 apertium-fra                       	       0        1        0        0        1
11956 apertium-fra-cat                   	       0        1        0        0        1
11957 apertium-get                       	       0        3        3        0        0
11958 apertium-hbs-eng                   	       0        1        0        0        1
11959 apertium-hbs-mkd                   	       0        1        0        0        1
11960 apertium-hbs-slv                   	       0        1        0        0        1
11961 apertium-ind-zlm                   	       0        1        0        0        1
11962 apertium-is-sv                     	       0        1        0        0        1
11963 apertium-isl-eng                   	       0        1        0        0        1
11964 apertium-isl-swe                   	       0        1        0        0        1
11965 apertium-kaz-tat                   	       0        1        0        0        1
11966 apertium-lex-tools                 	       0        5        5        0        0
11967 apertium-mk-bg                     	       0        1        0        0        1
11968 apertium-mk-en                     	       0        1        0        0        1
11969 apertium-mkd-bul                   	       0        1        0        0        1
11970 apertium-mkd-eng                   	       0        1        0        0        1
11971 apertium-mlt-ara                   	       0        1        0        0        1
11972 apertium-nno-nob                   	       0        1        0        0        1
11973 apertium-oc-ca                     	       0        1        0        0        1
11974 apertium-oc-es                     	       0        1        0        0        1
11975 apertium-oci-fra                   	       0        1        0        0        1
11976 apertium-pol-szl                   	       0        1        0        0        1
11977 apertium-por-cat                   	       0        1        0        0        1
11978 apertium-pt-ca                     	       0        1        0        0        1
11979 apertium-pt-gl                     	       0        1        0        0        1
11980 apertium-recursive                 	       0        2        2        0        0
11981 apertium-rus-ukr                   	       0        1        0        0        1
11982 apertium-separable                 	       0        2        2        0        0
11983 apertium-simpleton                 	       0        1        0        0        1
11984 apertium-sme-nob                   	       0        1        0        0        1
11985 apertium-spa                       	       0        2        0        0        2
11986 apertium-spa-arg                   	       0        1        0        0        1
11987 apertium-spa-ast                   	       0        1        0        0        1
11988 apertium-spa-cat                   	       0        1        0        0        1
11989 apertium-spa-ita                   	       0        1        0        0        1
11990 apertium-srd-ita                   	       0        1        0        0        1
11991 apertium-swe-dan                   	       0        1        0        0        1
11992 apertium-swe-nor                   	       0        1        0        0        1
11993 apertium-urd-hin                   	       0        1        0        0        1
11994 apf-firewall                       	       0        2        2        0        0
11995 apfs-dkms                          	       0        2        2        0        0
11996 apfsprogs                          	       0        4        4        0        0
11997 apgdiff                            	       0        4        4        0        0
11998 api-sanity-checker                 	       0        5        5        0        0
11999 apidog                             	       0        1        1        0        0
12000 apitrace                           	       0        6        6        0        0
12001 apitrace-gui                       	       0        3        3        0        0
12002 apitrace-tracers                   	       0        6        0        0        6
12003 apkinfo                            	       0        6        6        0        0
12004 apksigcopier                       	       0       15       15        0        0
12005 apktool                            	       0       28       28        0        0
12006 apkverifier                        	       0        4        4        0        0
12007 apl                                	       0        2        2        0        0
12008 aplus-fsf                          	       0        2        2        0        0
12009 aplus-fsf-doc                      	       0        1        0        0        1
12010 apmplanner2                        	       0        1        1        0        0
12011 apng2gif                           	       0        4        4        0        0
12012 apngasm                            	       0        5        5        0        0
12013 apngdis                            	       0        6        6        0        0
12014 apngopt                            	       0        5        5        0        0
12015 apophenia-bin                      	       0        1        1        0        0
12016 apostrophe                         	       0        5        5        0        0
12017 app-install-data                   	       0       13        0        0       13
12018 apparix                            	       0        2        2        0        0
12019 apparmor-easyprof                  	       0        3        3        0        0
12020 apparmor-profiles                  	       0       41        0        0       41
12021 apparmor-profiles-extra            	       0       40        0        0       40
12022 apper-data                         	       0       93        5        0       88
12023 appflowy                           	       0        2        2        0        0
12024 appimagelauncher                   	       0        2        2        0        0
12025 appmenu-gtk-module-common          	       0       61       58        3        0
12026 appmenu-gtk2-module                	       0       57        7        0       50
12027 appmenu-qt                         	       0        5        0        0        5
12028 appmenu-registrar                  	       0       58        2        0       56
12029 apprise                            	       0        2        2        0        0
12030 appstream-compose                  	       0        3        0        0        3
12031 appstream-doc                      	       0        2        0        0        2
12032 appstream-generator                	       0        2        2        0        0
12033 appstream-glib-doc                 	       0        1        0        0        1
12034 appstream-util                     	       0       13       13        0        0
12035 apriltag                           	       0        1        1        0        0
12036 aprs-symbols                       	       0        2        0        0        2
12037 aprsdigi                           	       0        4        4        0        0
12038 aprx                               	       0        6        6        0        0
12039 apsfilter                          	       0        5        5        0        0
12040 apt-build                          	       0        9        9        0        0
12041 apt-build-deps-depends             	       0        1        0        0        1
12042 apt-clone                          	       0       11       11        0        0
12043 apt-config-auto-update             	       0       15        0        0       15
12044 apt-config-icons                   	       0      848        0        0      848
12045 apt-config-icons-hidpi             	       0      504        0        0      504
12046 apt-config-icons-large             	       0      517        0        0      517
12047 apt-config-icons-large-hidpi       	       0      496        0        0      496
12048 apt-cudf                           	       0        3        3        0        0
12049 apt-dbgsym                         	       0        3        3        0        0
12050 apt-doc                            	       0       48        0        0       48
12051 apt-dpkg-ref                       	       0        8        0        0        8
12052 apt-dpkg-ref-build-deps            	       0        1        0        0        1
12053 apt-forktracer                     	       0       20       19        1        0
12054 apt-howto-common                   	       0        1        1        0        0
12055 apt-howto-de                       	       0        1        0        0        1
12056 apt-listdifferences                	       0        2        2        0        0
12057 apt-mirror                         	       0       16       16        0        0
12058 apt-move                           	       0        4        3        1        0
12059 apt-ntop-stable                    	       0        3        0        0        3
12060 apt-offline-gui                    	       0        3        2        1        0
12061 apt-show-source                    	       0       15       14        1        0
12062 apt-src                            	       0       25       24        1        0
12063 apt-transport-debtorrent           	       0        1        1        0        0
12064 apt-transport-in-toto              	       0        1        1        0        0
12065 apt-transport-s3                   	       0        3        3        0        0
12066 apt-utils-dbgsym                   	       0        3        3        0        0
12067 aptconf                            	       0        1        1        0        0
12068 aptdaemon                          	       0        8        8        0        0
12069 aptdaemon-data                     	       0       14        0        0       14
12070 aptfs                              	       0        1        1        0        0
12071 aptitude-build-deps-depends        	       0        1        0        0        1
12072 aptitude-dbgsym                    	       0        1        1        0        0
12073 aptitude-doc-cs                    	       0        7        0        0        7
12074 aptitude-doc-en                    	       0      226        0        0      226
12075 aptitude-doc-es                    	       0        4        0        0        4
12076 aptitude-doc-fi                    	       0        1        0        0        1
12077 aptitude-doc-fr                    	       0        5        0        0        5
12078 aptitude-doc-it                    	       0        2        0        0        2
12079 aptitude-doc-ja                    	       0        1        0        0        1
12080 aptitude-doc-nl                    	       0        2        0        0        2
12081 aptitude-doc-ru                    	       0        3        0        0        3
12082 aptlinex                           	       0        1        1        0        0
12083 aptly-publisher                    	       0        1        1        0        0
12084 apvlv                              	       0        9        9        0        0
12085 apwal                              	       0        1        1        0        0
12086 aqbanking-tool                     	       0        1        0        0        1
12087 aqbanking-tools                    	       0       12       12        0        0
12088 aqemu                              	       0       65       64        1        0
12089 arachne-pnr                        	       0        6        6        0        0
12090 arachne-pnr-chipdb                 	       0        8        0        0        8
12091 aragorn                            	       0        1        1        0        0
12092 aranym                             	       0        2        2        0        0
12093 arb                                	       0        2        2        0        0
12094 arb-common                         	       0        2        2        0        0
12095 arb-doc                            	       0        2        0        0        2
12096 arc-icon-theme                     	       0        1        0        0        1
12097 arc-kde                            	       0        3        0        0        3
12098 arch-install-scripts               	       0       11       11        0        0
12099 architecture-properties            	       0        2        0        0        2
12100 archive-files                      	       0        1        1        0        0
12101 archlinux-keyring                  	       0        4        0        0        4
12102 arctica-greeter-guest-session      	       0        6        6        0        0
12103 arctica-greeter-remote-logon       	       0        2        0        0        2
12104 arctican-plugins-lv2               	       0        1        1        0        0
12105 ardour                             	       0       67       66        1        0
12106 ardour-data                        	       0       69        0        0       69
12107 ardour-medias                      	       0        1        0        0        1
12108 arduino-core                       	       0       12       12        0        0
12109 arduino-core-avr                   	       0       85       83        2        0
12110 arduino-mighty-1284p               	       0        2        2        0        0
12111 arduino-mk                         	       0       25       25        0        0
12112 arename                            	       0        1        1        0        0
12113 ares                               	       0        5        4        1        0
12114 argentum-age-build-deps            	       0        1        0        0        1
12115 argon2                             	       0       10       10        0        0
12116 argos                              	       0        1        1        0        0
12117 argyll-doc                         	       0        5        0        0        5
12118 argyll-ref                         	       0       32        0        0       32
12119 aria                               	       0        1        1        0        0
12120 ario                               	       0       10        8        2        0
12121 ario-common                        	       0       11        0        0       11
12122 arkhart                            	       0        1        1        0        0
12123 arkrpg                             	       0        1        1        0        0
12124 arm-trusted-firmware               	       0        2        0        0        2
12125 arm-trusted-firmware-tools         	       0        2        2        0        0
12126 armagetronad-common                	       0       15        0        0       15
12127 armagetronad-dedicated             	       0        1        1        0        0
12128 armbian-bsp-cli-orangepizero2w-current	       0        1        1        0        0
12129 armbian-config                     	       0        1        1        0        0
12130 armbian-firmware                   	       0        2        2        0        0
12131 armbian-zsh                        	       0        1        0        0        1
12132 armcord                            	       0        1        1        0        0
12133 armory                             	       0        1        1        0        0
12134 aroarfw-dev                        	       0        1        1        0        0
12135 arpage                             	       0        1        1        0        0
12136 arpon                              	       0        2        2        0        0
12137 arqiver                            	       0       10       10        0        0
12138 arronax                            	       0        1        1        0        0
12139 arronax-thunar                     	       0        1        0        0        1
12140 artefetcher                        	       0        1        1        0        0
12141 artfastqgenerator                  	       0        1        1        0        0
12142 artha                              	       0        8        8        0        0
12143 artikulate                         	       0       49       47        2        0
12144 arts                               	       0        1        0        0        1
12145 arts-trinity                       	       0       28        0        0       28
12146 artyfx                             	       0        1        1        0        0
12147 arubasign                          	       0        1        1        0        0
12148 as31                               	       0        4        4        0        0
12149 asc-data                           	       0        8        0        0        8
12150 asc-music                          	       0        8        0        0        8
12151 ascd                               	       0        2        2        0        0
12152 ascdc                              	       0        1        1        0        0
12153 ascii                              	       0       28       26        2        0
12154 ascii-image-converter              	       0        1        1        0        0
12155 asciiart                           	       0       12       12        0        0
12156 asciidoc                           	       0       64        0        0       64
12157 asciidoc-base                      	       0       73       72        1        0
12158 asciidoc-common                    	       0       75        0        0       75
12159 asciidoc-dblatex                   	       0       45        0        0       45
12160 asciidoc-doc                       	       0       11        0        0       11
12161 asciidoc-fop                       	       0        4        0        0        4
12162 asciidoc-tests                     	       0        4        4        0        0
12163 asciidoctor-doc                    	       0        7        0        0        7
12164 asciijump                          	       0        7        5        2        0
12165 asciio                             	       0        1        1        0        0
12166 asclock-themes                     	       0       14        0        0       14
12167 asdftool                           	       0        1        1        0        0
12168 aseprite                           	       0        3        3        0        0
12169 asiya24-vfont                      	       0        1        0        0        1
12170 asl-apt-repos                      	       0        1        1        0        0
12171 asmail                             	       0        3        3        0        0
12172 asmixer                            	       0        3        3        0        0
12173 asmon                              	       0        2        2        0        0
12174 asn                                	       0        1        1        0        0
12175 asn1c                              	       0        3        3        0        0
12176 asn1c-doc                          	       0        2        0        0        2
12177 asoundconf-gtk                     	       0        1        1        0        0
12178 asp.net-examples                   	       0        1        1        0        0
12179 aspcud                             	       0        5        5        0        0
12180 aspectc++                          	       0        1        1        0        0
12181 aspectj                            	       0       12       11        1        0
12182 aspell-am                          	       0        3        3        0        0
12183 aspell-ar                          	       0        5        4        1        0
12184 aspell-ar-large                    	       0        5        4        1        0
12185 aspell-bg                          	       0        6        6        0        0
12186 aspell-bn                          	       0        3        3        0        0
12187 aspell-br                          	       0        4        3        1        0
12188 aspell-ca                          	       0       10        9        1        0
12189 aspell-cs                          	       0       42       42        0        0
12190 aspell-cy                          	       0        3        3        0        0
12191 aspell-da                          	       0        7        7        0        0
12192 aspell-de-alt                      	       0       17        1        0       16
12193 aspell-doc                         	       0       18        0        0       18
12194 aspell-el                          	       0       25       24        1        0
12195 aspell-eo-cx7                      	       0        1        1        0        0
12196 aspell-es                          	       0      285      267       18        0
12197 aspell-et                          	       0        4        4        0        0
12198 aspell-eu                          	       0        4        4        0        0
12199 aspell-eu-es                       	       0        2        0        0        2
12200 aspell-fa                          	       0        4        4        0        0
12201 aspell-fi                          	       0        1        1        0        0
12202 aspell-fo                          	       0        1        1        0        0
12203 aspell-fr                          	       0      130      123        7        0
12204 aspell-ga                          	       0        7        7        0        0
12205 aspell-gl-minimos                  	       0        5        5        0        0
12206 aspell-gu                          	       0        3        3        0        0
12207 aspell-he                          	       0        4        4        0        0
12208 aspell-hi                          	       0        3        3        0        0
12209 aspell-hr                          	       0        4        4        0        0
12210 aspell-hsb                         	       0        7        6        1        0
12211 aspell-hu                          	       0       18       18        0        0
12212 aspell-hy                          	       0        2        1        1        0
12213 aspell-is                          	       0        4        3        1        0
12214 aspell-it                          	       0      130      126        4        0
12215 aspell-kk                          	       0        3        3        0        0
12216 aspell-kn                          	       0        1        1        0        0
12217 aspell-ku                          	       0        3        3        0        0
12218 aspell-lt                          	       0        3        3        0        0
12219 aspell-lv                          	       0        3        3        0        0
12220 aspell-ml                          	       0        3        3        0        0
12221 aspell-mr                          	       0        3        3        0        0
12222 aspell-nl                          	       0       25       24        1        0
12223 aspell-no                          	       0       45       42        3        0
12224 aspell-or                          	       0        1        1        0        0
12225 aspell-pa                          	       0        3        3        0        0
12226 aspell-pt                          	       0        3        0        0        3
12227 aspell-ro                          	       0       13       12        1        0
12228 aspell-sk                          	       0       12       12        0        0
12229 aspell-sl                          	       0        3        3        0        0
12230 aspell-sv                          	       0       22       22        0        0
12231 aspell-ta                          	       0        4        4        0        0
12232 aspell-te                          	       0        3        3        0        0
12233 aspell-tl                          	       0        3        3        0        0
12234 aspell-uk                          	       0       25       24        1        0
12235 aspell-uz                          	       0        1        1        0        0
12236 aspera-scp-client                  	       0        1        1        0        0
12237 aspia-client                       	       0        1        1        0        0
12238 aspia-console                      	       0        1        1        0        0
12239 aspia-relay                        	       0        1        1        0        0
12240 aspic                              	       0        3        3        0        0
12241 aspnetcore-runtime-2.1             	       0        1        0        0        1
12242 aspnetcore-runtime-2.2             	       0        1        0        0        1
12243 aspnetcore-runtime-3.1             	       0        1        0        0        1
12244 aspnetcore-runtime-5.0             	       0        4        0        0        4
12245 aspnetcore-runtime-6.0             	       0        9        0        0        9
12246 aspnetcore-runtime-7.0             	       0       10        1        0        9
12247 aspnetcore-runtime-8.0             	       0       14        0        0       14
12248 aspnetcore-runtime-9.0             	       0        1        0        0        1
12249 aspnetcore-targeting-pack-3.1      	       0        2        0        0        2
12250 aspnetcore-targeting-pack-5.0      	       0        3        0        0        3
12251 aspnetcore-targeting-pack-6.0      	       0        9        0        0        9
12252 aspnetcore-targeting-pack-7.0      	       0        8        0        0        8
12253 aspnetcore-targeting-pack-8.0      	       0       14        0        0       14
12254 aspnetcore-targeting-pack-9.0      	       0        1        0        0        1
12255 asql                               	       0        1        1        0        0
12256 asr-manpages                       	       0        5        0        0        5
12257 assaultcube                        	       0        5        5        0        0
12258 assetfinder                        	       0        1        1        0        0
12259 assimp-utils                       	       0        3        3        0        0
12260 assistant-qt6                      	       0       67       67        0        0
12261 assogiate                          	       0        1        1        0        0
12262 assword                            	       0        1        1        0        0
12263 astcenc                            	       0        1        1        0        0
12264 asterisk-config                    	       0       12        0        0       12
12265 asterisk-core-sounds-en            	       0       12        0        0       12
12266 asterisk-core-sounds-en-gsm        	       0       12        0        0       12
12267 asterisk-core-sounds-en-wav        	       0        1        0        0        1
12268 asterisk-dev                       	       0        1        1        0        0
12269 asterisk-doc                       	       0        3        0        0        3
12270 asterisk-mobile                    	       0        1        1        0        0
12271 asterisk-moh-opsound-gsm           	       0       12        0        0       12
12272 asterisk-mp3                       	       0        1        1        0        0
12273 asterisk-mysql                     	       0        1        1        0        0
12274 asterisk-prompt-de                 	       0        2        0        0        2
12275 astro-catalogs                     	       0        2        0        0        2
12276 astro-datareduction                	       0        2        0        0        2
12277 astro-development                  	       0        2        0        0        2
12278 astro-education                    	       0        1        0        0        1
12279 astro-frameworks                   	       0        2        0        0        2
12280 astro-gdl                          	       0        2        0        0        2
12281 astro-java                         	       0        1        0        0        1
12282 astro-publication                  	       0        1        0        0        1
12283 astro-python3                      	       0        1        0        0        1
12284 astro-radioastronomy               	       0        1        0        0        1
12285 astro-simulation                   	       0        1        0        0        1
12286 astro-tasks                        	       0       11        0        0       11
12287 astro-tcltk                        	       0        2        0        0        2
12288 astro-telescopecontrol             	       0        1        0        0        1
12289 astro-tools                        	       0        2        0        0        2
12290 astro-viewers                      	       0        1        0        0        1
12291 astro-virtual-observatory          	       0        1        0        0        1
12292 astroid                            	       0        1        1        0        0
12293 astromatic                         	       0        2        0        0        2
12294 astromenace                        	       0        6        6        0        0
12295 astromenace-data-src               	       0        6        0        0        6
12296 astrometry-data-tycho2             	       0        2        0        0        2
12297 astrometry-data-tycho2-07          	       0        2        0        0        2
12298 astrometry-data-tycho2-07-littleendian	       0        2        0        0        2
12299 astrometry-data-tycho2-08          	       0        2        0        0        2
12300 astrometry-data-tycho2-08-littleendian	       0        2        0        0        2
12301 astrometry-data-tycho2-09          	       0        2        0        0        2
12302 astrometry-data-tycho2-09-littleendian	       0        2        0        0        2
12303 astrometry-data-tycho2-10-19       	       0        2        0        0        2
12304 astrometry-data-tycho2-10-19-littleendian	       0        2        0        0        2
12305 astrometry.net                     	       0       28       27        1        0
12306 astronciaiptv                      	       0        1        1        0        0
12307 astronomical-almanac               	       0       14       14        0        0
12308 astropy-utils                      	       0        7        7        0        0
12309 astyle                             	       0       23       23        0        0
12310 asylum                             	       0        5        5        0        0
12311 asylum-data                        	       0        5        0        0        5
12312 asymptote-doc                      	       0      105        0        0      105
12313 at-spi2-doc                        	       0        2        0        0        2
12314 atac                               	       0        1        1        0        0
12315 atanks                             	       0       10        9        1        0
12316 atanks-data                        	       0       10        0        0       10
12317 atari-fdisk-cross                  	       0        1        1        0        0
12318 atari800                           	       0        3        3        0        0
12319 atdts                              	       0        1        1        0        0
12320 aterm                              	       0        3        3        0        0
12321 aterm-ml                           	       0        2        2        0        0
12322 atf-sh                             	       0        1        1        0        0
12323 atfs                               	       0        3        3        0        0
12324 atftp                              	       0       17       17        0        0
12325 athcool                            	       0        1        1        0        0
12326 athena-jot                         	       0        1        1        0        0
12327 atitvout                           	       0        1        1        0        0
12328 atlantik-trinity                   	       0       23       23        0        0
12329 atlantikdesigner-trinity           	       0       23       23        0        0
12330 atlasvpn-release                   	       0        1        0        0        1
12331 atlc-examples                      	       0        1        0        0        1
12332 atm-tools                          	       0        1        1        0        0
12333 atmel-firmware                     	       0        8        8        0        0
12334 atom4                              	       0        8        8        0        0
12335 atomic                             	       0        5        0        0        5
12336 atomicparsley                      	       0       35       35        0        0
12337 atomix-data                        	       0       22        0        0       22
12338 atrinik-client                     	       0        1        1        0        0
12339 atris                              	       0        1        1        0        0
12340 atsar                              	       0        2        2        0        0
12341 attal                              	       0        1        1        0        0
12342 attal-themes-cyberpunk             	       0        1        0        0        1
12343 attal-themes-medieval              	       0        1        0        0        1
12344 attract                            	       0        1        1        0        0
12345 atuin                              	       0        1        1        0        0
12346 aubio-tools                        	       0        6        6        0        0
12347 auctex                             	       0       29       29        0        0
12348 audacious-dev                      	       0        3        3        0        0
12349 audacious-dumb                     	       0        1        0        0        1
12350 audacity-data                      	       0      519       42        0      477
12351 audiofile-tools                    	       0        8        8        0        0
12352 audiorelay                         	       0        1        1        0        0
12353 audiotools                         	       0       13       13        0        0
12354 audispd-plugins                    	       0        8        7        1        0
12355 audmes                             	       0        3        3        0        0
12356 audtty                             	       0        2        2        0        0
12357 aufs-dev                           	       0        2        2        0        0
12358 aufs-dkms                          	       0       21       20        0        1
12359 aufs-modules-2.6.26-486-voyage     	       0        1        0        0        1
12360 aufs-source                        	       0        1        0        0        1
12361 aufs-tools                         	       0       29       29        0        0
12362 aufs-util                          	       0        2        2        0        0
12363 augeas-doc                         	       0        4        0        0        4
12364 augeas-lenses                      	       0      398        0        0      398
12365 augeas-tools                       	       0       39       38        1        0
12366 augustus-data                      	       0        1        0        0        1
12367 aumix-gtk                          	       0        7        7        0        0
12368 auralquiz                          	       0        6        6        0        0
12369 austin                             	       0        2        2        0        0
12370 ausweisapp                         	       0        1        1        0        0
12371 ausweisapp2                        	       0        6        6        0        0
12372 authprogs                          	       0        2        2        0        0
12373 auto-07p                           	       0        1        1        0        0
12374 auto-apt-proxy                     	       0        3        3        0        0
12375 auto-complete-el                   	       0        2        0        0        2
12376 auto-editor                        	       0        2        2        0        0
12377 auto-install-el                    	       0        2        2        0        0
12378 auto-multiple-choice               	       0        3        3        0        0
12379 auto-multiple-choice-common        	       0        3        3        0        0
12380 auto-multiple-choice-doc           	       0        3        0        0        3
12381 auto-multiple-choice-doc-pdf       	       0        2        0        0        2
12382 autobook                           	       0        2        2        0        0
12383 autoclass                          	       0        3        3        0        0
12384 autoconf-archive                   	       0      105        0        0      105
12385 autoconf-build-deps                	       0        1        0        0        1
12386 autoconf-dickey                    	       0        4        4        0        0
12387 autoconf-dickey-build-deps         	       0        1        0        0        1
12388 autoconf-doc                       	       0       47        0        0       47
12389 autoconf2.13                       	       0       26       26        0        0
12390 autoconf2.59                       	       0        4        4        0        0
12391 autoconf2.64                       	       0       14       14        0        0
12392 autoconf2.69                       	       0       14       14        0        0
12393 autoconf2.69-build-deps            	       0        1        0        0        1
12394 autodia                            	       0        9        9        0        0
12395 autodir                            	       0        1        1        0        0
12396 autodock                           	       0        1        1        0        0
12397 autodock-vina                      	       0        1        1        0        0
12398 autofdo                            	       0        1        1        0        0
12399 autoflake                          	       0        1        1        0        0
12400 autofs-ldap                        	       0        2        0        0        2
12401 autogen-doc                        	       0       50        0        0       50
12402 autogrid                           	       0        1        1        0        0
12403 autokey-common                     	       0       20       20        0        0
12404 autokey-gtk                        	       0       17       17        0        0
12405 autokey-qt                         	       0        5        5        0        0
12406 automake-1.15                      	       0        7        7        0        0
12407 automake1.10                       	       0        3        3        0        0
12408 automake1.11                       	       0       18       18        0        0
12409 automake1.4                        	       0        4        4        0        0
12410 automake1.9                        	       0        6        6        0        0
12411 automake1.9-doc                    	       0        2        0        0        2
12412 automoc                            	       0        1        1        0        0
12413 automysqlbackup                    	       0        3        3        0        0
12414 autopano-sift-c                    	       0        1        1        0        0
12415 autoproject                        	       0        4        4        0        0
12416 autoradio                          	       0        1        1        0        0
12417 autorevision                       	       0        3        3        0        0
12418 autosuspend                        	       0        1        1        0        0
12419 autotrace                          	       0        3        3        0        0
12420 auxilium                           	       0        1        1        0        0
12421 avahi-ui-utils-dbgsym              	       0        2        2        0        0
12422 avce00                             	       0       11       11        0        0
12423 avfs                               	       0       21       21        0        0
12424 avidemux                           	       0       26        3        0       23
12425 avidemux-cli                       	       0        4        4        0        0
12426 avidemux-common                    	       0       32       30        0        2
12427 avidemux-plugins                   	       0       28       28        0        0
12428 avidemux-plugins-cli               	       0        1        1        0        0
12429 avidemux-plugins-common            	       0        1        1        0        0
12430 avidemux-plugins-qt                	       0        1        1        0        0
12431 avidemux-qt                        	       0       28       28        0        0
12432 avidemux-qt-data                   	       0        1        0        0        1
12433 avidemux3-cli-dev                  	       0        1        1        0        0
12434 avidemux3-cli-runtime              	       0        3        3        0        0
12435 avidemux3-core-dev                 	       0        1        1        0        0
12436 avidemux3-core-runtime             	       0        3        0        0        3
12437 avidemux3-plugins-cli-plugins      	       0        3        3        0        0
12438 avidemux3-plugins-common-plugins   	       0        3        3        0        0
12439 avidemux3-plugins-qt5-plugins      	       0        3        3        0        0
12440 avidemux3-qt5-dev                  	       0        1        1        0        0
12441 avidemux3-qt5-runtime              	       0        3        3        0        0
12442 avidemux3-settings-settings        	       0        3        3        0        0
12443 aview                              	       0       12       12        0        0
12444 avinfo                             	       0        1        1        0        0
12445 avisynthplus-yuuki                 	       0        1        0        0        1
12446 avldrums.lv2                       	       0        7        7        0        0
12447 avldrums.lv2-data                  	       0        2        2        0        0
12448 avldrums.lv2-soundfont             	       0        6        6        0        0
12449 avm-firmware                       	       0        1        1        0        0
12450 avm-source                         	       0        1        0        0        1
12451 avogadro                           	       0       14       14        0        0
12452 avogadro-data                      	       0        4        0        0        4
12453 avogadro-utils                     	       0       13       13        0        0
12454 avra                               	       0       22       22        0        0
12455 avrdude-doc                        	       0       22        0        0       22
12456 avrp                               	       0       11       11        0        0
12457 avrprog                            	       0        1        1        0        0
12458 avw.lv2                            	       0        1        0        0        1
12459 aweather                           	       0        1        1        0        0
12460 awesome-dbgsym                     	       0        1        1        0        0
12461 awesome-doc                        	       0       11        0        0       11
12462 awesome-extra                      	       0       47       46        1        0
12463 awf-gtk3                           	       0        2        2        0        0
12464 awf-gtk4                           	       0        1        1        0        0
12465 awffull                            	       0        1        1        0        0
12466 ax25-apps                          	       0        7        7        0        0
12467 ax25-node                          	       0        1        1        0        0
12468 ax25-tools                         	       0       10       10        0        0
12469 ax25-xtools                        	       0        8        8        0        0
12470 ax25mail-utils                     	       0        7        7        0        0
12471 ax25spyd                           	       0        1        1        0        0
12472 axe                                	       0        3        3        0        0
12473 axe-demultiplexer                  	       0        1        1        0        0
12474 axiom                              	       0        1        1        0        0
12475 axiom-databases                    	       0        1        1        0        0
12476 axiom-doc                          	       0        1        0        0        1
12477 axiom-graphics                     	       0        1        1        0        0
12478 axiom-graphics-data                	       0        1        1        0        0
12479 axiom-hypertex                     	       0        1        1        0        0
12480 axiom-hypertex-data                	       0        1        0        0        1
12481 axiom-source                       	       0        2        0        0        2
12482 axis-archive-keyring               	       0        1        0        0        1
12483 axmail                             	       0        2        2        0        0
12484 axoloti                            	       0        1        1        0        0
12485 axprotector                        	       0        1        0        0        1
12486 ayatana-indicator-datetime         	       0        1        1        0        0
12487 ayatana-indicator-keyboard         	       0        1        1        0        0
12488 ayatana-indicator-messages         	       0        1        1        0        0
12489 ayatana-indicator-notifications    	       0        1        1        0        0
12490 ayatana-indicator-power            	       0        1        1        0        0
12491 ayatana-indicator-printers         	       0        2        2        0        0
12492 ayatana-indicator-sound            	       0        1        1        0        0
12493 ayatana-settings                   	       0        1        1        0        0
12494 ayatana-webmail                    	       0        1        1        0        0
12495 ayttm                              	       0        1        1        0        0
12496 ayu-theme                          	       0        1        0        0        1
12497 azuredatastudio                    	       0        1        1        0        0
12498 b43-fwcutter                       	       0       82       79        3        0
12499 babeld                             	       0        1        1        0        0
12500 babeltrace                         	       0        1        1        0        0
12501 backblaze-b2                       	       0        1        1        0        0
12502 backdown                           	       0        1        1        0        0
12503 backintime-qt4                     	       0        2        1        0        1
12504 backup-common-2pir                 	       0        1        0        0        1
12505 backup-manager                     	       0        5        5        0        0
12506 backup-manager-doc                 	       0        2        0        0        2
12507 backuppc                           	       0        8        8        0        0
12508 backuppc-rsync                     	       0        7        0        0        7
12509 bacon                              	       0        1        1        0        0
12510 bacula                             	       0        1        0        0        1
12511 bacula-bscan                       	       0        3        3        0        0
12512 bacula-client                      	       0        5        1        0        4
12513 bacula-common                      	       0        8        8        0        0
12514 bacula-common-mysql                	       0        1        1        0        0
12515 bacula-common-pgsql                	       0        2        2        0        0
12516 bacula-console                     	       0        5        5        0        0
12517 bacula-console-qt                  	       0        2        2        0        0
12518 bacula-director                    	       0        3        3        0        0
12519 bacula-director-common             	       0        1        0        0        1
12520 bacula-director-mysql              	       0        1        0        0        1
12521 bacula-director-pgsql              	       0        2        0        0        2
12522 bacula-fd                          	       0        7        7        0        0
12523 bacula-sd                          	       0        3        3        0        0
12524 bacula-server                      	       0        1        0        0        1
12525 baidunetdisk                       	       0        3        3        0        0
12526 bake                               	       0        1        1        0        0
12527 balance                            	       0        1        1        0        0
12528 balazar                            	       0        1        1        0        0
12529 balazar3                           	       0        2        0        0        2
12530 balazar3-2d                        	       0        1        0        0        1
12531 balazar3-3d                        	       0        2        0        0        2
12532 balazar3-common                    	       0        2        2        0        0
12533 balazarbrothers                    	       0        1        1        0        0
12534 balder2d                           	       0        1        1        0        0
12535 balder2d-data                      	       0        1        0        0        1
12536 balena-etcher                      	       0       29       28        1        0
12537 balena-etcher-electron             	       0        6        6        0        0
12538 ballerburg                         	       0        4        4        0        0
12539 ballview                           	       0        1        1        0        0
12540 ballz                              	       0        5        5        0        0
12541 ballz-data                         	       0        5        0        0        5
12542 baloo-kf5-dev                      	       0        5        5        0        0
12543 balsa                              	       0        1        1        0        0
12544 balsa-data                         	       0        1        0        0        1
12545 bam                                	       0        4        4        0        0
12546 bambam                             	       0       13       13        0        0
12547 bambootracker                      	       0        4        4        0        0
12548 bandit                             	       0        2        0        0        2
12549 bandwidthd                         	       0        1        1        0        0
12550 banshee                            	       0        4        4        0        0
12551 banshee-community-extensions       	       0        1        0        0        1
12552 banshee-extension-alarm            	       0        1        1        0        0
12553 banshee-extension-albumartwriter   	       0        1        1        0        0
12554 banshee-extension-ampache          	       0        1        1        0        0
12555 banshee-extension-awn              	       0        1        1        0        0
12556 banshee-extension-coverwallpaper   	       0        1        1        0        0
12557 banshee-extension-duplicatesongdetector	       0        1        1        0        0
12558 banshee-extension-foldersync       	       0        1        1        0        0
12559 banshee-extension-jamendo          	       0        1        1        0        0
12560 banshee-extension-lastfmfingerprint	       0        1        1        0        0
12561 banshee-extension-lcd              	       0        1        1        0        0
12562 banshee-extension-lirc             	       0        1        1        0        0
12563 banshee-extension-liveradio        	       0        1        1        0        0
12564 banshee-extension-lyrics           	       0        1        1        0        0
12565 banshee-extension-magnatune        	       0        1        1        0        0
12566 banshee-extension-mirage           	       0        2        2        0        0
12567 banshee-extension-openvp           	       0        1        1        0        0
12568 banshee-extension-radiostationfetcher	       0        1        1        0        0
12569 banshee-extension-randombylastfm   	       0        1        1        0        0
12570 banshee-extension-telepathy        	       0        1        1        0        0
12571 banshee-extension-zeitgeistdataprovider	       0        1        1        0        0
12572 banshee-extensions-common          	       0        2        0        0        2
12573 banyanapp                          	       0        1        0        0        1
12574 bar                                	       0        3        3        0        0
12575 bareftp                            	       0        1        1        0        0
12576 bareos-client                      	       0        4        0        0        4
12577 bareos-webui                       	       0        1        1        0        0
12578 baresip                            	       0        8        0        0        8
12579 baresip-core                       	       0       15       15        0        0
12580 baresip-ffmpeg                     	       0       10       10        0        0
12581 baresip-gstreamer                  	       0       11       11        0        0
12582 baresip-gtk                        	       0       11       11        0        0
12583 baresip-x11                        	       0        9        9        0        0
12584 barman                             	       0        4        4        0        0
12585 barman-cli-cloud                   	       0        1        1        0        0
12586 barnowl                            	       0        1        1        0        0
12587 barrage                            	       0        3        3        0        0
12588 bart                               	       0        2        2        0        0
12589 bart-view                          	       0        2        2        0        0
12590 base-files                         	       0     4166        0        0     4166
12591 base58                             	       0       16       16        0        0
12592 basex                              	       0        4        4        0        0
12593 bash-argsparse                     	       0        1        0        0        1
12594 bash-charmap                       	       0        1        1        0        0
12595 bash-deb-build                     	       0        1        1        0        0
12596 bash-doc                           	       0       42        0        0       42
12597 bash-optimg                        	       0        1        1        0        0
12598 bashacks                           	       0        3        3        0        0
12599 bashacks-doc                       	       0        2        0        0        2
12600 bashdb                             	       0        1        1        0        0
12601 bashtop                            	       0        9        9        0        0
12602 basix-doc                          	       0        1        0        0        1
12603 basket                             	       0       10       10        0        0
12604 basket-data                        	       0       10        0        0       10
12605 basket-kontact-integration-trinity 	       0        1        1        0        0
12606 basket-trinity                     	       0        1        1        0        0
12607 bastion                            	       0        1        0        0        1
12608 batmon.app                         	       0        4        3        1        0
12609 bats                               	       0        4        4        0        0
12610 bats-assert                        	       0        2        2        0        0
12611 bats-file                          	       0        2        2        0        0
12612 bats-support                       	       0        2        2        0        0
12613 bauh                               	       0        2        1        1        0
12614 bazaar-doc                         	       0        1        0        0        1
12615 bazel-6.1.0                        	       0        1        1        0        0
12616 bazel-bootstrap                    	       0        2        2        0        0
12617 bazel-bootstrap-data               	       0        2        2        0        0
12618 bazel-platforms                    	       0        1        0        0        1
12619 bazel-rules-cc                     	       0        1        1        0        0
12620 bazel-rules-java                   	       0        1        0        0        1
12621 bazel-rules-proto                  	       0        1        1        0        0
12622 bazel-skylib                       	       0        1        0        0        1
12623 bb                                 	       0       24       24        0        0
12624 bbdb                               	       0        2        0        0        2
12625 bbdb3                              	       0        4        4        0        0
12626 bbe                                	       0        3        3        0        0
12627 bbmail                             	       0        1        1        0        0
12628 bbpager                            	       0        6        6        0        0
12629 bbrun                              	       0        5        5        0        0
12630 bbswitch-dkms                      	       0       21        0        0       21
12631 bbswitch-source                    	       0        2        0        0        2
12632 bbtime                             	       0        3        3        0        0
12633 bcachefs-tools                     	       0        2        2        0        0
12634 bcachefs-tools-dbgsym              	       0        1        1        0        0
12635 bcal                               	       0        3        3        0        0
12636 bcc                                	       0        7        7        0        0
12637 bcftools                           	       0        1        1        0        0
12638 bcm5700-source                     	       0        1        0        0        1
12639 bcnc                               	       0        3        3        0        0
12640 bcpp                               	       0        8        8        0        0
12641 bcrelay                            	       0        6        6        0        0
12642 bd                                 	       0        2        2        0        0
12643 bda-monitoring-plugins-bridge-interface	       0        1        1        0        0
12644 bda-monitoring-plugins-broken-symlinks	       0        1        1        0        0
12645 bda-monitoring-plugins-cert-local  	       0        1        1        0        0
12646 bda-monitoring-plugins-count-files 	       0        1        1        0        0
12647 bda-monitoring-plugins-file-age-redux	       0        1        1        0        0
12648 bda-monitoring-plugins-file-md5sum 	       0        1        1        0        0
12649 bda-monitoring-plugins-imap-login  	       0        1        1        0        0
12650 bda-monitoring-plugins-letsencrypt-expiry-1.0	       0        1        1        0        0
12651 bda-monitoring-plugins-mdadm-status	       0        1        1        0        0
12652 bda-monitoring-plugins-pjsip-registration	       0        1        1        0        0
12653 bda-monitoring-plugins-sync-krb    	       0        1        1        0        0
12654 bda-monitoring-plugins-unknown-mac 	       0        1        1        0        0
12655 bdbvu                              	       0        1        1        0        0
12656 bdebstrap                          	       0        2        2        0        0
12657 bdf2psf                            	       0       27       27        0        0
12658 bdf2sfd                            	       0        2        2        0        0
12659 bdfresize                          	       0        6        6        0        0
12660 bdsup2sub                          	       0        3        3        0        0
12661 bdvmdbg                            	       0        4        4        0        0
12662 beagle                             	       0        1        1        0        0
12663 beancount                          	       0        1        1        0        0
12664 beancounter                        	       0        2        2        0        0
12665 bear                               	       0       11       11        0        0
12666 beast-mcmc                         	       0        1        1        0        0
12667 beast2-mcmc                        	       0        1        1        0        0
12668 beav                               	       0        6        6        0        0
12669 bedops                             	       0        1        1        0        0
12670 bedtools                           	       0        5        5        0        0
12671 beebeep                            	       0        1        1        0        0
12672 beef                               	       0        2        2        0        0
12673 beegfs-client                      	       0        1        1        0        0
12674 beegfs-common                      	       0        1        0        0        1
12675 beegfs-helperd                     	       0        1        1        0        0
12676 beegfs-utils                       	       0        1        1        0        0
12677 beekeeper-studio                   	       0        4        2        0        2
12678 beep-media-player                  	       0        1        1        0        0
12679 beep-media-player-wma              	       0        1        1        0        0
12680 beets                              	       0       12       12        0        0
12681 beets-doc                          	       0        5        0        0        5
12682 beid-mozilla-extension             	       0        8        0        0        8
12683 beid-mozilla-webext                	       0        8        0        0        8
12684 beignet                            	       0        3        0        0        3
12685 beignet-opencl-icd                 	       0        9        0        0        9
12686 bellsoft-java11-full               	       0        1        1        0        0
12687 bellsoft-java13-full               	       0        1        1        0        0
12688 bellsoft-java14-full               	       0        1        1        0        0
12689 bellsoft-java15-full               	       0        1        1        0        0
12690 bellsoft-java16-full               	       0        1        1        0        0
12691 bellsoft-java21-full               	       0        1        1        0        0
12692 bellsoft-java8                     	       0        1        1        0        0
12693 bellsoft-java8-full                	       0        1        1        0        0
12694 belvu                              	       0        2        2        0        0
12695 bemenu                             	       0       10        9        1        0
12696 beneath-a-steel-sky                	       0       21       20        1        0
12697 bento4                             	       0        1        1        0        0
12698 bepasty                            	       0        1        1        0        0
12699 berkeley-abc                       	       0       10       10        0        0
12700 berusky                            	       0       16       16        0        0
12701 berusky-data                       	       0       16        0        0       16
12702 berusky2                           	       0        8        8        0        0
12703 berusky2-data                      	       0        8        0        0        8
12704 bettercap                          	       0        4        4        0        0
12705 between                            	       0       11       11        0        0
12706 bf-utf-source                      	       0        7        0        0        7
12707 bfgminer                           	       0        1        1        0        0
12708 bforartists                        	       0        2        2        0        0
12709 bfs                                	       0        3        3        0        0
12710 bgcode                             	       0        1        1        0        0
12711 bgconf                             	       0       17       15        2        0
12712 bgpdump                            	       0        1        1        0        0
12713 bgpq3                              	       0        4        4        0        0
12714 bgpq4                              	       0        4        4        0        0
12715 biabam                             	       0        2        2        0        0
12716 bibata-cursor-theme                	       0       15        0        0       15
12717 bibclean                           	       0        9        9        0        0
12718 bibcursed                          	       0        4        4        0        0
12719 biber                              	       0      112      109        3        0
12720 bible-kjv-text                     	       0       11        0        0       11
12721 bibledit                           	       0        3        2        1        0
12722 bibledit-bibletime                 	       0        1        1        0        0
12723 bibledit-cloud                     	       0        1        0        1        0
12724 bibledit-cloud-data                	       0        1        0        1        0
12725 bibledit-data                      	       0        3        2        1        0
12726 bibledit-gtk                       	       0        1        1        0        0
12727 bibledit-gtk-data                  	       0        1        1        0        0
12728 bibledit-xiphos                    	       0        1        1        0        0
12729 bibletime                          	       0       20       19        1        0
12730 bibletime-data                     	       0       20        0        0       20
12731 biblioteq                          	       0        1        0        0        1
12732 biboumi                            	       0        1        1        0        0
12733 bibtex2html                        	       0        4        3        1        0
12734 bibtexconv                         	       0        4        3        1        0
12735 bibtool                            	       0       12       11        1        0
12736 bibus-doc-en                       	       0        1        0        0        1
12737 bibutils                           	       0        9        9        0        0
12738 bidentd                            	       0        1        1        0        0
12739 bidiv                              	       0        7        6        1        0
12740 biew                               	       0        1        1        0        0
12741 biff                               	       0       11       10        1        0
12742 big-cursor                         	       0       30        0        0       30
12743 biglybt                            	       0        4        4        0        0
12744 bijiben                            	       0        6        6        0        0
12745 billard-gl                         	       0       13       13        0        0
12746 billard-gl-data                    	       0       13        0        0       13
12747 biloba                             	       0        4        4        0        0
12748 biloba-data                        	       0        4        0        0        4
12749 binance                            	       0        1        1        0        0
12750 binaryen                           	       0       12       12        0        0
12751 binclock                           	       0        3        3        0        0
12752 bind-dnsutils                      	       0        1        1        0        0
12753 bind-host                          	       0        1        1        0        0
12754 bind-libs                          	       0        1        0        0        1
12755 bind9-dev                          	       0        3        2        1        0
12756 bind9-doc                          	       0       38        0        0       38
12757 bindechexascii                     	       0        6        6        0        0
12758 bindfs                             	       0       14       14        0        0
12759 bindgen                            	       0        6        6        0        0
12760 biniax2-data                       	       0       15        0        0       15
12761 binkd                              	       0        3        3        0        0
12762 bino                               	       0        3        3        0        0
12763 binpac                             	       0        1        1        0        0
12764 binutils-aarch64-linux-gnu-dbg     	       0        1        0        1        0
12765 binutils-alpha-linux-gnu           	       0        3        3        0        0
12766 binutils-arm-elf                   	       0        1        1        0        0
12767 binutils-arm-linux-gnueabi         	       0       30       28        2        0
12768 binutils-arm-linux-gnueabi-dbg     	       0        1        0        1        0
12769 binutils-common                    	       0     2697        0        0     2697
12770 binutils-dev                       	       0       48       48        0        0
12771 binutils-djgpp                     	       0        1        1        0        0
12772 binutils-doc                       	       0       58        0        0       58
12773 binutils-for-build                 	       0        3        0        0        3
12774 binutils-for-host                  	       0        2        0        0        2
12775 binutils-hppa-linux-gnu            	       0        4        4        0        0
12776 binutils-hppa-linux-gnu-dbg        	       0        1        1        0        0
12777 binutils-hppa64-linux-gnu          	       0        5        5        0        0
12778 binutils-hppa64-linux-gnu-dbg      	       0        1        1        0        0
12779 binutils-i686-gnu                  	       0        2        2        0        0
12780 binutils-ia16-elf                  	       0        1        1        0        0
12781 binutils-m68hc1x                   	       0        1        1        0        0
12782 binutils-m68k-linux-gnu            	       0        1        1        0        0
12783 binutils-mingw-w64                 	       0        9        0        0        9
12784 binutils-mingw-w64-i686            	       0       43       43        0        0
12785 binutils-mingw-w64-ucrt64          	       0        3        3        0        0
12786 binutils-mingw-w64-x86-64          	       0       48       48        0        0
12787 binutils-mips-linux-gnu            	       0        7        7        0        0
12788 binutils-mips64-linux-gnuabi64     	       0        1        1        0        0
12789 binutils-mips64el-linux-gnuabi64   	       0        4        4        0        0
12790 binutils-mipsel-linux-gnu          	       0        7        7        0        0
12791 binutils-msp430                    	       0        2        2        0        0
12792 binutils-multiarch-dbg             	       0        1        1        0        0
12793 binutils-multiarch-dev             	       0        4        0        0        4
12794 binutils-or1k-elf                  	       0        2        2        0        0
12795 binutils-powerpc-linux-gnu         	       0        5        4        1        0
12796 binutils-powerpc64-linux-gnu       	       0        6        5        1        0
12797 binutils-powerpc64le-linux-gnu     	       0        4        3        1        0
12798 binutils-riscv64-unknown-elf       	       0        3        3        0        0
12799 binutils-s390x-linux-gnu           	       0        4        4        0        0
12800 binutils-source                    	       0        2        0        0        2
12801 binutils-sparc64-linux-gnu         	       0        3        3        0        0
12802 binutils-x86-64-linux-gnu-dbg      	       0        1        1        0        0
12803 binutils-x86-64-linux-gnux32       	       0        6        6        0        0
12804 binutils-xtensa-lx106              	       0        2        2        0        0
12805 binutils-z80                       	       0        6        5        1        0
12806 binwalk                            	       0       42       41        1        0
12807 bioperl                            	       0        3        3        0        0
12808 bioperl-run                        	       0        3        3        0        0
12809 biosdisk                           	       0        1        1        0        0
12810 biosig-tools                       	       0        2        2        0        0
12811 bird                               	       0        3        3        0        0
12812 bird-bgp                           	       0        1        0        0        1
12813 bird-doc                           	       0        2        0        0        2
12814 birdfont                           	       0       10       10        0        0
12815 birdfont-common                    	       0        8        0        0        8
12816 birthday                           	       0        4        4        0        0
12817 bison++                            	       0        1        1        0        0
12818 bison-doc                          	       0       22       20        2        0
12819 bisonc++                           	       0        6        6        0        0
12820 bisonc++-doc                       	       0        4        4        0        0
12821 bisq                               	       0        8        8        0        0
12822 bit4id-ipki                        	       0        1        1        0        0
12823 bitcoin-cpuminer                   	       0        1        1        0        0
12824 bitcoin-qt                         	       0        7        7        0        0
12825 bitcoin-tx                         	       0        2        2        0        0
12826 bitcoind                           	       0        4        4        0        0
12827 bitdefender-security-tools         	       0        1        1        0        0
12828 bitlbee-common                     	       0       12        0        0       12
12829 bitlbee-dev                        	       0        2        1        0        1
12830 bitlbee-facebook                   	       0        1        1        0        0
12831 bitlbee-libpurple-dbgsym           	       0        1        1        0        0
12832 bitlbee-plugin-facebook            	       0        1        1        0        0
12833 bitlbee-plugin-mastodon            	       0        2        2        0        0
12834 bitlbee-plugin-otr                 	       0        2        2        0        0
12835 bitlbee-steam                      	       0        1        1        0        0
12836 bitmap-mule                        	       0        1        1        0        0
12837 bitmeter                           	       0        2        2        0        0
12838 bitpim-lib                         	       0        1        1        0        0
12839 bitscope-dso                       	       0        1        1        0        0
12840 bitshuffle                         	       0        1        1        0        0
12841 bitsnpicas                         	       0        1        1        0        0
12842 bitsquare                          	       0        1        1        0        0
12843 bitstormlite                       	       0        2        2        0        0
12844 bittorrent                         	       0        5        5        0        0
12845 bittorrent-gui                     	       0        3        3        0        0
12846 bitwarden                          	       0        4        1        0        3
12847 bitwig-studio                      	       0        5        5        0        0
12848 bitwise                            	       0        2        2        0        0
12849 bjeps2xx                           	       0        1        1        0        0
12850 bkchem                             	       0        1        1        0        0
12851 bkt                                	       0        1        1        0        0
12852 blabel                             	       0        1        1        0        0
12853 black                              	       0       50       49        1        0
12854 black-box                          	       0       12       12        0        0
12855 blackbird-gtk-theme                	       0      169        0        0      169
12856 blackbox-terminal                  	       0        1        0        1        0
12857 blackbox-themes                    	       0        4        0        0        4
12858 blackdev-plymouth-theme            	       0        1        0        0        1
12859 blacs-mpi-test                     	       0        1        1        0        0
12860 blacs-test-common                  	       0        1        1        0        0
12861 bladerf                            	       0       50       49        1        0
12862 blahtexml                          	       0        4        3        1        0
12863 blanket                            	       0        1        1        0        0
12864 blast                              	       0        1        1        0        0
12865 blast2                             	       0        3        0        0        3
12866 blcr-util                          	       0        1        1        0        0
12867 blender-dbg                        	       0        1        1        0        0
12868 blender-doc                        	       0        2        0        0        2
12869 blender-ogrexml-1.9                	       0        5        5        0        0
12870 blends-common                      	       0       24       24        0        0
12871 blends-doc                         	       0        3        0        0        3
12872 blends-tasks                       	       0        3        0        0        3
12873 blhc                               	       0        1        1        0        0
12874 blight                             	       0        2        2        0        0
12875 blink                              	       0        1        1        0        0
12876 blinkd                             	       0        1        1        0        0
12877 blinken                            	       0       49       47        2        0
12878 blinken-trinity                    	       0       23       23        0        0
12879 bliss                              	       0        5        5        0        0
12880 blobby-build-deps                  	       0        1        0        0        1
12881 blobby-data                        	       0        8        0        0        8
12882 blobby-dbgsym                      	       0        1        1        0        0
12883 blobby-server                      	       0        2        0        2        0
12884 blockade                           	       0        1        1        0        0
12885 blockbench                         	       0        2        0        0        2
12886 blockout2                          	       0       19       17        2        0
12887 blocks-of-the-undead-data          	       0       10        0        0       10
12888 blogc                              	       0        1        1        0        0
12889 blogc-make                         	       0        1        1        0        0
12890 blogc-runserver                    	       0        1        1        0        0
12891 blogilo                            	       0        1        1        0        0
12892 blop                               	       0       11        9        2        0
12893 blosxom                            	       0        1        1        0        0
12894 bls-standalone                     	       0        2        2        0        0
12895 blt                                	       0      894        0        0      894
12896 blt-demo                           	       0       16        0        0       16
12897 blt-dev                            	       0       14       13        1        0
12898 bluebird-gtk-theme                 	       0      155        3        1      151
12899 bluebrain-hpc-coding-conventions   	       0        1        0        0        1
12900 bluefish-data                      	       0       66        1        0       65
12901 bluefish-doc-pdf                   	       0        1        0        0        1
12902 bluefish-doc-ps                    	       0        1        0        0        1
12903 bluefish-plugins                   	       0       65        1        0       64
12904 bluegriffon                        	       0        4        4        0        0
12905 bluej                              	       0        5        5        0        0
12906 bluejeans-v2                       	       0        3        0        0        3
12907 bluemindo                          	       0        1        1        0        0
12908 bluemon                            	       0       20       20        0        0
12909 blueprint                          	       0        1        1        0        0
12910 blueprint-compiler                 	       0        1        1        0        0
12911 blueprint-tools                    	       0        2        2        0        0
12912 bluetooth                          	       0     1571        0        0     1571
12913 bluewho                            	       0        3        3        0        0
12914 bluez-alsa                         	       0        2        0        0        2
12915 bluez-compat                       	       0        3        3        0        0
12916 bluez-cups                         	       0       51       49        2        0
12917 bluez-cups-dbgsym                  	       0        1        1        0        0
12918 bluez-dbgsym                       	       0        1        1        0        0
12919 bluez-firmware                     	       0      169      167        2        0
12920 bluez-gstreamer                    	       0        2        0        0        2
12921 bluez-hcidump                      	       0       64       63        1        0
12922 bluez-hcidump-dbgsym               	       0        1        1        0        0
12923 bluez-meshd                        	       0       25       24        1        0
12924 bluez-meshd-dbgsym                 	       0        1        1        0        0
12925 bluez-obexd-dbgsym                 	       0        1        1        0        0
12926 bluez-source                       	       0       18        0        0       18
12927 bluez-test-scripts                 	       0       35        0        0       35
12928 bluez-test-tools                   	       0       40       40        0        0
12929 bluez-test-tools-dbgsym            	       0        1        1        0        0
12930 bluez-tools-dbgsym                 	       0        1        1        0        0
12931 bluez-utils                        	       0        3        0        0        3
12932 blupimania                         	       0        2        2        0        0
12933 blupimania-common                  	       0        2        0        0        2
12934 blur-effect                        	       0        3        3        0        0
12935 bluraybackup                       	       0        4        4        0        0
12936 bmagic                             	       0        1        1        0        0
12937 bmake                              	       0       25       24        1        0
12938 bmt                                	       0        1        1        0        0
12939 bnfc                               	       0        2        2        0        0
12940 boca.amd64                         	       0        1        1        0        0
12941 bochs                              	       0       15       15        0        0
12942 bochs-doc                          	       0        7        0        0        7
12943 bochs-sdl                          	       0        7        1        0        6
12944 bochs-term                         	       0        5        2        0        3
12945 bochs-wx                           	       0       13        3        0       10
12946 bochs-x                            	       0        4        2        0        2
12947 bochsbios                          	       0       17        0        0       17
12948 bodr                               	       0        8        0        0        8
12949 bogl-bterm                         	       0        1        1        0        0
12950 bogl-utils                         	       0        3        3        0        0
12951 bogofilter                         	       0      292        0        0      292
12952 bogofilter-common                  	       0      294        0        0      294
12953 bogofilter-sqlite                  	       0        4        4        0        0
12954 boinc                              	       0       15        0        0       15
12955 boinc-app-seti                     	       0        1        0        0        1
12956 boinc-client-opencl                	       0        2        0        0        2
12957 boinc-manager                      	       0       19       18        1        0
12958 boinc-screensaver                  	       0        3        3        0        0
12959 boinc-virtualbox                   	       0        3        0        0        3
12960 bolt-16                            	       0        1        1        0        0
12961 bombadillo                         	       0        1        1        0        0
12962 bomber                             	       0       59       55        4        0
12963 bomberclone                        	       0       14       13        1        0
12964 bomberclone-data                   	       0       14        0        0       14
12965 bombermaze                         	       0        1        1        0        0
12966 bombono-dvd                        	       0        1        1        0        0
12967 bombono-dvd-data                   	       0        3        0        0        3
12968 bomstrip                           	       0        1        1        0        0
12969 bongosurfer                        	       0        1        1        0        0
12970 boogie                             	       0        1        1        0        0
12971 boohu                              	       0        4        4        0        0
12972 booksorg                           	       0        1        1        0        0
12973 boolector                          	       0        1        1        0        0
12974 boomaga                            	       0       15       15        0        0
12975 boost-defaults-build-deps          	       0        1        0        0        1
12976 boost1.71-build-deps               	       0        1        0        0        1
12977 boot-info                          	       0        1        1        0        0
12978 boot-info-script                   	       0        7        7        0        0
12979 boot-repair                        	       0        3        3        0        0
12980 boot-sav                           	       0        3        0        0        3
12981 boot-sav-extra                     	       0        3        0        0        3
12982 bootcd                             	       0        2        2        0        0
12983 bootchart                          	       0        2        2        0        0
12984 bootchart-view                     	       0        1        1        0        0
12985 bootchart2                         	       0        2        2        0        0
12986 bootiso                            	       0        1        1        0        0
12987 bootlogd-dbgsym                    	       0        2        2        0        0
12988 bootp                              	       0        5        5        0        0
12989 bootparamd                         	       0        3        3        0        0
12990 bootpc                             	       0        2        2        0        0
12991 bootscript-odroidc4                	       0        1        0        0        1
12992 bootscript-odroidm1                	       0        1        0        0        1
12993 bootstrap-icons                    	       0        2        0        0        2
12994 bootterm                           	       0        2        2        0        0
12995 borgbackup-doc                     	       0       10        0        0       10
12996 borgbackup2                        	       0        1        1        0        0
12997 borgcalendar                       	       0        2        2        0        0
12998 borgmatic                          	       0       16       16        0        0
12999 bos                                	       0        1        1        0        0
13000 bosh                               	       0        4        4        0        0
13001 boson                              	       0        1        1        0        0
13002 boson-data                         	       0        1        0        0        1
13003 boson-music                        	       0        1        0        0        1
13004 bossa                              	       0        4        4        0        0
13005 bossa-cli                          	       0        5        5        0        0
13006 boswars-data                       	       0       12        0        0       12
13007 botan                              	       0        6        6        0        0
13008 botch                              	       0        1        1        0        0
13009 botch-doc                          	       0        2        0        0        2
13010 bottlerocket                       	       0        3        3        0        0
13011 bottom                             	       0        3        3        0        0
13012 bouncy                             	       0       10       10        0        0
13013 bovo                               	       0       57       54        3        0
13014 bowtie                             	       0        3        3        0        0
13015 bowtie2                            	       0        2        2        0        0
13016 boxer                              	       0        1        1        0        0
13017 boxer-data                         	       0        1        0        0        1
13018 boxes                              	       0        9        9        0        0
13019 boxshade                           	       0        2        2        0        0
13020 bpfcc-tools                        	       0        3        3        0        0
13021 bpfmon                             	       0       27       27        0        0
13022 bpftool                            	       0        6        6        0        0
13023 bpftrace                           	       0        5        5        0        0
13024 bplay                              	       0        9        9        0        0
13025 bpm-tools                          	       0        3        3        0        0
13026 bpython                            	       0        9        9        0        0
13027 bpython3                           	       0        1        1        0        0
13028 bpytop                             	       0       35       34        1        0
13029 br2684ctl                          	       0        1        1        0        0
13030 brag                               	       0        2        2        0        0
13031 braillefont                        	       0        1        1        0        0
13032 braindump                          	       0        1        1        0        0
13033 brainparty                         	       0       15       15        0        0
13034 brainparty-data                    	       0       15        0        0       15
13035 brandy                             	       0        5        5        0        0
13036 brasero-cdrkit                     	       0      366        0        0      366
13037 brasero-common                     	       0      592        0        0      592
13038 brave-browser-nightly              	       0        5        5        0        0
13039 brave-keyring                      	       0      200        0        0      200
13040 brazilian-conjugate                	       0        2        2        0        0
13041 breathe-doc                        	       0        1        0        0        1
13042 breeze-cursor-theme                	       0      645        0        0      645
13043 breeze-dev                         	       0        4        0        0        4
13044 breeze-gtk-theme                   	       0      578        0        0      578
13045 breeze-icon-theme                  	       0      686        0        0      686
13046 breeze-icon-theme-rcc              	       0       16        0        0       16
13047 breeze-wallpaper                   	       0       26        0        0       26
13048 breitbandmessung                   	       0        1        0        0        1
13049 brewtarget                         	       0        2        2        0        0
13050 brgenml1cupswrapper                	       0        5        0        0        5
13051 brgenml1lpr                        	       0        3        0        0        3
13052 brhl2040lpr                        	       0        1        1        0        0
13053 brhl2140lpr                        	       0        2        2        0        0
13054 briar-desktop                      	       0        3        3        0        0
13055 brick-flash                        	       0        1        1        0        0
13056 brickos-doc                        	       0        1        0        0        1
13057 brickv                             	       0        1        1        0        0
13058 bricscadv20                        	       0        1        1        0        0
13059 bricscadv22                        	       0        1        1        0        0
13060 bricscadv23                        	       0        1        1        0        0
13061 bricscadv24                        	       0        1        1        0        0
13062 bricscadv25                        	       0        1        1        0        0
13063 brig                               	       0        1        1        0        0
13064 brightnessctl-dbgsym               	       0        1        1        0        0
13065 brightnesspicker                   	       0        1        1        0        0
13066 briquolo                           	       0       10       10        0        0
13067 briquolo-data                      	       0       10        0        0       10
13068 bristol                            	       0        4        4        0        0
13069 bristol-data                       	       0        4        0        0        4
13070 brlcad                             	       0        1        1        0        0
13071 brltty-build-deps                  	       0        1        0        0        1
13072 brltty-speechd                     	       0        1        1        0        0
13073 brmfc7320lpr                       	       0        1        1        0        0
13074 brmfc7820nlpr                      	       0        1        1        0        0
13075 brmfcfaxcups                       	       0        3        3        0        0
13076 brmfcfaxdrv                        	       0        1        0        0        1
13077 brmfcfaxlpd                        	       0        1        0        0        1
13078 bro-aux                            	       0        1        1        0        0
13079 bro-common                         	       0        1        0        0        1
13080 broadcom-sta-common                	       0        7        0        0        7
13081 broadcom-sta-source                	       0        6        0        0        6
13082 broot                              	       0        3        3        0        0
13083 brother-udev-rule-type1            	       0        9        0        0        9
13084 browser-plugin-evince              	       0        4        4        0        0
13085 browser-plugin-freshplayer-nacl    	       0        1        1        0        0
13086 browser-plugin-freshplayer-pepperflash	       0        6        0        0        6
13087 browser-plugin-gnash               	       0       13       13        0        0
13088 browser-plugin-lightspark          	       0        2        2        0        0
13089 browser-plugin-vlc                 	       0        2        2        0        0
13090 browsh                             	       0        8        8        0        0
13091 brp-pacu                           	       0        2        2        0        0
13092 brscan-skey                        	       0       67        3        0       64
13093 brscan2                            	       0        7        7        0        0
13094 brscan3                            	       0        9        9        0        0
13095 brscan4                            	       0       66       66        0        0
13096 brscan5                            	       0        7        1        0        6
13097 bruno                              	       0        3        0        0        3
13098 brutalchess                        	       0       16       16        0        0
13099 brutefir                           	       0        1        1        0        0
13100 brz                                	       0       45       45        0        0
13101 brz-debian                         	       0        4        4        0        0
13102 brz-doc                            	       0       12        0        0       12
13103 brz-loom                           	       0        1        1        0        0
13104 bs1770gain                         	       0        7        7        0        0
13105 bsc                                	       0        1        1        0        0
13106 bsdcpio                            	       0        4        0        0        4
13107 bsdextrautils-dbgsym               	       0        1        1        0        0
13108 bsdgames-nonfree                   	       0       20       19        1        0
13109 bsdiff-dbgsym                      	       0        1        1        0        0
13110 bsdowl                             	       0        1        1        0        0
13111 bsdtar                             	       0       20        0        0       20
13112 bsdutils-dbgsym                    	       0        2        2        0        0
13113 bsh-doc                            	       0       13        0        0       13
13114 bsh-gcj                            	       0        1        1        0        0
13115 bsh-src                            	       0        1        0        0        1
13116 btag                               	       0        5        5        0        0
13117 btanks-data                        	       0       10        0        0       10
13118 btcheck                            	       0        6        6        0        0
13119 btest                              	       0        1        1        0        0
13120 btfs                               	       0        3        3        0        0
13121 btoa                               	       0        1        1        0        0
13122 btrbk                              	       0        5        5        0        0
13123 btrfs-assistant                    	       0        3        2        1        0
13124 btrfs-compsize                     	       0       23       22        1        0
13125 btrfs-heatmap                      	       0       24       23        1        0
13126 btrfs-subvolume-manager-servicemenu-v0.3	       0        1        0        0        1
13127 btrfs-tools                        	       0       47        1        0       46
13128 btrfsmaintenance                   	       0       15       13        2        0
13129 btrustbiss                         	       0        1        1        0        0
13130 btyacc                             	       0        1        1        0        0
13131 bubblefishymon                     	       0        3        3        0        0
13132 bubbros                            	       0        1        1        0        0
13133 bucardo                            	       0        1        1        0        0
13134 buckap                             	       0        2        2        0        0
13135 bucklespring-data                  	       0        7        0        0        7
13136 budgie-app-launcher-applet         	       0        1        0        0        1
13137 budgie-applications-menu-applet    	       0        3        0        0        3
13138 budgie-appmenu-applet              	       0        1        0        0        1
13139 budgie-backgrounds                 	       0        1        0        0        1
13140 budgie-brightness-controller-applet	       0        4        0        0        4
13141 budgie-clockworks-applet           	       0        1        0        0        1
13142 budgie-control-center              	       0        5        5        0        0
13143 budgie-control-center-data         	       0        5        0        0        5
13144 budgie-core-dev                    	       0        1        1        0        0
13145 budgie-countdown-applet            	       0        1        0        0        1
13146 budgie-desktop                     	       0        7        0        0        7
13147 budgie-desktop-doc                 	       0        2        0        0        2
13148 budgie-dropby-applet               	       0        1        0        0        1
13149 budgie-extras-common               	       0        7        0        0        7
13150 budgie-extras-daemon               	       0        2        2        0        0
13151 budgie-hotcorners-applet           	       0        1        1        0        0
13152 budgie-indicator-applet            	       0        1        0        0        1
13153 budgie-kangaroo-applet             	       0        1        0        0        1
13154 budgie-keyboard-autoswitch-applet  	       0        2        0        0        2
13155 budgie-network-manager-applet      	       0        1        0        0        1
13156 budgie-previews                    	       0        1        1        0        0
13157 budgie-previews-applet             	       0        1        0        0        1
13158 budgie-quickchar                   	       0        1        1        0        0
13159 budgie-quicknote-applet            	       0        1        0        0        1
13160 budgie-recentlyused-applet         	       0        1        0        0        1
13161 budgie-rotation-lock-applet        	       0        1        0        0        1
13162 budgie-showtime-applet             	       0        1        0        0        1
13163 budgie-sntray-plugin               	       0        2        0        0        2
13164 budgie-takeabreak-applet           	       0        1        0        0        1
13165 budgie-trash-applet                	       0        1        0        0        1
13166 budgie-visualspace-applet          	       0        1        0        0        1
13167 budgie-wallstreet                  	       0        2        2        0        0
13168 budgie-weathershow-applet          	       0        2        0        0        2
13169 budgie-window-mover-applet         	       0        1        0        0        1
13170 budgie-window-shuffler             	       0        2        2        0        0
13171 budgie-workspace-overview-applet   	       0        1        0        0        1
13172 budgie-workspace-stopwatch-applet  	       0        1        0        0        1
13173 budgie-workspace-wallpaper-applet  	       0        1        0        0        1
13174 buffer                             	       0       22       22        0        0
13175 buffy                              	       0        1        1        0        0
13176 bugsquish                          	       0        4        4        0        0
13177 bugz                               	       0        1        1        0        0
13178 bugzilla-cli                       	       0        1        1        0        0
13179 buici-clock                        	       0        8        8        0        0
13180 build                              	       0        2        2        0        0
13181 build-essential                    	       0     2221        0        0     2221
13182 buildapp                           	       0        1        1        0        0
13183 buildbot-doc                       	       0        2        0        0        2
13184 buildtorrent                       	       0        4        4        0        0
13185 bulky                              	       0        3        3        0        0
13186 bum                                	       0        1        1        0        0
13187 bumblebee-nvidia                   	       0        6        0        0        6
13188 bumprace                           	       0        4        4        0        0
13189 bumprace-data                      	       0        4        0        0        4
13190 bumpversion                        	       0        2        2        0        0
13191 bundler                            	       0       30        0        0       30
13192 bundlewrap                         	       0        1        1        0        0
13193 bunsen-thunar                      	       0        1        0        0        1
13194 bup-doc                            	       0      497        0        0      497
13195 burn                               	       0        1        1        0        0
13196 burner-cdrkit                      	       0       13        0        0       13
13197 burner-common                      	       0       15        0        0       15
13198 busybox-syslogd                    	       0        1        1        0        0
13199 butt                               	       0        2        2        0        0
13200 butteraugli                        	       0        2        2        0        0
13201 buzztrax                           	       0        5        5        0        0
13202 bvi                                	       0       20       20        0        0
13203 bwa                                	       0        5        5        0        0
13204 bwbar                              	       0        1        1        0        0
13205 bwbasic                            	       0       11       11        0        0
13206 bwidget                            	       0       53        0        0       53
13207 bwm-ng                             	       0       32       32        0        0
13208 bximage                            	       0       14       14        0        0
13209 byacc                              	       0       43       42        1        0
13210 byacc-dbgsym                       	       0        1        1        0        0
13211 byedpi                             	       0        1        1        0        0
13212 bygfoot                            	       0        2        2        0        0
13213 bygfoot-data                       	       0        2        0        0        2
13214 bytedance-feishu-stable            	       0        1        1        0        0
13215 bytes-circle                       	       0        1        1        0        0
13216 byzanz                             	       0       11       11        0        0
13217 bzflag                             	       0       11        0        0       11
13218 bzflag-data                        	       0       19        0        0       19
13219 bzip2-doc                          	       0      403        0        0      403
13220 bzip3                              	       0       17       17        0        0
13221 bzr                                	       0       44       10        0       34
13222 bzr-builddeb                       	       0        4        1        0        3
13223 bzr-doc                            	       0        7        0        0        7
13224 bzrtools                           	       0       11        4        0        7
13225 c++-annotations                    	       0        4        4        0        0
13226 c++-annotations-contrib            	       0        5        0        0        5
13227 c++-annotations-dvi                	       0        4        0        0        4
13228 c++-annotations-html               	       0        6        0        0        6
13229 c++-annotations-latex              	       0        4        0        0        4
13230 c++-annotations-pdf                	       0        8        0        0        8
13231 c++-annotations-ps                 	       0        4        0        0        4
13232 c++-annotations-txt                	       0        4        0        0        4
13233 c-cpp-reference                    	       0        1        1        0        0
13234 c2go                               	       0        1        1        0        0
13235 c2hs-doc                           	       0        1        1        0        0
13236 c3270                              	       0        6        6        0        0
13237 c44-freeimage                      	       0        1        1        0        0
13238 ca-cacert                          	       0       11        0        0       11
13239 ca-certificates-java               	       0     1636        0        0     1636
13240 ca-installer                       	       0        1        1        0        0
13241 ca-misc-dev                        	       0        1        1        0        0
13242 ca-netif                           	       0        1        1        0        0
13243 cabal-debian                       	       0        6        5        1        0
13244 cabal-install                      	       0       14       13        1        0
13245 cabot                              	       0        1        1        0        0
13246 cachefilesd                        	       0        3        3        0        0
13247 cackey                             	       0        2        2        0        0
13248 cacti                              	       0        3        3        0        0
13249 cacti-spine                        	       0        1        1        0        0
13250 cadabra                            	       0        1        1        0        0
13251 cadaver                            	       0       15       15        0        0
13252 caddy                              	       0        2        2        0        0
13253 cadence                            	       0        3        3        0        0
13254 cadence-data                       	       0        5        0        0        5
13255 cadence-tools                      	       0        4        4        0        0
13256 cadubi                             	       0        6        6        0        0
13257 cadzinho                           	       0        1        1        0        0
13258 cafeobj                            	       0        3        3        0        0
13259 cafeobj-mode                       	       0        1        1        0        0
13260 caffe-tools-cpu                    	       0        1        1        0        0
13261 caffeine                           	       0        9        8        1        0
13262 cage                               	       0        5        5        0        0
13263 cairo-5c                           	       0        6        0        0        6
13264 cairo-clock                        	       0        1        1        0        0
13265 cairo-dock                         	       0        3        0        0        3
13266 cairo-dock-dev                     	       0        2        2        0        0
13267 cairo-dock-kde-integration-plug-in 	       0        3        0        0        3
13268 cairo-dock-plug-ins                	       0        3        0        0        3
13269 cairo-dock-xfce-integration-plug-in	       0        6        0        0        6
13270 cairosvg                           	       0       10       10        0        0
13271 caja-actions-common                	       0       19        0        0       19
13272 caja-admin                         	       0       75        0        0       75
13273 caja-extension-fma                 	       0        1        0        0        1
13274 caja-mediainfo                     	       0       14        0        0       14
13275 caja-nextcloud                     	       0        6        0        0        6
13276 cakephp                            	       0        1        1        0        0
13277 cakephp-scripts                    	       0        1        1        0        0
13278 calamares-extensions               	       0        1        0        0        1
13279 calamares-extensions-data          	       0        2        0        0        2
13280 calc-common                        	       0     1006        0        0     1006
13281 calc-dev                           	       0        3        3        0        0
13282 calcoo                             	       0        8        8        0        0
13283 calculix-ccx                       	       0      107      106        1        0
13284 calculix-ccx-doc                   	       0        3        0        0        3
13285 calculix-ccx-test                  	       0        1        0        0        1
13286 calculix-cgx                       	       0        5        5        0        0
13287 calculix-cgx-examples              	       0        2        0        0        2
13288 calcurse-dbgsym                    	       0        1        1        0        0
13289 calligra                           	       0       22        0        0       22
13290 calligra-data                      	       0       53        0        0       53
13291 calligra-gemini                    	       0        7        6        1        0
13292 calligra-gemini-data               	       0        7        0        0        7
13293 calligra-l10n-de                   	       0        2        0        0        2
13294 calligra-l10n-es                   	       0        1        0        0        1
13295 calligra-l10n-it                   	       0        1        0        0        1
13296 calligra-l10n-pl                   	       0        1        0        0        1
13297 calligra-l10n-ru                   	       0        1        0        0        1
13298 calligra-l10n-zhcn                 	       0        1        0        0        1
13299 calligra-libs                      	       0       48       46        2        0
13300 calligra-semanticitems             	       0        1        1        0        0
13301 calligraauthor                     	       0        1        1        0        0
13302 calligraflow                       	       0        1        1        0        0
13303 calligraflow-data                  	       0        1        0        0        1
13304 calligraplan                       	       0       12       12        0        0
13305 calligrasheets                     	       0       27       25        2        0
13306 calligrasheets-data                	       0       23        0        0       23
13307 calligrastage                      	       0       23       21        2        0
13308 calligrastage-data                 	       0       23        0        0       23
13309 calligrawords                      	       0       36       34        2        0
13310 calligrawords-data                 	       0       38        0        0       38
13311 calypso                            	       0        1        1        0        0
13312 cam                                	       0        1        1        0        0
13313 cam2ip                             	       0        1        1        0        0
13314 cambiainit-trinity                 	       0        2        0        0        2
13315 camera.app                         	       0        5        4        1        0
13316 camitk-config                      	       0        1        1        0        0
13317 camitk-imp                         	       0        1        1        0        0
13318 caml2html                          	       0        1        1        0        0
13319 camlp4                             	       0       15       15        0        0
13320 camlp5                             	       0        2        2        0        0
13321 camotics                           	       0        1        1        0        0
13322 camping                            	       0        1        1        0        0
13323 camstream                          	       0        2        2        0        0
13324 camstream-doc                      	       0        3        0        0        3
13325 camv-rnd                           	       0        3        0        0        3
13326 camv-rnd-core                      	       0        3        3        0        0
13327 camv-rnd-doc                       	       0        3        0        0        3
13328 camv-rnd-export-extra              	       0        1        1        0        0
13329 camv-rnd-export-gd                 	       0        3        3        0        0
13330 camv-rnd-export-vector             	       0        3        3        0        0
13331 camv-rnd-import                    	       0        3        3        0        0
13332 camv-rnd-lib-gui                   	       0        3        3        0        0
13333 canadian-ham-exam                  	       0        3        3        0        0
13334 canberra-gtk-play                  	       0        1        1        0        0
13335 candevstudio                       	       0        1        0        0        1
13336 caneda                             	       0        8        8        0        0
13337 canna                              	       0        2        2        0        0
13338 canna-utils                        	       0        3        3        0        0
13339 cantata                            	       0       23       23        0        0
13340 cantor                             	       0       45       43        2        0
13341 cantor-backend-kalgebra            	       0        6        0        0        6
13342 cantor-backend-lua                 	       0        2        0        0        2
13343 cantor-backend-maxima              	       0        3        0        0        3
13344 cantor-backend-octave              	       0        1        0        0        1
13345 cantor-backend-python3             	       0        2        2        0        0
13346 cantor-backend-qalculate           	       0       45        0        0       45
13347 cantor-backend-r                   	       0        1        1        0        0
13348 cantor-backend-sage                	       0        1        0        0        1
13349 cantor-backend-scilab              	       0        3        0        0        3
13350 capi4hylafax                       	       0        1        1        0        0
13351 capistrano                         	       0        1        1        0        0
13352 capiutils                          	       0        3        3        0        0
13353 capnproto                          	       0        4        4        0        0
13354 capplets-data                      	       0        2        0        0        2
13355 cappuccino                         	       0        2        2        0        0
13356 caprine                            	       0        1        1        0        0
13357 caps-lv2                           	       0        2        0        0        2
13358 caps-lv2-data                      	       0        2        0        0        2
13359 capstats                           	       0        3        3        0        0
13360 capsule-nextflow                   	       0        1        1        0        0
13361 carapace-bin                       	       0        1        1        0        0
13362 cardinal                           	       0        1        1        0        0
13363 cardinal-data                      	       0        1        0        0        1
13364 cardpeek                           	       0       12       12        0        0
13365 cardpeek-data                      	       0       12        0        0       12
13366 cargo-auditable                    	       0        1        1        0        0
13367 cargo-binutils                     	       0        1        1        0        0
13368 cargo-debstatus                    	       0        1        1        0        0
13369 cargo-doc                          	       0       17        0        0       17
13370 cargo-mozilla                      	       0        4        4        0        0
13371 cargo-web                          	       0        1        1        0        0
13372 caribou                            	       0       39       39        0        0
13373 caribou-antler                     	       0        6        6        0        0
13374 carla                              	       0        7        7        0        0
13375 carla-bridge-linux32               	       0        2        2        0        0
13376 carla-bridge-linux64               	       0        2        2        0        0
13377 carla-bridge-win32                 	       0        3        3        0        0
13378 carla-bridge-win64                 	       0        4        4        0        0
13379 carla-bridge-wine32                	       0        2        0        0        2
13380 carla-bridge-wine64                	       0        1        0        0        1
13381 carla-data                         	       0        7        0        0        7
13382 carla-git-data                     	       0        2        0        0        2
13383 carla-lv2                          	       0        3        1        0        2
13384 carla-vst                          	       0        3        1        0        2
13385 carla-vst-wine                     	       0        1        1        0        0
13386 carmetal                           	       0        9        9        0        0
13387 carton                             	       0        3        3        0        0
13388 casacore-data                      	       0        5        0        0        5
13389 casacore-data-igrf                 	       0        5        0        0        5
13390 casacore-data-jpl-de200            	       0        5        0        0        5
13391 casacore-data-jpl-de405            	       0        5        0        0        5
13392 casacore-data-lines                	       0        5        0        0        5
13393 casacore-data-observatories        	       0        5        0        0        5
13394 casacore-data-sources              	       0        5        0        0        5
13395 casacore-data-tai-utc              	       0        5        5        0        0
13396 casacore-dev                       	       0        1        1        0        0
13397 casacore-tools                     	       0        1        1        0        0
13398 caspar                             	       0        3        3        0        0
13399 cassbeam                           	       0        3        3        0        0
13400 cassiopee                          	       0        1        1        0        0
13401 castle-combat                      	       0        1        1        0        0
13402 castle-game-engine-doc             	       0        2        2        0        0
13403 castxml                            	       0       39       39        0        0
13404 casync                             	       0        2        1        1        0
13405 cataclysm-dda-build-deps           	       0        1        0        0        1
13406 cataclysm-dda-curses-dbgsym        	       0        1        1        0        0
13407 cataclysm-dda-sdl                  	       0       11       11        0        0
13408 cataclysm-dda-sdl-dbgsym           	       0        1        1        0        0
13409 catarina                           	       0        1        1        0        0
13410 catch                              	       0        1        0        0        1
13411 catch2                             	       0       51       45        0        6
13412 catcodec                           	       0        2        2        0        0
13413 catgirl                            	       0        1        1        0        0
13414 catia                              	       0        4        4        0        0
13415 catimg                             	       0       26       25        1        0
13416 catkin                             	       0        1        1        0        0
13417 causeway-dosx                      	       0        1        1        0        0
13418 caveconverter                      	       0        1        1        0        0
13419 caveexpress-data                   	       0        6        0        0        6
13420 cavepacker                         	       0        6        6        0        0
13421 cavepacker-data                    	       0        6        0        0        6
13422 cb2bib                             	       0        6        6        0        0
13423 cba                                	       0        2        2        0        0
13424 cbatticon                          	       0        6        6        0        0
13425 cbflib-bin                         	       0        1        1        0        0
13426 cbindgen                           	       0        7        7        0        0
13427 cbios                              	       0       11        0        0       11
13428 cbmc                               	       0        1        1        0        0
13429 cbmconvert                         	       0        2        2        0        0
13430 cbp2make                           	       0        2        2        0        0
13431 cbrowser                           	       0        1        1        0        0
13432 cc-tool                            	       0        1        1        0        0
13433 cc1541                             	       0        3        3        0        0
13434 cc65                               	       0        9        9        0        0
13435 cc65-doc                           	       0        5        0        0        5
13436 ccache-dbgsym                      	       0        2        2        0        0
13437 ccbuild                            	       0        2        2        0        0
13438 cccc                               	       0        6        6        0        0
13439 cccd                               	       0        3        3        0        0
13440 ccd2iso                            	       0       16       16        0        0
13441 ccdiff                             	       0        6        5        1        0
13442 ccextractor                        	       0        5        5        0        0
13443 ccfe                               	       0        1        1        0        0
13444 cciss-vol-status                   	       0        1        1        0        0
13445 cclib                              	       0       37       36        1        0
13446 ccmalloc                           	       0        1        1        0        0
13447 cconv                              	       0        1        1        0        0
13448 cct                                	       0        1        1        0        0
13449 cct-examples                       	       0        1        1        0        0
13450 cd-circleprint                     	       0        2        2        0        0
13451 cd-discid                          	       0       99       97        2        0
13452 cd-hit                             	       0        1        1        0        0
13453 cd-paranoia                        	       0       17       17        0        0
13454 cd5                                	       0        3        3        0        0
13455 cdargs                             	       0        6        6        0        0
13456 cdbackup                           	       0        3        3        0        0
13457 cdbfasta                           	       0        2        2        0        0
13458 cdbs                               	       0       70       69        1        0
13459 cdbs-build-deps                    	       0        1        0        0        1
13460 cdcd                               	       0        5        5        0        0
13461 cdcover                            	       0        5        5        0        0
13462 cdda2wav                           	       0        2        2        0        0
13463 cddb                               	       0        2        2        0        0
13464 cde                                	       0        2        2        0        0
13465 cdebconf                           	       0        9        9        0        0
13466 cdebconf-gtk                       	       0        5        5        0        0
13467 cdebootstrap                       	       0       15       15        0        0
13468 cdecl                              	       0        5        5        0        0
13469 cdemu-client                       	       0        1        1        0        0
13470 cdemu-daemon                       	       0        2        2        0        0
13471 cdfs-src                           	       0        1        0        0        1
13472 cdftools                           	       0        1        1        0        0
13473 cdist                              	       0        2        2        0        0
13474 cdist-doc                          	       0        1        0        0        1
13475 cdlabelgen                         	       0        8        8        0        0
13476 cdo                                	       0        3        3        0        0
13477 cdogs-sdl                          	       0        1        1        0        0
13478 cdogs-sdl-build-deps               	       0        1        0        0        1
13479 cdogs-sdl-data                     	       0        1        0        0        1
13480 cdogs-sdl-dbgsym                   	       0        1        1        0        0
13481 cdpr                               	       0        2        2        0        0
13482 cdr2odg                            	       0        3        3        0        0
13483 cdrecord                           	       0        2        2        0        0
13484 cdrkit-doc                         	       0       22        0        0       22
13485 cdrtools                           	       0        1        1        0        0
13486 cdrtools-doc                       	       0        1        0        0        1
13487 cdw                                	       0       16       16        0        0
13488 cec-utils                          	       0        3        3        0        0
13489 cecilia                            	       0        5        5        0        0
13490 cedar-backup3                      	       0        1        1        0        0
13491 cedar-backup3-doc                  	       0        1        0        0        1
13492 cedilla                            	       0        1        1        0        0
13493 ceferino                           	       0        3        3        0        0
13494 ceferino-data                      	       0        3        0        0        3
13495 ceilometer-alarm-evaluator         	       0        1        0        0        1
13496 ceilometer-alarm-notifier          	       0        1        0        0        1
13497 celery                             	       0        2        2        0        0
13498 celestia                           	       0       10        0        0       10
13499 celestia-common                    	       0       12        0        0       12
13500 celestia-common-nonfree            	       0        4        0        0        4
13501 celestia-data                      	       0        1        0        0        1
13502 celestia-glut                      	       0        3        3        0        0
13503 celestia-gnome                     	       0        6        6        0        0
13504 celestia-gtk                       	       0        4        4        0        0
13505 celestia-hold                      	       0        1        0        0        1
13506 celestia-kde                       	       0        1        1        0        0
13507 celestia-textures-hires            	       0        1        0        0        1
13508 cellwriter                         	       0        2        2        0        0
13509 celt                               	       0        1        1        0        0
13510 celt-doc                           	       0        1        0        0        1
13511 cen64                              	       0        4        3        1        0
13512 ceni                               	       0        8        8        0        0
13513 cenon.app                          	       0        4        3        1        0
13514 cenon.app-common                   	       0        4        3        1        0
13515 centerim                           	       0        3        3        0        0
13516 centerim-common                    	       0        3        3        0        0
13517 centerim-utf8                      	       0        1        1        0        0
13518 ceph                               	       0        4        0        0        4
13519 ceph-base                          	       0        4        4        0        0
13520 ceph-common                        	       0        7        7        0        0
13521 ceph-fs-common                     	       0        2        2        0        0
13522 ceph-fuse                          	       0        4        4        0        0
13523 ceph-mds                           	       0        3        3        0        0
13524 ceph-mgr                           	       0        2        2        0        0
13525 ceph-mgr-modules-core              	       0        2        0        0        2
13526 ceph-mon                           	       0        4        4        0        0
13527 ceph-osd                           	       0        4        4        0        0
13528 cephfs-shell                       	       0        2        2        0        0
13529 cephfs-top                         	       0        1        1        0        0
13530 cereal                             	       0        2        2        0        0
13531 ceres-solver-doc                   	       0        1        1        0        0
13532 ceres-theme-gtk                    	       0        2        1        0        1
13533 cernlib-base                       	       0        2        0        0        2
13534 certinfo                           	       0        3        2        1        0
13535 certspotter                        	       0        5        5        0        0
13536 cervisia                           	       0       45       43        2        0
13537 cervisia-trinity                   	       0       25       25        0        0
13538 ceve                               	       0        1        0        0        1
13539 cfengine2                          	       0        1        1        0        0
13540 cfengine3                          	       0        7        7        0        0
13541 cfi-en                             	       0        6        0        0        6
13542 cfi-sv                             	       0        1        0        0        1
13543 cfingerd                           	       0        2        2        0        0
13544 cflow                              	       0       12       12        0        0
13545 cflow-doc                          	       0        2        0        0        2
13546 cflow-l10n                         	       0        1        0        0        1
13547 cfortran                           	       0        5        4        1        0
13548 cfourcc                            	       0        1        1        0        0
13549 cfv                                	       0        1        1        0        0
13550 cg3                                	       0        6        6        0        0
13551 cg3-dev                            	       0        1        0        0        1
13552 cgilib                             	       0        1        1        0        0
13553 cgit                               	       0        6        6        0        0
13554 cgminer                            	       0        4        4        0        0
13555 cgns-convert                       	       0        2        2        0        0
13556 cgroup-bin                         	       0        6        0        0        6
13557 cgroup-tools                       	       0       45       45        0        0
13558 cgvg                               	       0        3        3        0        0
13559 cgview                             	       0        3        3        0        0
13560 ch5m3d                             	       0        2        2        0        0
13561 chai                               	       0        2        2        0        0
13562 chake                              	       0        1        1        0        0
13563 chalk-data-trinity                 	       0        4        0        0        4
13564 chalk-trinity                      	       0        4        4        0        0
13565 chameleon-cursor-theme             	       0       15        0        0       15
13566 changetrack                        	       0        1        1        0        0
13567 chaos-strikes-back-data-csb        	       0        1        1        0        0
13568 chaos-strikes-back-data-dm         	       0        1        1        0        0
13569 chaos-strikes-back-game            	       0        1        0        0        1
13570 chaplin                            	       0        1        1        0        0
13571 charmap.app                        	       0        8        7        1        0
13572 charmap.app-common                 	       0        8        0        0        8
13573 charmtimetracker                   	       0        3        3        0        0
13574 charon-cmd                         	       0        1        1        0        0
13575 chartgeany                         	       0        1        0        0        1
13576 charybdis                          	       0        1        1        0        0
13577 chat-gpt                           	       0        1        1        0        0
13578 chats                              	       0        1        0        0        1
13579 chatty                             	       0        1        1        0        0
13580 chdrvfont                          	       0        1        0        0        1
13581 check-all-the-things               	       0        1        1        0        0
13582 check-mk-agent-logwatch            	       0        1        1        0        0
13583 check-pgactivity                   	       0        1        1        0        0
13584 checkit-tiff                       	       0        1        1        0        0
13585 checkmp3                           	       0        1        1        0        0
13586 checkpolicy                        	       0       11       11        0        0
13587 checkra1n                          	       0        3        3        0        0
13588 checksec                           	       0        3        3        0        0
13589 checkstyle                         	       0        4        4        0        0
13590 checkstyle-doc                     	       0        1        0        0        1
13591 cheese-common                      	       0      554        0        0      554
13592 cheesecutter                       	       0        6        6        0        0
13593 chef-workstation                   	       0        1        1        0        0
13594 chemeq                             	       0        2        2        0        0
13595 chemical-mime-data                 	       0       30        0        0       30
13596 chemical-structures                	       0        3        3        0        0
13597 chemical-structures-data           	       0        3        0        0        3
13598 chemtool                           	       0       12       12        0        0
13599 cherokee-admin                     	       0        2        2        0        0
13600 cherrytree                         	       0       18       18        0        0
13601 chess.app                          	       0        4        3        1        0
13602 chexquest-data                     	       0        1        0        0        1
13603 chexquest2-data                    	       0        1        0        0        1
13604 chezmoi                            	       0        2        2        0        0
13605 chezscheme                         	       0        7        7        0        0
13606 chezscheme-dev                     	       0        2        2        0        0
13607 chezscheme-doc                     	       0        1        0        0        1
13608 chia-blockchain                    	       0        4        0        0        4
13609 chia-blockchain-cli                	       0        1        1        0        0
13610 chiaki                             	       0        1        1        0        0
13611 chiark-really                      	       0        4        4        0        0
13612 chiark-rwbuffer                    	       0        1        1        0        0
13613 chiark-tcl-applet                  	       0        1        1        0        0
13614 chibicc                            	       0        1        1        0        0
13615 chicago95-theme-all                	       0        1        0        0        1
13616 chicago95-theme-backgrounds        	       0        1        0        0        1
13617 chicago95-theme-cursors            	       0        1        0        0        1
13618 chicago95-theme-doc                	       0        1        0        0        1
13619 chicago95-theme-fonts              	       0        1        0        0        1
13620 chicago95-theme-gtk                	       0        2        0        0        2
13621 chicago95-theme-icons              	       0        1        0        0        1
13622 chicago95-theme-login-sound        	       0        1        0        0        1
13623 chicago95-theme-plus               	       0        1        1        0        0
13624 chicago95-theme-plymouth           	       0        1        0        0        1
13625 chicago95-theme-sounds             	       0        1        0        0        1
13626 chicken-bin                        	       0        7        7        0        0
13627 childsplay                         	       0        4        4        0        0
13628 childsplay-alphabet-sounds-ca      	       0        1        0        0        1
13629 childsplay-alphabet-sounds-ru      	       0        1        0        0        1
13630 chimera2                           	       0        1        1        0        0
13631 chimeraslayer                      	       0        1        1        0        0
13632 chipmunk-dev                       	       0        1        0        0        1
13633 chirp                              	       0       22       22        0        0
13634 chirpstack                         	       0        1        1        0        0
13635 chirpstack-gateway-bridge          	       0        1        1        0        0
13636 chm2pdf                            	       0        2        2        0        0
13637 chmsee                             	       0        1        1        0        0
13638 chocolate-doom-build-deps          	       0        1        0        0        1
13639 chocolate-doom-dbgsym              	       0        1        1        0        0
13640 choosewm                           	       0        3        3        0        0
13641 choqok                             	       0        1        1        0        0
13642 chordii                            	       0        1        1        0        0
13643 chowmatrix                         	       0        2        2        0        0
13644 chr                                	       0        1        1        0        0
13645 chroma                             	       0        3        3        0        0
13646 chroma-curses                      	       0        2        2        0        0
13647 chroma-data                        	       0        4        0        0        4
13648 chromedriver                       	       0        2        0        0        2
13649 chromium-browser-l10n              	       0        1        1        0        0
13650 chromium-bsu-data                  	       0       19        0        0       19
13651 chromium-codecs-ffmpeg-extra       	       0        3        3        0        0
13652 chromium-gost-stable               	       0        2        2        0        0
13653 chromium-inspector                 	       0       13        0        0       13
13654 chromium-lwn4chrome                	       0        5        0        0        5
13655 chromium-shell                     	       0       36       31        5        0
13656 chromium-tt-rss-notifier           	       0        2        0        0        2
13657 chromium-ublock-origin             	       0       10        0        0       10
13658 chromium-widevine                  	       0        1        0        0        1
13659 chromono                           	       0        3        3        0        0
13660 chronicle                          	       0        1        1        0        0
13661 chrootuid                          	       0        2        2        0        0
13662 chrpath                            	       0       62       61        1        0
13663 cht.sh                             	       0        2        2        0        0
13664 chuck                              	       0        5        5        0        0
13665 chuck-data                         	       0        3        0        0        3
13666 chwala                             	       0        1        1        0        0
13667 ciderwebmail                       	       0        1        1        0        0
13668 cie-middleware                     	       0        1        0        0        1
13669 cil                                	       0        1        1        0        0
13670 cim-schema                         	       0        1        0        0        1
13671 cimg-dev                           	       0        3        3        0        0
13672 cimg-doc                           	       0        2        0        0        2
13673 cimg-examples                      	       0        3        3        0        0
13674 cinc-workstation                   	       0        2        2        0        0
13675 cinder-api                         	       0        1        1        0        0
13676 cinder-backup                      	       0        1        1        0        0
13677 cinder-common                      	       0        1        1        0        0
13678 cinder-scheduler                   	       0        1        1        0        0
13679 cinder-volume                      	       0        1        1        0        0
13680 cinelerra                          	       0        2        2        0        0
13681 cinelerra-data                     	       0        2        2        0        0
13682 cinelerra-doc                      	       0        2        0        0        2
13683 cinelerra-gg                       	       0        1        1        0        0
13684 cinnabar-icon-theme                	       0      198        0        0      198
13685 cinnamon-control-center-data       	       0      277        0        0      277
13686 cinnamon-control-center-goa        	       0      232        5        4      223
13687 cinnamon-core                      	       0      266        0        0      266
13688 cinnamon-desktop-environment       	       0      240        0        0      240
13689 cinnamon-doc                       	       0        7        0        0        7
13690 cinnamon-screensaver-x-plugin      	       0       13        0        0       13
13691 cinnamon-session-common            	       0      280        0        0      280
13692 cinnamon-settings-daemon-dev       	       0        1        1        0        0
13693 cinny                              	       0        2        2        0        0
13694 circle-flags-svg                   	       0        3        0        0        3
13695 circos                             	       0        1        1        0        0
13696 circos-tools                       	       0        1        1        0        0
13697 circuit-macros                     	       0        1        0        0        1
13698 circuslinux                        	       0       12       12        0        0
13699 circuslinux-data                   	       0       12        0        0       12
13700 cisco-anyconnect-dart              	       0        1        1        0        0
13701 citadel-client                     	       0        3        3        0        0
13702 citadel-doc                        	       0        1        0        0        1
13703 citation-style-language-styles     	       0        3        0        0        3
13704 civetweb                           	       0        1        1        0        0
13705 ckan                               	       0        3        3        0        0
13706 ckbuilder                          	       0        2        2        0        0
13707 ckeditor                           	       0        5        4        0        1
13708 ckeditor3                          	       0        1        0        0        1
13709 ckport                             	       0        1        1        0        0
13710 ckport-database                    	       0        1        1        0        0
13711 cksfv                              	       0        8        8        0        0
13712 cl-acl-compat                      	       0        2        0        0        2
13713 cl-actionlib                       	       0        1        0        0        1
13714 cl-actionlib-msgs                  	       0        1        0        0        1
13715 cl-agnostic-lizard                 	       0        1        0        0        1
13716 cl-alexandria                      	       0       20        0        0       20
13717 cl-anaphora                        	       0        2        0        0        2
13718 cl-asdf                            	       0       31        0        0       31
13719 cl-asdf-finalizers                 	       0        1        0        0        1
13720 cl-asdf-flv                        	       0        4        0        0        4
13721 cl-babel                           	       0        4        0        0        4
13722 cl-base64                          	       0        2        0        0        2
13723 cl-bond                            	       0        1        0        0        1
13724 cl-bordeaux-threads                	       0        2        0        0        2
13725 cl-cffi                            	       0        4        4        0        0
13726 cl-chipz                           	       0        1        0        0        1
13727 cl-closer-mop                      	       0        1        0        0        1
13728 cl-closure-common                  	       0        1        0        0        1
13729 cl-clx-sbcl                        	       0       20        0        0       20
13730 cl-consfigurator                   	       0        1        1        0        0
13731 cl-containers                      	       0        2        0        0        2
13732 cl-contextl                        	       0        1        0        0        1
13733 cl-csv                             	       0        2        0        0        2
13734 cl-diagnostic-msgs                 	       0        1        0        0        1
13735 cl-dynamic-classes                 	       0        2        0        0        2
13736 cl-dynamic-reconfigure             	       0        1        0        0        1
13737 cl-esrap                           	       0        1        0        0        1
13738 cl-f2cl                            	       0        1        0        0        1
13739 cl-fad                             	       0        2        0        0        2
13740 cl-fiveam                          	       0        4        0        0        4
13741 cl-flexi-streams                   	       0       23        0        0       23
13742 cl-geometry-msgs                   	       0        1        0        0        1
13743 cl-global-vars                     	       0        1        0        0        1
13744 cl-heredoc                         	       0        1        0        0        1
13745 cl-hyperobject                     	       0        1        0        0        1
13746 cl-interpol                        	       0        2        0        0        2
13747 cl-ironclad                        	       0        2        0        0        2
13748 cl-iterate                         	       0        3        0        0        3
13749 cl-kmrcl                           	       0        2        0        0        2
13750 cl-launch                          	       0        5        5        0        0
13751 cl-lml2                            	       0        1        0        0        1
13752 cl-lw-compat                       	       0        2        0        0        2
13753 cl-map-msgs                        	       0        1        0        0        1
13754 cl-markdown                        	       0        2        0        0        2
13755 cl-md5                             	       0        1        0        0        1
13756 cl-metabang-bind                   	       0        2        0        0        2
13757 cl-metatilities-base               	       0        2        0        0        2
13758 cl-move-base-msgs                  	       0        1        0        0        1
13759 cl-named-readtables                	       0        2        0        0        2
13760 cl-nav-msgs                        	       0        1        0        0        1
13761 cl-nibbles                         	       0        2        0        0        2
13762 cl-nodelet                         	       0        1        0        0        1
13763 cl-osicat                          	       0        1        0        0        1
13764 cl-parse-number                    	       0        2        0        0        2
13765 cl-pcl-msgs                        	       0        1        0        0        1
13766 cl-pg                              	       0        1        0        0        1
13767 cl-photo                           	       0        1        0        0        1
13768 cl-polled-camera                   	       0        1        0        0        1
13769 cl-ppcre                           	       0       23        0        0       23
13770 cl-ptester                         	       0        2        0        0        2
13771 cl-puri                            	       0        2        0        0        2
13772 cl-py-configparser                 	       0        1        0        0        1
13773 cl-quicklisp                       	       0        9        0        0        9
13774 cl-regex                           	       0        1        0        0        1
13775 cl-reversi                         	       0        4        0        0        4
13776 cl-rfc2388                         	       0        1        0        0        1
13777 cl-roscpp-msg                      	       0        1        0        0        1
13778 cl-rosgraph-msgs                   	       0        1        0        0        1
13779 cl-rt                              	       0       21        0        0       21
13780 cl-sensor-msgs                     	       0        1        0        0        1
13781 cl-shape-msgs                      	       0        1        0        0        1
13782 cl-split-sequence                  	       0        1        0        0        1
13783 cl-sql                             	       0        2        0        0        2
13784 cl-sql-mysql                       	       0        1        1        0        0
13785 cl-sql-oracle                      	       0        1        0        0        1
13786 cl-sql-sqlite3                     	       0        1        0        0        1
13787 cl-sql-uffi                        	       0        2        2        0        0
13788 cl-sqlite                          	       0        2        0        0        2
13789 cl-std-msgs                        	       0        1        0        0        1
13790 cl-std-srvs                        	       0        1        0        0        1
13791 cl-stereo-msgs                     	       0        1        0        0        1
13792 cl-swank                           	       0       23        0        0       23
13793 cl-tf                              	       0        1        0        0        1
13794 cl-tf2-msgs                        	       0        1        0        0        1
13795 cl-tf2-srvs                        	       0        1        0        0        1
13796 cl-topic-tools                     	       0        1        0        0        1
13797 cl-trajectory-msgs                 	       0        1        0        0        1
13798 cl-trivial-backtrace               	       0        4        0        0        4
13799 cl-trivial-features                	       0        4        0        0        4
13800 cl-trivial-gray-streams            	       0       24        0        0       24
13801 cl-trivial-utf-8                   	       0        1        0        0        1
13802 cl-uffi                            	       0        3        0        0        3
13803 cl-uffi-tests                      	       0        2        2        0        0
13804 cl-umlisp                          	       0        1        0        0        1
13805 cl-umlisp-orf                      	       0        1        0        0        1
13806 cl-unicode                         	       0        4        0        0        4
13807 cl-utilities                       	       0        1        0        0        1
13808 cl-uuid                            	       0        1        0        0        1
13809 cl-visualization-msgs              	       0        1        0        0        1
13810 cl-zpb-ttf                         	       0        2        0        0        2
13811 clamav-base                        	       0      280        0        0      280
13812 clamav-cvdupdate                   	       0        5        5        0        0
13813 clamav-doc                         	       0        4        0        0        4
13814 clamav-docs                        	       0       47        0        0       47
13815 clamav-testfiles                   	       0        8        0        0        8
13816 clamav-testfiles-rar               	       0        2        0        0        2
13817 clamtk-gnome                       	       0        9        0        0        9
13818 clamz                              	       0        4        4        0        0
13819 clanbomber                         	       0        1        1        0        0
13820 clanbomber-data                    	       0        1        0        0        1
13821 clang-10                           	       0        5        5        0        0
13822 clang-11-doc                       	       0        6        6        0        0
13823 clang-11-examples                  	       0        2        0        0        2
13824 clang-13                           	       0       26       26        0        0
13825 clang-13-doc                       	       0        2        2        0        0
13826 clang-13-examples                  	       0        2        0        0        2
13827 clang-14-doc                       	       0        5        5        0        0
13828 clang-15-doc                       	       0        2        2        0        0
13829 clang-16                           	       0       51       51        0        0
13830 clang-16-doc                       	       0        6        6        0        0
13831 clang-16-examples                  	       0        5        0        0        5
13832 clang-17                           	       0       18       17        1        0
13833 clang-18                           	       0       10        9        1        0
13834 clang-18-doc                       	       0        1        1        0        0
13835 clang-19-doc                       	       0        4        0        0        4
13836 clang-19-examples                  	       0        2        0        0        2
13837 clang-20                           	       0        2        2        0        0
13838 clang-3.5                          	       0        9        9        0        0
13839 clang-3.5-doc                      	       0        1        1        0        0
13840 clang-3.8                          	       0        5        5        0        0
13841 clang-3.8-doc                      	       0        1        0        0        1
13842 clang-3.9-doc                      	       0        1        0        0        1
13843 clang-6.0                          	       0        7        7        0        0
13844 clang-7                            	       0       16       16        0        0
13845 clang-8                            	       0        2        2        0        0
13846 clang-9-doc                        	       0        4        0        0        4
13847 clang-9-examples                   	       0        2        0        0        2
13848 clang-format                       	       0       48       47        1        0
13849 clang-format-11                    	       0        4        4        0        0
13850 clang-format-13                    	       0        5        5        0        0
13851 clang-format-14                    	       0       35       34        1        0
13852 clang-format-16                    	       0        4        4        0        0
13853 clang-format-18                    	       0        2        2        0        0
13854 clang-format-19                    	       0        7        7        0        0
13855 clang-format-20                    	       0        1        1        0        0
13856 clang-format-7                     	       0        3        3        0        0
13857 clang-format-9                     	       0        2        2        0        0
13858 clang-tidy                         	       0       66       64        2        0
13859 clang-tidy-11                      	       0        7        6        0        1
13860 clang-tidy-13                      	       0        3        3        0        0
13861 clang-tidy-14                      	       0       44       43        1        0
13862 clang-tidy-16                      	       0        5        5        0        0
13863 clang-tidy-18                      	       0        2        2        0        0
13864 clang-tidy-19                      	       0       11       10        1        0
13865 clang-tidy-20                      	       0        1        1        0        0
13866 clang-tidy-6.0                     	       0        1        1        0        0
13867 clang-tidy-7                       	       0        2        2        0        0
13868 clang-tidy-9                       	       0        1        1        0        0
13869 clang-tools                        	       0       18       18        0        0
13870 clang-tools-10                     	       0        2        2        0        0
13871 clang-tools-11                     	       0       11       11        0        0
13872 clang-tools-13                     	       0        7        7        0        0
13873 clang-tools-14                     	       0       47       46        1        0
13874 clang-tools-15                     	       0        5        4        1        0
13875 clang-tools-16                     	       0        8        8        0        0
13876 clang-tools-18                     	       0        2        2        0        0
13877 clang-tools-19                     	       0       11       10        1        0
13878 clang-tools-20                     	       0        1        1        0        0
13879 clang-tools-6.0                    	       0        1        1        0        0
13880 clang-tools-7                      	       0        3        3        0        0
13881 clang-tools-9                      	       0        3        3        0        0
13882 clangd                             	       0       62       62        0        0
13883 clangd-11                          	       0        1        1        0        0
13884 clangd-13                          	       0        2        2        0        0
13885 clangd-14                          	       0       49       49        0        0
13886 clangd-16                          	       0        8        8        0        0
13887 clangd-18                          	       0        2        2        0        0
13888 clangd-19                          	       0        7        7        0        0
13889 clangd-20                          	       0        1        1        0        0
13890 clangd-9                           	       0        1        1        0        0
13891 clapper                            	       0        1        1        0        0
13892 clara                              	       0        1        1        0        0
13893 clash-verge                        	       0        1        1        0        0
13894 clasp                              	       0        8        8        0        0
13895 classpath-common                   	       0        1        1        0        0
13896 classpath-doc                      	       0        1        1        0        0
13897 classpath-gtkpeer                  	       0        1        1        0        0
13898 classpath-tools                    	       0        1        1        0        0
13899 claudia                            	       0        3        3        0        0
13900 claws-mail-acpi-notifier           	       0       35        1        0       34
13901 claws-mail-archiver-plugin         	       0       41        0        0       41
13902 claws-mail-attach-remover          	       0       43        3        0       40
13903 claws-mail-attach-warner           	       0       41        6        0       35
13904 claws-mail-bogofilter-dbgsym       	       0        1        1        0        0
13905 claws-mail-bsfilter-plugin         	       0       19        0        0       19
13906 claws-mail-clamd-plugin            	       0       41        0        0       41
13907 claws-mail-dbgsym                  	       0        1        1        0        0
13908 claws-mail-dillo-viewer            	       0       42        3        0       39
13909 claws-mail-doc                     	       0       26        0        0       26
13910 claws-mail-extra-plugins           	       0       26        0        0       26
13911 claws-mail-fancy-plugin            	       0       25        1        0       24
13912 claws-mail-feeds-reader            	       0       38        3        0       35
13913 claws-mail-fetchinfo-plugin        	       0       35        1        0       34
13914 claws-mail-gdata-plugin            	       0       29        0        0       29
13915 claws-mail-keyword-warner          	       0       15        1        0       14
13916 claws-mail-libravatar              	       0       33        0        0       33
13917 claws-mail-mailmbox-plugin         	       0       40        6        0       34
13918 claws-mail-managesieve             	       0       32        2        0       30
13919 claws-mail-newmail-plugin          	       0       32        2        0       30
13920 claws-mail-perl-filter             	       0       29       29        0        0
13921 claws-mail-pgpinline               	       0       49        6        0       43
13922 claws-mail-pgpinline-dbgsym        	       0        1        1        0        0
13923 claws-mail-pgpmime-dbgsym          	       0        1        1        0        0
13924 claws-mail-plugins                 	       0       30        0        0       30
13925 claws-mail-python-plugin           	       0       18        0        0       18
13926 claws-mail-spam-report             	       0       37        1        0       36
13927 claws-mail-spamassassin            	       0       36        2        0       34
13928 claws-mail-themes                  	       0       38        0        0       38
13929 claws-mail-tools                   	       0       42        0        0       42
13930 clawsker                           	       0       17       16        1        0
13931 clazy                              	       0       12       11        1        0
13932 clblast-utils                      	       0        1        1        0        0
13933 cleancss                           	       0        7        7        0        0
13934 clearlooks-phenix-cinnabar-theme   	       0      211        0        0      211
13935 clearlooks-phenix-darkpurpy-theme  	       0      131        0        0      131
13936 clearlooks-phenix-deepsea-theme    	       0      531        0        0      531
13937 clearlooks-phenix-lightpurpy-theme 	       0       20        0        0       20
13938 clearlooks-phenix-purpy-theme      	       0       26        0        0       26
13939 clearlooks-phenix-sapphire-theme   	       0     2300        0        0     2300
13940 clearlooks-phenix-theme            	       0       19        0        0       19
13941 cleo                               	       0        1        1        0        0
13942 clevis                             	       0        9        9        0        0
13943 clevis-initramfs                   	       0        7        0        0        7
13944 clevis-luks                        	       0        9        9        0        0
13945 clevis-tpm1                        	       0        3        3        0        0
13946 clevis-tpm2                        	       0        3        3        0        0
13947 clfswm                             	       0        3        3        0        0
13948 cli                                	       0        1        1        0        0
13949 cli-common                         	       0      323        0        0      323
13950 cli-shell-utils                    	       0        2        0        0        2
13951 cli-spinner                        	       0        2        2        0        0
13952 clif                               	       0        1        1        0        0
13953 cliff-tablib                       	       0        1        1        0        0
13954 clinfo-amdgpu-pro                  	       0        1        0        0        1
13955 clipf                              	       0        1        1        0        0
13956 clipgrab                           	       0        4        4        0        0
13957 clipman                            	       0        7        6        1        0
13958 clips                              	       0        5        5        0        0
13959 clips-common                       	       0        5        0        0        5
13960 clips-doc                          	       0        5        0        0        5
13961 cliq                               	       0        1        1        0        0
13962 cliquer                            	       0        9        9        0        0
13963 clirr                              	       0        1        1        0        0
13964 clisp                              	       0       44       42        2        0
13965 clisp-doc                          	       0       20        0        0       20
13966 clisp-module-berkeley-db           	       0        6        6        0        0
13967 clisp-module-clx                   	       0       10       10        0        0
13968 clisp-module-dbus                  	       0        6        6        0        0
13969 clisp-module-fastcgi               	       0        1        1        0        0
13970 clisp-module-gdbm                  	       0        6        6        0        0
13971 clisp-module-libsvm                	       0        1        1        0        0
13972 clisp-module-pari                  	       0        1        1        0        0
13973 clisp-module-pcre                  	       0        7        7        0        0
13974 clisp-module-postgresql            	       0        8        7        1        0
13975 clisp-module-zlib                  	       0        7        7        0        0
13976 clitest                            	       0        3        3        0        0
13977 clive                              	       0        1        1        0        0
13978 cloc                               	       0       37       37        0        0
13979 clog                               	       0        1        1        0        0
13980 clojure                            	       0       13       11        2        0
13981 clojure1.4                         	       0        1        1        0        0
13982 clonalframe                        	       0        1        1        0        0
13983 clonezilla                         	       0       34       34        0        0
13984 cloog-ppl                          	       0        1        1        0        0
13985 cloop-src                          	       0        2        0        0        2
13986 cloop-utils                        	       0        2        2        0        0
13987 cloud-guest-utils                  	       0       20       19        1        0
13988 cloud-init                         	       0        5        5        0        0
13989 cloud-initramfs-growroot           	       0        1        0        0        1
13990 cloud-sptheme-common               	       0        1        0        0        1
13991 cloud-utils                        	       0        7        0        0        7
13992 cloudcompare                       	       0        1        1        0        0
13993 cloudflare-dynamic-dns             	       0        1        1        0        0
13994 cloudflare-warp                    	       0        2        2        0        0
13995 cloudflared                        	       0        4        3        1        0
13996 cloudprint                         	       0        1        1        0        0
13997 clpeak                             	       0        1        1        0        0
13998 clsparse-doc                       	       0        1        0        0        1
13999 clsync                             	       0        2        2        0        0
14000 cltl                               	       0        3        0        0        3
14001 clustalo                           	       0        7        7        0        0
14002 clustalw                           	       0        6        6        0        0
14003 clustalx                           	       0        2        2        0        0
14004 clustershell                       	       0        5        5        0        0
14005 clusterssh                         	       0       18       17        1        0
14006 cm-super                           	       0      151        1        0      150
14007 cm-super-build-deps                	       0        1        0        0        1
14008 cm-super-minimal                   	       0      163        2        0      161
14009 cm-super-x11                       	       0       11        0        0       11
14010 cm3-linuxlibc6-5.8.6               	       0        1        1        0        0
14011 cmake-curses-gui                   	       0       55       52        3        0
14012 cmake-dbgsym                       	       0        1        1        0        0
14013 cmake-doc                          	       0       33        0        0       33
14014 cmake-extras                       	       0       28       25        2        1
14015 cmake-fedora                       	       0        5        0        0        5
14016 cmake-format                       	       0       11       11        0        0
14017 cmake-qt-gui                       	       0       30       28        2        0
14018 cmake-vala                         	       0        5        0        0        5
14019 cmark-gfm                          	       0        2        2        0        0
14020 cmatrix-xfont                      	       0       21        0        0       21
14021 cmigemo                            	       0        2        2        0        0
14022 cmigemo-common                     	       0        3        3        0        0
14023 cminpack-doc                       	       0        1        0        0        1
14024 cmocka-doc                         	       0       18        0        0       18
14025 cmor-tables                        	       0        1        0        0        1
14026 cmtk                               	       0        1        1        0        0
14027 cmucl-docs                         	       0        2        0        0        2
14028 cmucl-source                       	       0        2        0        0        2
14029 cn.com.10jqka                      	       0        1        1        0        0
14030 cncnet                             	       0        2        2        0        0
14031 cncupslbp161zs                     	       0        1        0        0        1
14032 cncupslbp214zs                     	       0        1        0        0        1
14033 cncupslbp215zs                     	       0        1        0        0        1
14034 cncupsmf110zs                      	       0        1        0        0        1
14035 cncupsmf260zs                      	       0        1        0        0        1
14036 cncupsmf420zs                      	       0        1        0        0        1
14037 cncupsmf520zs                      	       0        1        0        0        1
14038 cndrvcups-capt                     	       0        6        6        0        0
14039 cndrvcups-common                   	       0        7        7        0        0
14040 cndrvcups-ufr2-uk                  	       0        1        1        0        0
14041 cndrvcups-ufr2-us                  	       0        1        1        0        0
14042 cndrvcups-utility                  	       0        1        1        0        0
14043 cndrvsane-drc225                   	       0        1        1        0        0
14044 cnee                               	       0       10       10        0        0
14045 cnews                              	       0        1        1        0        0
14046 cnijfilter-common                  	       0        8        8        0        0
14047 cnijfilter-ix6800series            	       0        2        2        0        0
14048 cnijfilter-mg2500series            	       0        2        2        0        0
14049 cnijfilter-mg3500series            	       0        3        3        0        0
14050 cnijfilter2                        	       0       15       15        0        0
14051 cnrcupsiprc170zs                   	       0        1        0        0        1
14052 cnrcupsiprc710zk                   	       0        1        0        0        1
14053 cnrcupsir1643i2zs                  	       0        1        0        0        1
14054 cnrcupsir2425zk                    	       0        1        0        0        1
14055 cnrcupsir2625zk                    	       0        1        0        0        1
14056 cnrcupsir2635zk                    	       0        1        0        0        1
14057 cnrcupsiradv4725zk                 	       0        1        0        0        1
14058 cnrcupsiradv4745zk                 	       0        1        0        0        1
14059 cnrcupsiradv527zk                  	       0        1        0        0        1
14060 cnrcupsiradv527zs                  	       0        1        0        0        1
14061 cnrcupsiradv6000zk                 	       0        1        0        0        1
14062 cnrcupsiradv617zk                  	       0        1        0        0        1
14063 cnrcupsiradv617zs                  	       0        1        0        0        1
14064 cnrcupsiradv6755zk                 	       0        1        0        0        1
14065 cnrcupsiradv6780zk                 	       0        1        0        0        1
14066 cnrcupsiradv6860zs                 	       0        1        0        0        1
14067 cnrcupsiradv717zk                  	       0        1        0        0        1
14068 cnrcupsiradv717zs                  	       0        1        0        0        1
14069 cnrcupsiradv8705zk                 	       0        1        0        0        1
14070 cnrcupsiradv8786zk                 	       0        1        0        0        1
14071 cnrcupsiradvc257zk                 	       0        1        0        0        1
14072 cnrcupsiradvc257zs                 	       0        1        0        0        1
14073 cnrcupsiradvc3720zk                	       0        1        0        0        1
14074 cnrcupsiradvc3725zk                	       0        1        0        0        1
14075 cnrcupsiradvc3826zs                	       0        1        0        0        1
14076 cnrcupsiradvc3830zs                	       0        1        0        0        1
14077 cnrcupsiradvc3835zs                	       0        1        0        0        1
14078 cnrcupsiradvc477zk                 	       0        1        0        0        1
14079 cnrcupsiradvc477zs                 	       0        1        0        0        1
14080 cnrcupsiradvc568zs                 	       0        1        0        0        1
14081 cnrcupsiradvc5735zk                	       0        1        0        0        1
14082 cnrcupsiradvc5750zk                	       0        1        0        0        1
14083 cnrcupsiradvc5840zs                	       0        2        0        0        2
14084 cnrcupsiradvc5860zs                	       0        2        0        0        2
14085 cnrcupsiradvc7765zk                	       0        1        0        0        1
14086 cnrcupsiradvc7780zk                	       0        1        0        0        1
14087 cnrcupsirc3120lzk                  	       0        1        0        0        1
14088 cnrcupsirc3120zk                   	       0        1        0        0        1
14089 cnrcupsirc3125zk                   	       0        1        0        0        1
14090 cnrcupsirc3226zs                   	       0        1        0        0        1
14091 cnrcupslbp1127czk                  	       0        1        0        0        1
14092 cnrcupslbp1127czs                  	       0        1        0        0        1
14093 cnrcupslbp12382zs                  	       0        1        0        0        1
14094 cnrcupslbp1238zk                   	       0        1        0        0        1
14095 cnrcupslbp1238zs                   	       0        1        0        0        1
14096 cnrcupslbp1538czs                  	       0        1        0        0        1
14097 cnrcupslbp222zk                    	       0        1        0        0        1
14098 cnrcupslbp223zk                    	       0        1        0        0        1
14099 cnrcupslbp225zk                    	       0        1        0        0        1
14100 cnrcupslbp226zk                    	       0        1        0        0        1
14101 cnrcupslbp227zk                    	       0        1        0        0        1
14102 cnrcupslbp228zk                    	       0        1        0        0        1
14103 cnrcupslbp236zs                    	       0        1        0        0        1
14104 cnrcupslbp237zs                    	       0        1        0        0        1
14105 cnrcupsmf1127czk                   	       0        1        0        0        1
14106 cnrcupsmf1127czs                   	       0        1        0        0        1
14107 cnrcupsmf12382zs                   	       0        1        0        0        1
14108 cnrcupsmf1238zk                    	       0        1        0        0        1
14109 cnrcupsmf1238zs                    	       0        1        0        0        1
14110 cnrcupsmf1538czs                   	       0        1        0        0        1
14111 cnrcupsmf1643izs                   	       0        1        0        0        1
14112 cnrcupsmf450zs                     	       0        1        0        0        1
14113 cnrcupsx1643pzk                    	       0        1        0        0        1
14114 cnrdrvcups-ufr2-us                 	       0        7        7        0        0
14115 cntlm                              	       0        2        2        0        0
14116 cobang                             	       0        1        1        0        0
14117 coccinella                         	       0        1        1        0        0
14118 coccinelle                         	       0       11       11        0        0
14119 coccinelle-doc                     	       0        4        4        0        0
14120 cockatrice                         	       0        1        1        0        0
14121 cockpit                            	       0        2        0        0        2
14122 cockpit-bridge                     	       0        4        4        0        0
14123 cockpit-doc                        	       0        2        0        0        2
14124 cockpit-machines                   	       0        1        0        0        1
14125 cockpit-networkmanager             	       0        1        0        0        1
14126 cockpit-packagekit                 	       0        3        0        0        3
14127 cockpit-pcp                        	       0        1        1        0        0
14128 cockpit-storaged                   	       0        3        0        0        3
14129 cockpit-system                     	       0        3        0        0        3
14130 cockpit-tests                      	       0        1        1        0        0
14131 cockpit-ws                         	       0        2        2        0        0
14132 coda                               	       0        4        4        0        0
14133 code-aster-gui                     	       0        1        1        0        0
14134 code-brand                         	       0        1        0        0        1
14135 code-exploration                   	       0        1        1        0        0
14136 code-insiders                      	       0        1        0        1        0
14137 code-saturne                       	       0        1        0        0        1
14138 code-saturne-bin                   	       0        2        2        0        0
14139 code-saturne-data                  	       0        2        2        0        0
14140 code-saturne-doc                   	       0        2        0        0        2
14141 code-saturne-include               	       0        1        1        0        0
14142 code-server                        	       0        2        2        0        0
14143 code2html                          	       0        2        2        0        0
14144 codeblocks-common                  	       0       66       64        2        0
14145 codeblocks-contrib                 	       0       18       18        0        0
14146 codeblocks-contrib-common          	       0        2        0        0        2
14147 codeblocks-dbg                     	       0        1        1        0        0
14148 codeblocks-dev                     	       0        7        7        0        0
14149 codeblocks-headers                 	       0        1        1        0        0
14150 codeblocks-libwxcontrib0           	       0        2        1        0        1
14151 codeblocks-libwxcontrib0-dbgsym    	       0        1        1        0        0
14152 codeblocks-wxcontrib-dev           	       0        1        0        0        1
14153 codeblocks-wxcontrib-headers       	       0        1        0        0        1
14154 codebreaker                        	       0        1        1        0        0
14155 codec2                             	       0        5        3        0        2
14156 codec2-dev                         	       0        1        1        0        0
14157 codecgraph                         	       0        4        4        0        0
14158 codecrypt                          	       0        4        4        0        0
14159 codecs-antix                       	       0        1        1        0        0
14160 codecserver                        	       0        1        1        0        0
14161 codecserver-driver-all             	       0        1        0        0        1
14162 codecserver-driver-ambe3k          	       0        1        0        0        1
14163 codegroup                          	       0        4        4        0        0
14164 codelite                           	       0       25       24        1        0
14165 codelite-plugins                   	       0       14       14        0        0
14166 codemeter                          	       0        1        1        0        0
14167 codequery                          	       0        5        5        0        0
14168 coderay                            	       0        1        1        0        0
14169 codespell                          	       0        6        6        0        0
14170 codium                             	       0       52       48        4        0
14171 codium-insiders                    	       0        1        1        0        0
14172 codonw                             	       0        1        1        0        0
14173 coffeescript                       	       0        8        7        1        0
14174 coffeescript-doc                   	       0        5        0        0        5
14175 cog                                	       0        2        2        0        0
14176 coinor-cbc                         	       0       10       10        0        0
14177 coinor-clp                         	       0        8        8        0        0
14178 coinor-csdp                        	       0        2        2        0        0
14179 coinor-csdp-doc                    	       0        2        0        0        2
14180 coinor-libbonmin4                  	       0        3        0        0        3
14181 coinor-libcbc-dev                  	       0        3        3        0        0
14182 coinor-libcbc3                     	       0     2648        0        0     2648
14183 coinor-libcbc3.1                   	       0      186        0        0      186
14184 coinor-libcgl-dev                  	       0        3        3        0        0
14185 coinor-libcgl1                     	       0     2833        0        0     2833
14186 coinor-libclp-dev                  	       0        6        6        0        0
14187 coinor-libclp1                     	       0     2833        0        0     2833
14188 coinor-libcoinmp-dev               	       0        3        3        0        0
14189 coinor-libcoinmp0                  	       0       87        0        0       87
14190 coinor-libcoinmp1                  	       0       12        0        0       12
14191 coinor-libcoinmp1v5                	       0     2741        0        0     2741
14192 coinor-libcoinutils-dev            	       0        6        6        0        0
14193 coinor-libcoinutils-doc            	       0        1        0        0        1
14194 coinor-libcoinutils3               	       0       13        0        0       13
14195 coinor-libcoinutils3v5             	       0     2817        0        0     2817
14196 coinor-libipopt-dev                	       0        1        1        0        0
14197 coinor-libipopt1v5                 	       0        5        0        0        5
14198 coinor-libosi-dev                  	       0        6        6        0        0
14199 coinor-libosi1                     	       0       12        0        0       12
14200 coinor-libosi1v5                   	       0     2822        0        0     2822
14201 coinor-libsymphony3                	       0        6        0        0        6
14202 coinor-symphony                    	       0        6        6        0        0
14203 coinst                             	       0        2        2        0        0
14204 coinst-viewer                      	       0        1        1        0        0
14205 coldfire                           	       0        1        1        0        0
14206 collaboraoffice                    	       0        3        0        0        3
14207 collaboraoffice-dict-de            	       0        1        0        0        1
14208 collaboraoffice-dict-en            	       0        1        0        0        1
14209 collaboraoffice-dict-fr            	       0        2        0        0        2
14210 collaboraoffice-ure                	       0        3        0        0        3
14211 collaboraofficebasis-base          	       0        1        0        0        1
14212 collaboraofficebasis-calc          	       0        3        0        0        3
14213 collaboraofficebasis-core          	       0        3        0        0        3
14214 collaboraofficebasis-de            	       0        1        0        0        1
14215 collaboraofficebasis-draw          	       0        3        0        0        3
14216 collaboraofficebasis-en-us         	       0        3        0        0        3
14217 collaboraofficebasis-extension-pdf-import	       0        3        0        0        3
14218 collaboraofficebasis-fr            	       0        1        0        0        1
14219 collaboraofficebasis-graphicfilter 	       0        3        0        0        3
14220 collaboraofficebasis-images        	       0        3        0        0        3
14221 collaboraofficebasis-impress       	       0        3        0        0        3
14222 collaboraofficebasis-math          	       0        3        0        0        3
14223 collaboraofficebasis-ooofonts      	       0        3        0        0        3
14224 collaboraofficebasis-ooolinguistic 	       0        3        0        0        3
14225 collaboraofficebasis-writer        	       0        3        0        0        3
14226 collaboraofficebasis-xsltfilter    	       0        1        0        0        1
14227 collada-dom-2.4.0                  	       0        1        1        0        0
14228 collatinus                         	       0        2        2        0        0
14229 collectd                           	       0       26        0        0       26
14230 collectd-dev                       	       0        1        1        0        0
14231 collectd-utils                     	       0        3        3        0        0
14232 collectd-web                       	       0        1        1        0        0
14233 collectl                           	       0        3        3        0        0
14234 colmap                             	       0        1        1        0        0
14235 colobot-common                     	       0       17        0        0       17
14236 colobot-common-sounds              	       0       17        0        0       17
14237 colobot-common-textures            	       0       17        0        0       17
14238 colobot-dev-doc                    	       0        1        0        0        1
14239 colorcode                          	       0        6        6        0        0
14240 colord-data                        	       0     2871        0        0     2871
14241 colord-gtk-utils                   	       0        6        6        0        0
14242 colord-sensor-argyll               	       0        1        0        0        1
14243 colorgcc                           	       0        3        3        0        0
14244 colorize                           	       0        7        7        0        0
14245 colorized-logs                     	       0       14       14        0        0
14246 colortail                          	       0        6        6        0        0
14247 colortest                          	       0       12       12        0        0
14248 colortest-python                   	       0        1        1        0        0
14249 colossal-cave-adventure            	       0       11       11        0        0
14250 colplot                            	       0        3        3        0        0
14251 com.360.browser-stable             	       0        1        1        0        0
14252 com.alibabainc.dingtalk            	       0        1        1        0        0
14253 com.eastmoney.emapp                	       0        1        0        0        1
14254 com.github.johnfactotum.foliate    	       0        4        4        0        0
14255 com.kylart.anikki                  	       0        1        0        0        1
14256 com.tdx.yhzq                       	       0        1        1        0        0
14257 com.zwsoft.zwcad2025               	       0        1        1        0        0
14258 comcom64                           	       0        1        0        0        1
14259 comex-base                         	       0        1        1        0        0
14260 comgt                              	       0        3        3        0        0
14261 comix                              	       0        2        2        0        0
14262 comixcursors-lefthanded            	       0        5        0        0        5
14263 comixcursors-lefthanded-opaque     	       0        2        0        0        2
14264 comixcursors-righthanded           	       0        9        0        0        9
14265 comixcursors-righthanded-opaque    	       0        5        0        0        5
14266 commandbox                         	       0        1        1        0        0
14267 commit-patch                       	       0        1        1        0        0
14268 common-lisp-controller             	       0        7        7        0        0
14269 compartment                        	       0        3        3        0        0
14270 compat-libstdc++-296               	       0        1        0        0        1
14271 compface                           	       0       11       11        0        0
14272 compiz                             	       0       31        0        0       31
14273 compiz-bcop                        	       0        5        5        0        0
14274 compiz-boxmenu                     	       0        5        5        0        0
14275 compiz-core-trinity                	       0        2        2        0        0
14276 compiz-fusion-bcop                 	       0        1        1        0        0
14277 compiz-fusion-extras               	       0        1        0        0        1
14278 compiz-fusion-plugins-extra-trinity	       0        2        2        0        0
14279 compiz-fusion-plugins-main-trinity 	       0        2        2        0        0
14280 compiz-plugins-default             	       0       12        0        0       12
14281 compiz-plugins-main-default        	       0        1        0        0        1
14282 compiz-plugins-trinity             	       0        2        2        0        0
14283 compiz-tde-trinity                 	       0        1        1        0        0
14284 compiz-trinity                     	       0        2        0        0        2
14285 compizconfig-backend-tdeconfig-trinity	       0        1        1        0        0
14286 compizconfig-python                	       0        1        1        0        0
14287 complexity                         	       0        8        8        0        0
14288 complexity-doc                     	       0        1        0        0        1
14289 composablekernel-dev               	       0        2        1        1        0
14290 comptext                           	       0        2        2        0        0
14291 compton-conf                       	       0        5        5        0        0
14292 compton-conf-l10n                  	       0        6        0        0        6
14293 comptty                            	       0        2        2        0        0
14294 comskip                            	       0        1        1        0        0
14295 comskip-gui                        	       0        2        2        0        0
14296 conan                              	       0        1        1        0        0
14297 concalc                            	       0       10       10        0        0
14298 concordance                        	       0        3        3        0        0
14299 concordance-common                 	       0        3        3        0        0
14300 conda                              	       0        7        7        0        0
14301 conda-package-handling             	       0        3        3        0        0
14302 condor                             	       0        1        1        0        0
14303 condor-upgrade-checks              	       0        1        1        0        0
14304 config-package-dev                 	       0        4        4        0        0
14305 configconsola                      	       0        1        0        0        1
14306 configuradorfnmt                   	       0       11       10        0        1
14307 configure-debian                   	       0       12       12        0        0
14308 congruity                          	       0        3        3        0        0
14309 conkeror                           	       0        1        1        0        0
14310 conkeror-spawn-process-helper      	       0        1        1        0        0
14311 conky                              	       0       95        0        0       95
14312 conky-cli                          	       0        1        1        0        0
14313 connect-proxy                      	       0        4        3        1        0
14314 connectagram                       	       0       11       11        0        0
14315 connectagram-data                  	       0       11        0        0       11
14316 connman-dev                        	       0        1        1        0        0
14317 connman-doc                        	       0        8        0        0        8
14318 connman-gtk-xdg-autostart          	       0        2        0        0        2
14319 connman-ui                         	       0       18       18        0        0
14320 conquest-common                    	       0        1        0        0        1
14321 conquest-data                      	       0        1        0        0        1
14322 conquest-dbase                     	       0        1        1        0        0
14323 conquest-gl                        	       0        1        1        0        0
14324 conquest-libs                      	       0        1        1        0        0
14325 cons                               	       0        1        1        0        0
14326 conservation-code                  	       0        1        1        0        0
14327 consola                            	       0        1        1        0        0
14328 console-braille                    	       0        2        2        0        0
14329 console-data                       	       0      130        0        0      130
14330 console-log                        	       0        3        0        0        3
14331 consolefonts-base                  	       0        2        0        0        2
14332 consul                             	       0        1        1        0        0
14333 containers-storage                 	       0       17       17        0        0
14334 context-doc-nonfree                	       0        1        0        0        1
14335 context-modules                    	       0       89        0        0       89
14336 context-nonfree                    	       0        1        1        0        0
14337 contextfree                        	       0        2        2        0        0
14338 conv-tools                         	       0        4        4        0        0
14339 converse-desktop                   	       0        1        0        0        1
14340 converseen                         	       0       15       15        0        0
14341 convert-pgn                        	       0        1        1        0        0
14342 convertall                         	       0       13       13        0        0
14343 convertfs                          	       0        1        1        0        0
14344 cookiecutter                       	       0        2        2        0        0
14345 cookietool                         	       0        7        7        0        0
14346 cool-retro-term                    	       0       32       32        0        0
14347 coolkey                            	       0        7        7        0        0
14348 coolmail                           	       0        1        1        0        0
14349 coolwsd                            	       0        2        2        0        0
14350 coppwr                             	       0        1        1        0        0
14351 copycat                            	       0        1        1        0        0
14352 copyfs                             	       0        2        2        0        0
14353 copyq-doc                          	       0        6        0        0        6
14354 copyright-update                   	       0        3        3        0        0
14355 coq                                	       0        3        3        0        0
14356 coq-doc-html                       	       0        1        0        0        1
14357 corebird                           	       0        1        1        0        0
14358 coreboot-configurator              	       0        1        1        0        0
14359 coreboot-utils                     	       0       23       23        0        0
14360 coreboot-utils-doc                 	       0       21       21        0        0
14361 coredhcp-client                    	       0        2        2        0        0
14362 coredhcp-server                    	       0        1        1        0        0
14363 corekeeper                         	       0        1        1        0        0
14364 corewars                           	       0        1        1        0        0
14365 corkscrew                          	       0        2        2        0        0
14366 corosync-dev                       	       0        1        0        0        1
14367 corsix-th                          	       0        1        1        0        0
14368 corsix-th-data                     	       0        1        0        0        1
14369 cotp                               	       0        1        1        0        0
14370 countrycodes                       	       0        1        0        0        1
14371 courier-doc                        	       0        3        0        0        3
14372 courier-maildrop                   	       0        3        1        0        2
14373 courier-pcp                        	       0        1        1        0        0
14374 courier-pop-ssl                    	       0        1        0        0        1
14375 courier-pythonfilter               	       0        1        1        0        0
14376 covered                            	       0        5        5        0        0
14377 covered-doc                        	       0        1        0        0        1
14378 covi                               	       0        1        1        0        0
14379 cowbell                            	       0        1        1        0        0
14380 cowbuilder                         	       0       52       52        0        0
14381 cowdancer                          	       0       56       56        0        0
14382 cowsay-off                         	       0      125        0        0      125
14383 coz-profiler                       	       0        5        5        0        0
14384 cozy                               	       0        1        1        0        0
14385 cpad-common                        	       0        1        1        0        0
14386 cpan-listchanges                   	       0        4        4        0        0
14387 cpanoutdated                       	       0        4        4        0        0
14388 cpants-lint                        	       0        1        1        0        0
14389 cpdb-backend-cups                  	       0       14        4        0       10
14390 cpdb-backend-file                  	       0        1        1        0        0
14391 cpdf                               	       0        1        1        0        0
14392 cpio-doc                           	       0        9        0        0        9
14393 cpio-win32                         	       0        2        0        0        2
14394 cpipe                              	       0        2        2        0        0
14395 cpl-plugin-amber                   	       0        2        0        0        2
14396 cpl-plugin-fors                    	       0        2        0        0        2
14397 cpl-plugin-giraf                   	       0        2        0        0        2
14398 cpl-plugin-hawki                   	       0        2        0        0        2
14399 cpl-plugin-kmos                    	       0        1        0        0        1
14400 cpl-plugin-muse                    	       0        2        0        0        2
14401 cpl-plugin-naco                    	       0        2        0        0        2
14402 cpl-plugin-uves                    	       0        2        0        0        2
14403 cpl-plugin-vimos                   	       0        2        0        0        2
14404 cpl-plugin-visir                   	       0        2        0        0        2
14405 cpl-plugin-xshoo                   	       0        2        0        0        2
14406 cpm                                	       0        1        1        0        0
14407 cpp-10-aarch64-linux-gnu           	       0        7        7        0        0
14408 cpp-10-alpha-linux-gnu             	       0        1        1        0        0
14409 cpp-10-arm-linux-gnueabi           	       0        6        6        0        0
14410 cpp-10-arm-linux-gnueabihf         	       0        7        7        0        0
14411 cpp-10-doc                         	       0        4        0        0        4
14412 cpp-10-hppa-linux-gnu              	       0        2        2        0        0
14413 cpp-10-i686-linux-gnu              	       0        3        3        0        0
14414 cpp-10-mipsel-linux-gnu            	       0        1        1        0        0
14415 cpp-10-powerpc-linux-gnu           	       0        1        1        0        0
14416 cpp-10-powerpc64-linux-gnu         	       0        2        2        0        0
14417 cpp-10-riscv64-linux-gnu           	       0        3        3        0        0
14418 cpp-10-s390x-linux-gnu             	       0        1        1        0        0
14419 cpp-10-sparc64-linux-gnu           	       0        1        1        0        0
14420 cpp-11-arm-linux-gnueabi           	       0        1        1        0        0
14421 cpp-11-arm-linux-gnueabihf         	       0        1        1        0        0
14422 cpp-11-i686-linux-gnu              	       0        1        1        0        0
14423 cpp-12-aarch64-linux-gnu           	       0       20       18        2        0
14424 cpp-12-alpha-linux-gnu             	       0        1        1        0        0
14425 cpp-12-arm-linux-gnueabi           	       0       12       11        1        0
14426 cpp-12-arm-linux-gnueabihf         	       0       14       13        1        0
14427 cpp-12-doc                         	       0       16        0        0       16
14428 cpp-12-hppa-linux-gnu              	       0        1        1        0        0
14429 cpp-12-i686-linux-gnu              	       0        5        4        1        0
14430 cpp-12-m68k-linux-gnu              	       0        1        1        0        0
14431 cpp-12-mips-linux-gnu              	       0        4        4        0        0
14432 cpp-12-mips64-linux-gnuabi64       	       0        1        1        0        0
14433 cpp-12-mipsel-linux-gnu            	       0        3        3        0        0
14434 cpp-12-powerpc-linux-gnu           	       0        2        2        0        0
14435 cpp-12-powerpc64-linux-gnu         	       0        1        1        0        0
14436 cpp-12-riscv64-linux-gnu           	       0        6        5        1        0
14437 cpp-12-s390x-linux-gnu             	       0        2        2        0        0
14438 cpp-12-sparc64-linux-gnu           	       0        1        1        0        0
14439 cpp-12-x86-64-linux-gnux32         	       0        4        4        0        0
14440 cpp-13-aarch64-linux-gnu           	       0        2        2        0        0
14441 cpp-13-arm-linux-gnueabihf         	       0        1        1        0        0
14442 cpp-13-dbgsym                      	       0        1        1        0        0
14443 cpp-13-doc                         	       0        4        0        0        4
14444 cpp-13-i686-linux-gnu              	       0        4        4        0        0
14445 cpp-13-powerpc64le-linux-gnu       	       0        1        1        0        0
14446 cpp-13-riscv64-linux-gnu           	       0        1        1        0        0
14447 cpp-14-aarch64-linux-gnu           	       0        6        6        0        0
14448 cpp-14-alpha-linux-gnu             	       0        1        1        0        0
14449 cpp-14-arm-linux-gnueabi           	       0        2        2        0        0
14450 cpp-14-arm-linux-gnueabihf         	       0        5        5        0        0
14451 cpp-14-doc                         	       0        1        0        0        1
14452 cpp-14-for-build                   	       0        1        0        0        1
14453 cpp-14-hppa-linux-gnu              	       0        1        1        0        0
14454 cpp-14-i686-linux-gnu              	       0        6        6        0        0
14455 cpp-14-powerpc-linux-gnu           	       0        2        2        0        0
14456 cpp-14-powerpc64-linux-gnu         	       0        3        3        0        0
14457 cpp-14-powerpc64le-linux-gnu       	       0        1        1        0        0
14458 cpp-14-riscv64-linux-gnu           	       0        3        3        0        0
14459 cpp-14-s390x-linux-gnu             	       0        1        1        0        0
14460 cpp-14-sparc64-linux-gnu           	       0        1        1        0        0
14461 cpp-15                             	       0        2        2        0        0
14462 cpp-15-x86-64-linux-gnu            	       0        2        2        0        0
14463 cpp-3.3                            	       0        3        3        0        0
14464 cpp-4.1                            	       0        4        4        0        0
14465 cpp-4.2                            	       0        2        2        0        0
14466 cpp-4.3                            	       0        8        8        0        0
14467 cpp-4.3-arm-linux-gnueabi          	       0        1        1        0        0
14468 cpp-4.4                            	       0       16       16        0        0
14469 cpp-4.4-doc                        	       0        1        0        0        1
14470 cpp-4.5                            	       0        1        1        0        0
14471 cpp-4.6                            	       0       29       29        0        0
14472 cpp-4.7                            	       0        7        7        0        0
14473 cpp-4.7-doc                        	       0        2        0        0        2
14474 cpp-4.8                            	       0       64       64        0        0
14475 cpp-4.8-doc                        	       0        1        0        0        1
14476 cpp-4.9-doc                        	       0        2        0        0        2
14477 cpp-5                              	       0        5        5        0        0
14478 cpp-6-aarch64-linux-gnu            	       0        2        2        0        0
14479 cpp-6-arm-linux-gnueabihf          	       0        1        1        0        0
14480 cpp-6-doc                          	       0        3        0        0        3
14481 cpp-7                              	       0        9        9        0        0
14482 cpp-7-doc                          	       0        1        0        0        1
14483 cpp-8-aarch64-linux-gnu            	       0        7        7        0        0
14484 cpp-8-arm-linux-gnueabi            	       0        2        2        0        0
14485 cpp-8-arm-linux-gnueabihf          	       0        5        5        0        0
14486 cpp-8-doc                          	       0        1        0        0        1
14487 cpp-8-i686-linux-gnu               	       0        2        2        0        0
14488 cpp-8-mips-linux-gnu               	       0        1        1        0        0
14489 cpp-8-mips64el-linux-gnuabi64      	       0        1        1        0        0
14490 cpp-8-mipsel-linux-gnu             	       0        1        1        0        0
14491 cpp-8-x86-64-linux-gnux32          	       0        2        2        0        0
14492 cpp-9                              	       0       36       36        0        0
14493 cpp-9-aarch64-linux-gnu            	       0        1        1        0        0
14494 cpp-9-arm-linux-gnueabi            	       0        1        1        0        0
14495 cpp-9-arm-linux-gnueabihf          	       0        2        2        0        0
14496 cpp-9-doc                          	       0        1        0        0        1
14497 cpp-aarch64-linux-gnu              	       0       36       34        2        0
14498 cpp-alpha-linux-gnu                	       0        3        3        0        0
14499 cpp-arm-linux-gnueabi              	       0       18       17        1        0
14500 cpp-arm-linux-gnueabihf            	       0       30       29        1        0
14501 cpp-doc                            	       0       17        0        0       17
14502 cpp-hppa-linux-gnu                 	       0        3        3        0        0
14503 cpp-i686-linux-gnu                 	       0       16       15        1        0
14504 cpp-m68k-linux-gnu                 	       0        1        1        0        0
14505 cpp-mips-linux-gnu                 	       0        5        5        0        0
14506 cpp-mips64-linux-gnuabi64          	       0        1        1        0        0
14507 cpp-mips64el-linux-gnuabi64        	       0        1        1        0        0
14508 cpp-mipsel-linux-gnu               	       0        5        5        0        0
14509 cpp-powerpc-linux-gnu              	       0        5        5        0        0
14510 cpp-powerpc64-linux-gnu            	       0        5        5        0        0
14511 cpp-powerpc64le-linux-gnu          	       0        1        1        0        0
14512 cpp-riscv64-linux-gnu              	       0        8        7        1        0
14513 cpp-s390x-linux-gnu                	       0        4        4        0        0
14514 cpp-sparc64-linux-gnu              	       0        3        3        0        0
14515 cpp-x86-64-linux-gnux32            	       0        1        1        0        0
14516 cppad                              	       0        2        0        0        2
14517 cppcheck                           	       0       53       52        1        0
14518 cppcheck-gui                       	       0       15       15        0        0
14519 cppcms                             	       0        2        2        0        0
14520 cppcms-dev                         	       0        1        1        0        0
14521 cppdb                              	       0        3        3        0        0
14522 cpphs                              	       0        3        2        1        0
14523 cpplint                            	       0        6        6        0        0
14524 cppman                             	       0        3        3        0        0
14525 cppreference-doc-en-html           	       0        8        8        0        0
14526 cppreference-doc-en-qch            	       0        4        0        0        4
14527 cpputest                           	       0        7        7        0        0
14528 cppzmq-dev                         	       0       31        0        0       31
14529 cpqacuxe                           	       0        1        1        0        0
14530 cprocsp-cptools-gtk-64             	       0        1        1        0        0
14531 cprocsp-curl-64                    	       0        1        1        0        0
14532 cprocsp-pki-cades-64               	       0        1        1        0        0
14533 cprocsp-pki-plugin-64              	       0        1        1        0        0
14534 cprocsp-rdr-cloud-64               	       0        1        1        0        0
14535 cprocsp-rdr-cpfkc-64               	       0        1        1        0        0
14536 cprocsp-rdr-cryptoki-64            	       0        1        1        0        0
14537 cprocsp-rdr-edoc-64                	       0        1        1        0        0
14538 cprocsp-rdr-emv-64                 	       0        1        1        0        0
14539 cprocsp-rdr-gui-gtk-64             	       0        1        1        0        0
14540 cprocsp-rdr-infocrypt-64           	       0        1        1        0        0
14541 cprocsp-rdr-inpaspot-64            	       0        1        1        0        0
14542 cprocsp-rdr-jacarta-64             	       0        1        1        0        0
14543 cprocsp-rdr-kst-64                 	       0        1        1        0        0
14544 cprocsp-rdr-mskey-64               	       0        1        1        0        0
14545 cprocsp-rdr-novacard-64            	       0        1        1        0        0
14546 cprocsp-rdr-pcsc-64                	       0        1        1        0        0
14547 cprocsp-rdr-rosan-64               	       0        1        1        0        0
14548 cprocsp-rdr-rutoken-64             	       0        1        1        0        0
14549 cproto                             	       0        9        9        0        0
14550 cpu                                	       0        4        3        1        0
14551 cpu-checker                        	       0       50       50        0        0
14552 cpu-x                              	       0       50       49        1        0
14553 cpu-x-dbgsym                       	       0        1        1        0        0
14554 cpuburn                            	       0        6        6        0        0
14555 cpudyn                             	       0        2        2        0        0
14556 cpufetch                           	       0        9        8        1        0
14557 cpuidtool                          	       0        4        4        0        0
14558 cpuinfo                            	       0       33       32        1        0
14559 cpuset                             	       0        3        3        0        0
14560 cqrlog                             	       0        8        8        0        0
14561 cqrlog-data                        	       0        7        0        0        7
14562 cque-en                            	       0        1        1        0        0
14563 crac                               	       0        1        1        0        0
14564 crack-attack                       	       0       17       17        0        0
14565 crack-common                       	       0       28        0        0       28
14566 crack-md5                          	       0        4        4        0        0
14567 craft                              	       0        1        1        0        0
14568 crafty                             	       0        7        7        0        0
14569 crafty-bitmaps                     	       0        1        0        0        1
14570 crafty-books-medium                	       0        1        0        0        1
14571 crafty-books-medtosmall            	       0        5        0        0        5
14572 cramfsprogs                        	       0        7        7        0        0
14573 crashme                            	       0        4        4        0        0
14574 crasm                              	       0        6        6        0        0
14575 crawl-common                       	       0      985        0        0      985
14576 crawl-tiles                        	       0       15       15        0        0
14577 crawl-tiles-data                   	       0       15        0        0       15
14578 crazydiskinfo                      	       0       10       10        0        0
14579 cream                              	       0        6        6        0        0
14580 create-resources                   	       0       15        0        0       15
14581 createdisk                         	       0        1        1        0        0
14582 createrepo-c                       	       0        2        2        0        0
14583 credential-sheets                  	       0        2        2        0        0
14584 creduce                            	       0        3        3        0        0
14585 crip                               	       0        5        5        0        0
14586 crispy-doom                        	       0        7        7        0        0
14587 crispy-doom-build-deps             	       0        1        0        0        1
14588 crispy-doom-dbgsym                 	       0        1        1        0        0
14589 critcl                             	       0        3        1        2        0
14590 criticalmass                       	       0       10       10        0        0
14591 criticalmass-data                  	       0       10        0        0       10
14592 critterding                        	       0        3        3        0        0
14593 crm114                             	       0        2        2        0        0
14594 crmsh                              	       0        6        6        0        0
14595 cron-deja-vu                       	       0        1        1        0        0
14596 cronic                             	       0        1        1        0        0
14597 cronie                             	       0        2        2        0        0
14598 cronometer                         	       0        6        6        0        0
14599 cropgui                            	       0        1        1        0        0
14600 cross-config                       	       0       26        0        0       26
14601 cross-exe-wrapper                  	       0        2        2        0        0
14602 crossbuild-essential-amd64         	       0        2        0        0        2
14603 crossbuild-essential-arm64         	       0       12        0        0       12
14604 crossbuild-essential-armel         	       0        3        0        0        3
14605 crossbuild-essential-armhf         	       0        8        0        0        8
14606 crossbuild-essential-i386          	       0        3        0        0        3
14607 crossbuild-essential-mips          	       0        2        0        0        2
14608 crossbuild-essential-mips64el      	       0        1        0        0        1
14609 crossbuild-essential-mipsel        	       0        2        0        0        2
14610 crossfire-client-gtk2              	       0        1        0        0        1
14611 crossfire-client-images            	       0        7        0        0        7
14612 crossfire-client-sounds            	       0        1        0        0        1
14613 crossfire-common                   	       0        4        0        0        4
14614 crossfire-doc                      	       0        3        0        0        3
14615 crossfire-maps                     	       0        5        0        0        5
14616 crossfire-server                   	       0        4        4        0        0
14617 crossftp                           	       0        1        0        0        1
14618 crossgrader                        	       0        1        1        0        0
14619 crow-translate                     	       0        1        1        0        0
14620 crowdsec-firewall-bouncer          	       0        1        1        0        0
14621 crrcsim-data                       	       0        9        0        0        9
14622 crrcsim-doc                        	       0        2        0        0        2
14623 crudini                            	       0        3        3        0        0
14624 cruft                              	       0       16        3        0       13
14625 crypt++el                          	       0        2        2        0        0
14626 cryptcat                           	       0        8        8        0        0
14627 cryptkeeper                        	       0        1        1        0        0
14628 cryptol                            	       0        1        1        0        0
14629 cryptonose                         	       0        1        1        0        0
14630 cryptsetup-nuke-password           	       0        4        4        0        0
14631 crystal                            	       0        6        5        0        1
14632 crystal1.12                        	       0        1        1        0        0
14633 crystal1.12-docs                   	       0        1        0        0        1
14634 crystal1.12-samples                	       0        1        0        0        1
14635 crystalcursors                     	       0       16        0        0       16
14636 cs                                 	       0        1        1        0        0
14637 cscope-el                          	       0        1        0        0        1
14638 csmash                             	       0        1        1        0        0
14639 csmash-data                        	       0        1        0        0        1
14640 csmith                             	       0        4        4        0        0
14641 csound                             	       0       31       28        3        0
14642 csound-data                        	       0       32        0        0       32
14643 csound-doc                         	       0        8        0        0        8
14644 csound-manpages                    	       0        1        0        0        1
14645 csound-plugins                     	       0       24        0        0       24
14646 csound-soundfont                   	       0       29        0        0       29
14647 csoundqt                           	       0        7        7        0        0
14648 csoundqt-examples                  	       0        7        0        0        7
14649 css-mode                           	       0        1        1        0        0
14650 cssc                               	       0        2        2        0        0
14651 cssed                              	       0        1        1        0        0
14652 csstidy                            	       0       11       11        0        0
14653 cstocs                             	       0        5        5        0        0
14654 cstream                            	       0        4        4        0        0
14655 csv2latex                          	       0        4        4        0        0
14656 csvkit                             	       0       11       11        0        0
14657 csvkit-doc                         	       0        4        0        0        4
14658 ctdb                               	       0        3        3        0        0
14659 ctdconverter                       	       0        1        1        0        0
14660 cthumb                             	       0        1        1        0        0
14661 ctioga2                            	       0        1        1        0        0
14662 ctn                                	       0        2        2        0        0
14663 ctop                               	       0        3        3        0        0
14664 ctorrent                           	       0       17       17        0        0
14665 ctpp2-utils                        	       0        1        1        0        0
14666 ctsim                              	       0        4        4        0        0
14667 ctsim-doc                          	       0        5        0        0        5
14668 ctsim-help                         	       0        4        0        0        4
14669 ctwm                               	       0        5        5        0        0
14670 cu                                 	       0       37       36        1        0
14671 cube2                              	       0       10        9        1        0
14672 cubicsdr                           	       0       20       20        0        0
14673 cucumber                           	       0        1        1        0        0
14674 cuda                               	       0        2        0        0        2
14675 cuda-12-6                          	       0        1        0        0        1
14676 cuda-12-8                          	       0        1        0        0        1
14677 cuda-cccl-11-7                     	       0        1        1        0        0
14678 cuda-cccl-12-4                     	       0        2        2        0        0
14679 cuda-cccl-12-6                     	       0        4        3        0        1
14680 cuda-cccl-12-8                     	       0        1        1        0        0
14681 cuda-command-line-tools-10-0       	       0        1        1        0        0
14682 cuda-command-line-tools-11-7       	       0        1        0        0        1
14683 cuda-command-line-tools-12-4       	       0        2        0        0        2
14684 cuda-command-line-tools-12-6       	       0        3        0        0        3
14685 cuda-command-line-tools-12-8       	       0        1        0        0        1
14686 cuda-command-line-tools-9-0        	       0        1        1        0        0
14687 cuda-compiler-10-0                 	       0        1        0        0        1
14688 cuda-compiler-11-7                 	       0        1        0        0        1
14689 cuda-compiler-12-4                 	       0        2        0        0        2
14690 cuda-compiler-12-6                 	       0        3        0        0        3
14691 cuda-compiler-12-8                 	       0        1        0        0        1
14692 cuda-core-9-0                      	       0        1        0        0        1
14693 cuda-cross-aarch64-10-0            	       0        1        0        0        1
14694 cuda-crt-12-4                      	       0        2        2        0        0
14695 cuda-crt-12-6                      	       0        4        3        0        1
14696 cuda-crt-12-8                      	       0        1        1        0        0
14697 cuda-cublas-10-0                   	       0        1        0        0        1
14698 cuda-cublas-9-0                    	       0        1        0        0        1
14699 cuda-cublas-cross-aarch64-10-0     	       0        1        1        0        0
14700 cuda-cublas-dev-10-0               	       0        1        1        0        0
14701 cuda-cublas-dev-9-0                	       0        1        1        0        0
14702 cuda-cudart-10-0                   	       0        1        0        0        1
14703 cuda-cudart-11-7                   	       0        1        1        0        0
14704 cuda-cudart-12-4                   	       0        2        0        0        2
14705 cuda-cudart-12-6                   	       0        4        0        0        4
14706 cuda-cudart-12-8                   	       0        1        0        0        1
14707 cuda-cudart-9-0                    	       0        1        0        0        1
14708 cuda-cudart-cross-aarch64-10-0     	       0        1        1        0        0
14709 cuda-cudart-dev-11-7               	       0        1        1        0        0
14710 cuda-cudart-dev-12-6               	       0        4        4        0        0
14711 cuda-cudart-dev-12-8               	       0        1        1        0        0
14712 cuda-cudart-dev-9-0                	       0        1        1        0        0
14713 cuda-cufft-10-0                    	       0        1        0        0        1
14714 cuda-cufft-9-0                     	       0        1        0        0        1
14715 cuda-cufft-cross-aarch64-10-0      	       0        1        1        0        0
14716 cuda-cufft-dev-10-0                	       0        1        1        0        0
14717 cuda-cufft-dev-9-0                 	       0        1        1        0        0
14718 cuda-cuobjdump-10-0                	       0        1        1        0        0
14719 cuda-cuobjdump-11-7                	       0        1        1        0        0
14720 cuda-cuobjdump-12-4                	       0        2        2        0        0
14721 cuda-cuobjdump-12-6                	       0        3        2        0        1
14722 cuda-cuobjdump-12-8                	       0        1        1        0        0
14723 cuda-cupti-10-0                    	       0        1        1        0        0
14724 cuda-cupti-11-7                    	       0        1        1        0        0
14725 cuda-cupti-12-4                    	       0        2        2        0        0
14726 cuda-cupti-12-6                    	       0        4        3        0        1
14727 cuda-cupti-12-8                    	       0        1        1        0        0
14728 cuda-cupti-dev-11-7                	       0        1        1        0        0
14729 cuda-cupti-dev-12-4                	       0        2        2        0        0
14730 cuda-cupti-dev-12-6                	       0        4        3        0        1
14731 cuda-cupti-dev-12-8                	       0        1        1        0        0
14732 cuda-curand-10-0                   	       0        1        0        0        1
14733 cuda-curand-9-0                    	       0        1        0        0        1
14734 cuda-curand-cross-aarch64-10-0     	       0        1        1        0        0
14735 cuda-curand-dev-10-0               	       0        1        1        0        0
14736 cuda-curand-dev-9-0                	       0        1        1        0        0
14737 cuda-cusolver-10-0                 	       0        1        0        0        1
14738 cuda-cusolver-9-0                  	       0        1        0        0        1
14739 cuda-cusolver-cross-aarch64-10-0   	       0        1        1        0        0
14740 cuda-cusolver-dev-10-0             	       0        1        1        0        0
14741 cuda-cusolver-dev-9-0              	       0        1        1        0        0
14742 cuda-cusparse-10-0                 	       0        1        0        0        1
14743 cuda-cusparse-9-0                  	       0        1        0        0        1
14744 cuda-cusparse-cross-aarch64-10-0   	       0        1        1        0        0
14745 cuda-cusparse-dev-10-0             	       0        1        1        0        0
14746 cuda-cusparse-dev-9-0              	       0        1        1        0        0
14747 cuda-cuxxfilt-11-7                 	       0        1        1        0        0
14748 cuda-cuxxfilt-12-4                 	       0        2        2        0        0
14749 cuda-cuxxfilt-12-6                 	       0        3        2        0        1
14750 cuda-cuxxfilt-12-8                 	       0        1        1        0        0
14751 cuda-demo-suite-12-6               	       0        1        0        0        1
14752 cuda-demo-suite-12-8               	       0        1        0        0        1
14753 cuda-documentation-10-0            	       0        1        0        0        1
14754 cuda-documentation-11-7            	       0        1        0        0        1
14755 cuda-documentation-12-4            	       0        2        0        0        2
14756 cuda-documentation-12-6            	       0        3        0        0        3
14757 cuda-documentation-12-8            	       0        1        0        0        1
14758 cuda-documentation-9-0             	       0        1        0        0        1
14759 cuda-driver-cross-aarch64-10-0     	       0        1        1        0        0
14760 cuda-driver-dev-10-0               	       0        1        1        0        0
14761 cuda-driver-dev-11-7               	       0        1        1        0        0
14762 cuda-driver-dev-12-4               	       0        2        2        0        0
14763 cuda-driver-dev-12-6               	       0        4        4        0        0
14764 cuda-driver-dev-12-8               	       0        1        1        0        0
14765 cuda-driver-dev-9-0                	       0        1        1        0        0
14766 cuda-drivers                       	       0        1        0        0        1
14767 cuda-drivers-525                   	       0        1        0        0        1
14768 cuda-drivers-530                   	       0        1        0        0        1
14769 cuda-drivers-565                   	       0        1        0        0        1
14770 cuda-gdb-10-0                      	       0        1        1        0        0
14771 cuda-gdb-11-7                      	       0        1        1        0        0
14772 cuda-gdb-12-4                      	       0        2        2        0        0
14773 cuda-gdb-12-6                      	       0        3        2        0        1
14774 cuda-gdb-12-8                      	       0        1        1        0        0
14775 cuda-gpu-library-advisor-10-0      	       0        1        1        0        0
14776 cuda-keyring                       	       0        5        0        0        5
14777 cuda-libraries-11-7                	       0        1        0        0        1
14778 cuda-libraries-12-4                	       0        2        0        0        2
14779 cuda-libraries-12-6                	       0        3        0        0        3
14780 cuda-libraries-12-8                	       0        1        0        0        1
14781 cuda-libraries-dev-10-0            	       0        1        0        0        1
14782 cuda-libraries-dev-11-7            	       0        1        0        0        1
14783 cuda-libraries-dev-12-4            	       0        2        0        0        2
14784 cuda-libraries-dev-12-6            	       0        3        0        0        3
14785 cuda-libraries-dev-12-8            	       0        1        0        0        1
14786 cuda-libraries-dev-9-0             	       0        1        0        0        1
14787 cuda-license-10-0                  	       0        1        0        0        1
14788 cuda-license-9-0                   	       0        1        0        0        1
14789 cuda-memcheck-10-0                 	       0        1        1        0        0
14790 cuda-memcheck-11-7                 	       0        1        1        0        0
14791 cuda-misc-headers-10-0             	       0        1        1        0        0
14792 cuda-misc-headers-9-0              	       0        1        0        0        1
14793 cuda-misc-headers-cross-aarch64-10-0	       0        1        1        0        0
14794 cuda-npp-10-0                      	       0        1        0        0        1
14795 cuda-npp-9-0                       	       0        1        0        0        1
14796 cuda-npp-cross-aarch64-10-0        	       0        1        1        0        0
14797 cuda-npp-dev-10-0                  	       0        1        1        0        0
14798 cuda-npp-dev-9-0                   	       0        1        1        0        0
14799 cuda-nsight-10-0                   	       0        1        0        0        1
14800 cuda-nsight-11-7                   	       0        1        1        0        0
14801 cuda-nsight-12-4                   	       0        2        2        0        0
14802 cuda-nsight-12-6                   	       0        3        2        0        1
14803 cuda-nsight-12-8                   	       0        1        1        0        0
14804 cuda-nsight-compute-10-0           	       0        1        0        0        1
14805 cuda-nsight-compute-11-7           	       0        1        1        0        0
14806 cuda-nsight-compute-12-4           	       0        2        2        0        0
14807 cuda-nsight-compute-12-6           	       0        3        2        0        1
14808 cuda-nsight-compute-12-8           	       0        1        1        0        0
14809 cuda-nsight-compute-addon-l4t-10-0 	       0        1        0        0        1
14810 cuda-nsight-systems-11-7           	       0        1        1        0        0
14811 cuda-nsight-systems-12-4           	       0        2        2        0        0
14812 cuda-nsight-systems-12-6           	       0        3        2        0        1
14813 cuda-nsight-systems-12-8           	       0        1        1        0        0
14814 cuda-nvcc-10-0                     	       0        1        1        0        0
14815 cuda-nvcc-11-7                     	       0        1        1        0        0
14816 cuda-nvcc-12-4                     	       0        2        2        0        0
14817 cuda-nvcc-12-6                     	       0        4        3        0        1
14818 cuda-nvcc-12-8                     	       0        1        1        0        0
14819 cuda-nvdisasm-10-0                 	       0        1        1        0        0
14820 cuda-nvdisasm-11-7                 	       0        1        1        0        0
14821 cuda-nvdisasm-12-4                 	       0        2        2        0        0
14822 cuda-nvdisasm-12-6                 	       0        3        2        0        1
14823 cuda-nvdisasm-12-8                 	       0        1        1        0        0
14824 cuda-nvgraph-10-0                  	       0        1        0        0        1
14825 cuda-nvgraph-9-0                   	       0        1        0        0        1
14826 cuda-nvgraph-cross-aarch64-10-0    	       0        1        0        0        1
14827 cuda-nvgraph-dev-10-0              	       0        1        1        0        0
14828 cuda-nvgraph-dev-9-0               	       0        1        1        0        0
14829 cuda-nvjpeg-10-0                   	       0        1        0        0        1
14830 cuda-nvjpeg-dev-10-0               	       0        1        1        0        0
14831 cuda-nvml-cross-aarch64-10-0       	       0        1        0        0        1
14832 cuda-nvml-dev-10-0                 	       0        1        1        0        0
14833 cuda-nvml-dev-11-7                 	       0        1        1        0        0
14834 cuda-nvml-dev-12-4                 	       0        2        2        0        0
14835 cuda-nvml-dev-12-6                 	       0        3        3        0        0
14836 cuda-nvml-dev-12-8                 	       0        1        1        0        0
14837 cuda-nvml-dev-9-0                  	       0        1        1        0        0
14838 cuda-nvprof-10-0                   	       0        1        1        0        0
14839 cuda-nvprof-11-7                   	       0        1        1        0        0
14840 cuda-nvprof-12-4                   	       0        2        2        0        0
14841 cuda-nvprof-12-6                   	       0        4        4        0        0
14842 cuda-nvprof-12-8                   	       0        1        1        0        0
14843 cuda-nvprune-10-0                  	       0        1        1        0        0
14844 cuda-nvprune-11-7                  	       0        1        1        0        0
14845 cuda-nvprune-12-4                  	       0        2        2        0        0
14846 cuda-nvprune-12-6                  	       0        3        2        0        1
14847 cuda-nvprune-12-8                  	       0        1        1        0        0
14848 cuda-nvrtc-10-0                    	       0        1        0        0        1
14849 cuda-nvrtc-11-7                    	       0        1        0        0        1
14850 cuda-nvrtc-12-4                    	       0        2        0        0        2
14851 cuda-nvrtc-12-6                    	       0        3        0        0        3
14852 cuda-nvrtc-12-8                    	       0        1        0        0        1
14853 cuda-nvrtc-9-0                     	       0        1        0        0        1
14854 cuda-nvrtc-cross-aarch64-10-0      	       0        1        0        0        1
14855 cuda-nvrtc-dev-10-0                	       0        1        1        0        0
14856 cuda-nvrtc-dev-11-7                	       0        1        1        0        0
14857 cuda-nvrtc-dev-12-4                	       0        2        2        0        0
14858 cuda-nvrtc-dev-12-6                	       0        3        3        0        0
14859 cuda-nvrtc-dev-12-8                	       0        1        1        0        0
14860 cuda-nvrtc-dev-9-0                 	       0        1        1        0        0
14861 cuda-nvtx-10-0                     	       0        1        1        0        0
14862 cuda-nvtx-11-7                     	       0        1        1        0        0
14863 cuda-nvtx-12-4                     	       0        2        2        0        0
14864 cuda-nvtx-12-6                     	       0        3        3        0        0
14865 cuda-nvtx-12-8                     	       0        1        1        0        0
14866 cuda-nvvm-12-4                     	       0        2        2        0        0
14867 cuda-nvvm-12-6                     	       0        4        3        0        1
14868 cuda-nvvm-12-8                     	       0        1        1        0        0
14869 cuda-nvvp-10-0                     	       0        1        0        0        1
14870 cuda-nvvp-11-7                     	       0        1        1        0        0
14871 cuda-nvvp-12-4                     	       0        2        2        0        0
14872 cuda-nvvp-12-6                     	       0        3        2        0        1
14873 cuda-nvvp-12-8                     	       0        1        1        0        0
14874 cuda-opencl-12-6                   	       0        3        1        0        2
14875 cuda-opencl-12-8                   	       0        1        1        0        0
14876 cuda-opencl-dev-12-4               	       0        2        2        0        0
14877 cuda-opencl-dev-12-6               	       0        3        3        0        0
14878 cuda-opencl-dev-12-8               	       0        1        1        0        0
14879 cuda-profiler-api-12-4             	       0        2        2        0        0
14880 cuda-profiler-api-12-6             	       0        4        3        0        1
14881 cuda-profiler-api-12-8             	       0        1        1        0        0
14882 cuda-repo-cross-aarch64-10-0-local-10.0.326	       0        1        0        0        1
14883 cuda-repo-debian11-11-5-local      	       0        1        0        0        1
14884 cuda-repo-debian11-11-8-local      	       0        1        0        0        1
14885 cuda-repo-debian12-12-4-local      	       0        1        0        0        1
14886 cuda-repo-debian12-12-6-local      	       0        1        0        0        1
14887 cuda-repo-ubuntu1604               	       0        1        0        0        1
14888 cuda-repo-ubuntu1704-9-0-local     	       0        1        0        0        1
14889 cuda-repo-ubuntu1804-10-0-local-10.0.326-410.108	       0        1        0        0        1
14890 cuda-runtime-12-6                  	       0        1        0        0        1
14891 cuda-runtime-12-8                  	       0        1        0        0        1
14892 cuda-samples-10-0                  	       0        1        1        0        0
14893 cuda-samples-9-0                   	       0        1        0        0        1
14894 cuda-sanitizer-11-7                	       0        1        1        0        0
14895 cuda-sanitizer-12-4                	       0        2        2        0        0
14896 cuda-sanitizer-12-6                	       0        3        2        0        1
14897 cuda-sanitizer-12-8                	       0        1        1        0        0
14898 cuda-toolkit                       	       0        2        0        0        2
14899 cuda-toolkit-10-0                  	       0        1        0        0        1
14900 cuda-toolkit-11-7                  	       0        1        0        0        1
14901 cuda-toolkit-11-7-config-common    	       0        1        0        0        1
14902 cuda-toolkit-11-config-common      	       0        1        0        0        1
14903 cuda-toolkit-12-0-config-common    	       0        1        0        0        1
14904 cuda-toolkit-12-4                  	       0        2        0        0        2
14905 cuda-toolkit-12-4-config-common    	       0        2        0        0        2
14906 cuda-toolkit-12-6                  	       0        3        0        0        3
14907 cuda-toolkit-12-6-config-common    	       0        4        0        0        4
14908 cuda-toolkit-12-8                  	       0        1        0        0        1
14909 cuda-toolkit-12-8-config-common    	       0        1        0        0        1
14910 cuda-toolkit-12-config-common      	       0        7        0        0        7
14911 cuda-toolkit-9-0                   	       0        1        0        0        1
14912 cuda-toolkit-config-common         	       0        7        0        0        7
14913 cuda-tools-10-0                    	       0        1        0        0        1
14914 cuda-tools-11-7                    	       0        1        0        0        1
14915 cuda-tools-12-4                    	       0        2        0        0        2
14916 cuda-tools-12-6                    	       0        3        0        0        3
14917 cuda-tools-12-8                    	       0        1        0        0        1
14918 cuda-visual-tools-10-0             	       0        1        1        0        0
14919 cuda-visual-tools-11-7             	       0        1        0        0        1
14920 cuda-visual-tools-12-4             	       0        2        0        0        2
14921 cuda-visual-tools-12-6             	       0        3        0        0        3
14922 cuda-visual-tools-12-8             	       0        1        0        0        1
14923 cuda-visual-tools-9-0              	       0        1        0        0        1
14924 cudatext                           	       0        1        1        0        0
14925 cudf-tools                         	       0        2        2        0        0
14926 cudnn-local-repo-debian11-8.7.0.84 	       0        1        0        0        1
14927 cue2toc                            	       0       18       18        0        0
14928 cuetools                           	       0       56       55        1        0
14929 cuneiform                          	       0       19       19        0        0
14930 cuneiform-common                   	       0       20        0        0       20
14931 cups-common                        	       0     3040        0        0     3040
14932 cups-pdf                           	       0        9        0        0        9
14933 cups-pk-helper                     	       0     2612        0        0     2612
14934 cups-server-common                 	       0     2831        0        0     2831
14935 cups-x2go                          	       0        6        6        0        0
14936 cupsddk                            	       0        3        0        0        3
14937 cupswrapperdcp7055                 	       0        1        0        0        1
14938 cupswrapperdcp7065dn               	       0        2        0        0        2
14939 cupswrapperdcp8085dn               	       0        1        0        0        1
14940 cupswrapperhl2140                  	       0        2        0        0        2
14941 cupswrapperhl2240                  	       0        1        0        0        1
14942 cupswrapperhl2270dw                	       0        2        0        0        2
14943 cupswrapperhl6050d                 	       0        1        0        0        1
14944 cupswrappermfc210c                 	       0        1        0        0        1
14945 cupswrappermfc5840cn               	       0        1        0        0        1
14946 cupswrappermfc7320                 	       0        1        0        0        1
14947 cupswrappermfc7360n                	       0        2        0        0        2
14948 cupswrappermfc7820n                	       0        1        0        0        1
14949 cupswrappermfc7860dw               	       0        4        0        0        4
14950 cupsys                             	       0        1        0        0        1
14951 cupsys-bsd                         	       0        1        0        0        1
14952 cupsys-client                      	       0        1        0        0        1
14953 cupsys-common                      	       0        1        0        0        1
14954 cupt                               	       0        6        6        0        0
14955 cura                               	       0       23       22        1        0
14956 cura-engine                        	       0       27       26        1        0
14957 curator                            	       0        1        1        0        0
14958 curlew                             	       0        1        1        0        0
14959 curlftpfs                          	       0       23       23        0        0
14960 curry-frontend                     	       0        2        2        0        0
14961 curseofwar-common                  	       0       16        0        0       16
14962 curtain                            	       0        2        2        0        0
14963 curvedns                           	       0        1        1        0        0
14964 curvevpn                           	       0        1        1        0        0
14965 curvevpn-server-run                	       0        1        0        0        1
14966 cutecom                            	       0       23       23        0        0
14967 cutemaze                           	       0        6        6        0        0
14968 cutesdr                            	       0       11       11        0        0
14969 cutils                             	       0        9        9        0        0
14970 cutmp3                             	       0        3        3        0        0
14971 cutycapt                           	       0        6        6        0        0
14972 cuyo                               	       0       18       18        0        0
14973 cuyo-data                          	       0       18        0        0       18
14974 cvc4                               	       0        2        2        0        0
14975 cvc5                               	       0        1        1        0        0
14976 cvm                                	       0        2        2        0        0
14977 cvs-autoreleasedeb                 	       0        1        1        0        0
14978 cvs-build-deps                     	       0        1        0        0        1
14979 cvs-buildpackage                   	       0        5        5        0        0
14980 cvs-dbgsym                         	       0        1        1        0        0
14981 cvs-fast-export                    	       0        3        3        0        0
14982 cvs-mailcommit                     	       0        1        1        0        0
14983 cvs2cl                             	       0        2        2        0        0
14984 cvs2svn                            	       0        3        3        0        0
14985 cvsbook                            	       0        1        0        0        1
14986 cvschangelogbuilder                	       0        1        1        0        0
14987 cvsconnect                         	       0        1        1        0        0
14988 cvsgraph                           	       0        3        3        0        0
14989 cvsnt                              	       0        1        1        0        0
14990 cvsps                              	       0       55       55        0        0
14991 cvsservice                         	       0       47       45        2        0
14992 cvssuck                            	       0        1        1        0        0
14993 cvsutils                           	       0        3        3        0        0
14994 cvsweb                             	       0        4        4        0        0
14995 cwebx                              	       0        4        4        0        0
14996 cwidget-build-deps-depends         	       0        1        0        0        1
14997 cwl-upgrader                       	       0        3        3        0        0
14998 cwl-utils                          	       0        1        1        0        0
14999 cwltool                            	       0        3        3        0        0
15000 cxref                              	       0        8        8        0        0
15001 cxref-doc                          	       0        4        0        0        4
15002 cxxtest                            	       0        3        3        0        0
15003 cya-2pir                           	       0        1        1        0        0
15004 cyanrip                            	       0        1        1        0        0
15005 cycfx2prog                         	       0        1        1        0        0
15006 cyclades-serial-client             	       0        1        1        0        0
15007 cyclist                            	       0        4        4        0        0
15008 cylance-protect                    	       0        1        1        0        0
15009 cylance-protect-ui                 	       0        1        1        0        0
15010 cyme                               	       0        1        1        0        0
15011 cynthiune.app                      	       0        7        6        1        0
15012 cypher-lint                        	       0        1        1        0        0
15013 cypher-shell                       	       0        2        2        0        0
15014 cyphesis-cpp-clients               	       0        1        1        0        0
15015 cyphesis-cpp-mason                 	       0        1        0        0        1
15016 cyrus-clients                      	       0        4        4        0        0
15017 cyrus-doc                          	       0        2        0        0        2
15018 cyrus-murder                       	       0        2        2        0        0
15019 cyrus-replication                  	       0        1        1        0        0
15020 cyrus-sasl2-doc                    	       0        4        0        0        4
15021 cysignals-tools                    	       0       13       13        0        0
15022 cytadela-data                      	       0        1        0        0        1
15023 cython                             	       0        6        6        0        0
15024 cython-doc                         	       0        3        0        0        3
15025 cython3-dbg                        	       0        1        1        0        0
15026 d-itg                              	       0        1        1        0        0
15027 d-rats                             	       0        1        1        0        0
15028 d-shlibs                           	       0        6        6        0        0
15029 d-spy                              	       0        1        1        0        0
15030 d1h                                	       0        2        2        0        0
15031 d1x-rebirth                        	       0        4        4        0        0
15032 d2x-rebirth                        	       0        6        6        0        0
15033 d2x-rebirth-data                   	       0        2        0        0        2
15034 d3-dsv-tools                       	       0        1        1        0        0
15035 d52                                	       0        2        2        0        0
15036 dablin                             	       0        2        2        0        0
15037 dadadodo                           	       0        5        5        0        0
15038 daemontools-run                    	       0        8        8        0        0
15039 dahdi-firmware-nonfree             	       0        2        2        0        0
15040 dahdi-source                       	       0        1        1        0        0
15041 daisy-player                       	       0        2        2        0        0
15042 dalvik-exchange                    	       0        9        9        0        0
15043 dangen                             	       0        1        1        0        0
15044 dangerzone                         	       0        1        0        1        0
15045 daphne                             	       0        1        1        0        0
15046 daps                               	       0        1        1        0        0
15047 daptup                             	       0        2        2        0        0
15048 dar-docs                           	       0        9        0        0        9
15049 dar-static                         	       0       10       10        0        0
15050 darcs                              	       0       34       34        0        0
15051 darcs-server                       	       0        1        1        0        0
15052 darcula                            	       0       24        0        0       24
15053 dares-qt                           	       0        1        1        0        0
15054 dark-oberon                        	       0        1        1        0        0
15055 darkblood-gtk-theme                	       0       25        0        0       25
15056 darkcold-gtk-theme                 	       0       31        0        0       31
15057 darkfire-gtk-theme                 	       0       27        0        0       27
15058 darkice                            	       0        8        8        0        0
15059 darkmint-gtk-theme                 	       0       32        0        0       32
15060 darknet                            	       0        1        1        0        0
15061 darkplaces-server                  	       0        2        2        0        0
15062 darkpurpy-icon-theme               	       0      120        0        0      120
15063 darkradiant                        	       0        1        1        0        0
15064 darkradiant-plugins-darkmod        	       0        1        0        0        1
15065 darkslide                          	       0        3        3        0        0
15066 darksnow                           	       0        2        2        0        0
15067 darktable-tools-basecurve          	       0        1        1        0        0
15068 darktable-tools-noise              	       0        1        1        0        0
15069 darnwdl                            	       0        1        1        0        0
15070 dart                               	       0        1        1        0        0
15071 dart-doc                           	       0        1        0        0        1
15072 dash-el                            	       0        1        0        0        1
15073 dasher                             	       0       10       10        0        0
15074 dasher-data                        	       0       10        0        0       10
15075 dasm                               	       0        1        1        0        0
15076 datalad                            	       0        3        3        0        0
15077 datalad-container                  	       0        1        1        0        0
15078 datamash                           	       0        7        6        1        0
15079 datapacker                         	       0        1        1        0        0
15080 datefudge                          	       0        3        3        0        0
15081 datovka                            	       0        1        1        0        0
15082 dattobd                            	       0        2        2        0        0
15083 dav-text                           	       0        1        1        0        0
15084 dav1d                              	       0        7        7        0        0
15085 davegnukem                         	       0        2        2        0        0
15086 davegnukem-data                    	       0        2        0        0        2
15087 davegnukem-datasrc                 	       0        1        0        0        1
15088 davegnukem-dbgsym                  	       0        1        1        0        0
15089 davical                            	       0        2        2        0        0
15090 davix                              	       0        1        1        0        0
15091 davix-doc                          	       0        1        0        0        1
15092 davmail                            	       0        4        4        0        0
15093 davmail-server                     	       0        4        4        0        0
15094 davs2                              	       0        1        1        0        0
15095 dawg                               	       0        1        1        0        0
15096 dawgdic-tools                      	       0        1        1        0        0
15097 daytasks                           	       0        1        1        0        0
15098 db-upgrade-util                    	       0        3        0        0        3
15099 db4.4-util                         	       0        1        1        0        0
15100 db4.6-util                         	       0        2        2        0        0
15101 db4.7-util                         	       0        3        3        0        0
15102 db4.8-util                         	       0        5        5        0        0
15103 db4otool                           	       0        2        2        0        0
15104 db5.1-sql-util                     	       0        1        1        0        0
15105 db5.1-util                         	       0       36       36        0        0
15106 db5.3-doc                          	       0        7        0        0        7
15107 db5.3-sql-util                     	       0        4        3        1        0
15108 dbab                               	       0        2        2        0        0
15109 dballe                             	       0        1        1        0        0
15110 dballe-common                      	       0        1        0        0        1
15111 dbar                               	       0        4        4        0        0
15112 dbconfig-mysql                     	       0       82        0        0       82
15113 dbconfig-no-thanks                 	       0        4        0        0        4
15114 dbconfig-pgsql                     	       0        7        0        0        7
15115 dbconfig-sqlite                    	       0        1        0        0        1
15116 dbconfig-sqlite3                   	       0       12        0        0       12
15117 dbench                             	       0        4        4        0        0
15118 dbengine                           	       0        1        1        0        0
15119 dbf2mysql                          	       0        4        4        0        0
15120 dbgate                             	       0        3        0        0        3
15121 dbishell                           	       0        1        1        0        0
15122 dblatex-doc                        	       0       66        0        0       66
15123 dbndns                             	       0        2        2        0        0
15124 dbs                                	       0        2        2        0        0
15125 dbschema                           	       0        1        1        0        0
15126 dbtoepub                           	       0        6        6        0        0
15127 dbus-1-doc                         	       0        8        0        0        8
15128 dbus-build-deps                    	       0        1        0        0        1
15129 dbus-cpp-dev-examples              	       0        1        0        0        1
15130 dbus-cxx-dev                       	       0        1        1        0        0
15131 dbus-cxx2                          	       0        1        0        0        1
15132 dbus-cxx2-dbgsym                   	       0        1        1        0        0
15133 dbus-dbgsym                        	       0        1        1        0        0
15134 dbus-fake                          	       0        1        0        0        1
15135 dbus-session-bus-common            	       0     2984        0        0     2984
15136 dbus-system-bus-common             	       0     2983        2        0     2981
15137 dbus-test-runner                   	       0        1        1        0        0
15138 dbus-tests                         	       0        3        3        0        0
15139 dbus-x11-dbgsym                    	       0        2        2        0        0
15140 dcism                              	       0        2        2        0        0
15141 dcism-osc                          	       0        2        1        0        1
15142 dcm2niix                           	       0        5        5        0        0
15143 dcmtk-data                         	       0       12        0        0       12
15144 dcmtk-doc                          	       0        3        0        0        3
15145 dcmtk-www                          	       0        1        1        0        0
15146 dconf                              	       0        1        1        0        0
15147 dconf-build-deps                   	       0        1        0        0        1
15148 dconf-gsettings-backend-dbgsym     	       0        1        1        0        0
15149 dconf-service-dbgsym               	       0        1        1        0        0
15150 dconf-tools                        	       0       11        0        0       11
15151 dcoprss-trinity                    	       0       24       24        0        0
15152 dcp135ccupswrapper                 	       0        1        0        0        1
15153 dcp135clpr                         	       0        1        1        0        0
15154 dcp150ccupswrapper                 	       0        1        0        0        1
15155 dcp150clpr                         	       0        1        1        0        0
15156 dcp1510cupswrapper                 	       0        1        0        0        1
15157 dcp1510lpr                         	       0        1        0        0        1
15158 dcp165ccupswrapper                 	       0        1        0        0        1
15159 dcp165clpr                         	       0        1        1        0        0
15160 dcp195ccupswrapper                 	       0        1        0        0        1
15161 dcp195clpr                         	       0        1        1        0        0
15162 dcp7055lpr                         	       0        1        0        0        1
15163 dcp7065dnlpr                       	       0        2        0        0        2
15164 dcp8085dnlpr                       	       0        1        1        0        0
15165 dcp9015cdwcupswrapper              	       0        1        0        0        1
15166 dcp9015cdwlpr                      	       0        1        1        0        0
15167 dcp9020cdwcupswrapper              	       0        1        0        0        1
15168 dcp9020cdwlpr                      	       0        1        1        0        0
15169 dcpj1200wpdrv                      	       0        1        0        0        1
15170 dcpj125cupswrapper                 	       0        2        0        0        2
15171 dcpj125lpr                         	       0        2        2        0        0
15172 dcpj4120dwcupswrapper              	       0        1        0        0        1
15173 dcpj562dwcupswrapper               	       0        1        0        0        1
15174 dcpj562dwlpr                       	       0        1        1        0        0
15175 dcpj715wcupswrapper                	       0        2        0        0        2
15176 dcpj715wlpr                        	       0        2        2        0        0
15177 dcpj925dwcupswrapper               	       0        1        0        0        1
15178 dcpj925dwlpr                       	       0        1        1        0        0
15179 dcpl2510dpdrv                      	       0        2        0        0        2
15180 dcpl2520dwcupswrapper              	       0        1        0        0        1
15181 dcpl2520dwlpr                      	       0        1        0        0        1
15182 dcpl2530dwpdrv                     	       0        2        0        0        2
15183 dcpl2540dwcupswrapper              	       0        2        0        0        2
15184 dcpl2540dwlpr                      	       0        2        0        0        2
15185 dcpl2550dnpdrv                     	       0        1        0        0        1
15186 dcpl2550dwpdrv                     	       0        1        0        0        1
15187 dcpl3560cdwpdrv                    	       0        1        0        0        1
15188 dcpl5500dncupswrapper              	       0        2        0        0        2
15189 dcpl5500dnlpr                      	       0        2        0        0        2
15190 dcpt300cupswrapper                 	       0        1        0        0        1
15191 dcpt300lpr                         	       0        1        1        0        0
15192 dcpt310pdrv                        	       0        1        1        0        0
15193 dcpt510wpdrv                       	       0        1        1        0        0
15194 ddcci-dkms                         	       0        3        3        0        0
15195 ddccontrol-db                      	       0       18        0        0       18
15196 ddcui                              	       0        2        2        0        0
15197 ddcxinfo-kanotix                   	       0        1        1        0        0
15198 ddd                                	       0       48       48        0        0
15199 ddd-doc                            	       0       10        0        0       10
15200 dde-account-faces                  	       0        1        1        0        0
15201 dde-calendar                       	       0        2        2        0        0
15202 dde-store                          	       0        1        1        0        0
15203 ddnet                              	       0        1        1        0        0
15204 ddnet-data                         	       0        2        0        0        2
15205 ddrescue                           	       0        2        2        0        0
15206 ddrescueview                       	       0       49       48        1        0
15207 ddrutility-dbgsym                  	       0        1        1        0        0
15208 dds2tar                            	       0        4        4        0        0
15209 ddskk                              	       0        1        1        0        0
15210 deadbeef                           	       0        1        1        0        0
15211 deadbeef-static                    	       0       13       11        1        1
15212 deb-gview                          	       0        4        4        0        0
15213 deb-installer                      	       0        1        1        0        0
15214 deb-multimedia-keyring             	       0      121        0        0      121
15215 deb-packager                       	       0        1        1        0        0
15216 deb.torproject.org-keyring         	       0       16        0        0       16
15217 debaux-debconf                     	       0        1        1        0        0
15218 debcargo                           	       0        2        2        0        0
15219 debconf-doc                        	       0       32        0        0       32
15220 debconf-english                    	       0        2        0        0        2
15221 debconf-i18n                       	       0     4128        7        0     4121
15222 debconf-kde-data                   	       0      545        0        0      545
15223 debcraft                           	       0        2        2        0        0
15224 debdate                            	       0        1        1        0        0
15225 debdelta-doc                       	       0        3        0        0        3
15226 debdry                             	       0        1        1        0        0
15227 debget                             	       0        1        1        0        0
15228 debhelper-build-deps               	       0        1        0        0        1
15229 debian-archive-keyring             	       0     4168        0        0     4168
15230 debian-astro-logo                  	       0        1        0        0        1
15231 debian-backports-keyring           	       0        1        0        0        1
15232 debian-builder                     	       0        6        6        0        0
15233 debian-cd                          	       0        5        0        0        5
15234 debian-edu-archive-keyring         	       0       10        0        0       10
15235 debian-edu-artwork                 	       0       12        0        0       12
15236 debian-edu-artwork-buster          	       0        4        3        1        0
15237 debian-edu-artwork-emerald         	       0        6        6        0        0
15238 debian-edu-artwork-homeworld       	       0        6        5        1        0
15239 debian-edu-artwork-softwaves       	       0        3        2        1        0
15240 debian-edu-artwork-spacefun        	       0        6        5        1        0
15241 debian-edu-config                  	       0        5        5        0        0
15242 debian-edu-doc-da                  	       0        1        0        0        1
15243 debian-edu-doc-de                  	       0        3        0        0        3
15244 debian-edu-doc-en                  	       0       13        0        0       13
15245 debian-edu-doc-es                  	       0        1        0        0        1
15246 debian-edu-doc-legacy-en           	       0        8        0        0        8
15247 debian-edu-install                 	       0        5        4        0        1
15248 debian-el                          	       0        9        0        0        9
15249 debian-faq                         	       0     3718        0        0     3718
15250 debian-faq-de                      	       0        6        0        0        6
15251 debian-faq-fr                      	       0        2        0        0        2
15252 debian-faq-nl                      	       0        1        0        0        1
15253 debian-faq-pt                      	       0        1        0        0        1
15254 debian-faq-ru                      	       0        1        0        0        1
15255 debian-handbook                    	       0       41        0        0       41
15256 debian-history                     	       0       11        0        0       11
15257 debian-installer                   	       0        3        0        0        3
15258 debian-installer-10-netboot-amd64  	       0        1        1        0        0
15259 debian-installer-10-netboot-i386   	       0        1        1        0        0
15260 debian-installer-11-netboot-amd64  	       0        2        2        0        0
15261 debian-installer-build-deps        	       0        1        0        0        1
15262 debian-installer-launcher          	       0        3        2        1        0
15263 debian-kernel-handbook             	       0       72        0        0       72
15264 debian-keyring                     	       0      348        0        0      348
15265 debian-mate-default-settings       	       0      525        0        0      525
15266 debian-multimedia-keyring          	       0        6        0        0        6
15267 debian-package-book-de             	       0        1        0        0        1
15268 debian-package-scripts             	       0        1        1        0        0
15269 debian-paketmanagement-buch        	       0        2        0        0        2
15270 debian-podman-config-override      	       0       11        0        0       11
15271 debian-policy                      	       0       54        0        0       54
15272 debian-ports-archive-keyring       	       0       33        0        0       33
15273 debian-pulseaudio-config-override  	       0      122        0        0      122
15274 debian-refcard                     	       0       19        0        0       19
15275 debian-reference                   	       0        8        0        0        8
15276 debian-reference-de                	       0       14        0        0       14
15277 debian-reference-en                	       0       30        0        0       30
15278 debian-reference-es                	       0      267        0        0      267
15279 debian-reference-fr                	       0        9        0        0        9
15280 debian-reference-id                	       0        5        0        0        5
15281 debian-reference-it                	       0      113        0        0      113
15282 debian-reference-ja                	       0        7        0        0        7
15283 debian-reference-pt                	       0        7        0        0        7
15284 debian-reference-pt-br             	       0        2        0        0        2
15285 debian-reference-zh-cn             	       0        7        0        0        7
15286 debian-reference-zh-tw             	       0        7        0        0        7
15287 debiandoc-sgml                     	       0       15       15        0        0
15288 debiandoc-sgml-doc                 	       0        8        0        0        8
15289 debichem-tasks                     	       0        9        0        0        9
15290 debirf                             	       0        1        1        0        0
15291 debmake                            	       0       25       24        1        0
15292 debmake-doc                        	       0        8        0        0        8
15293 debmake-doc-build-deps             	       0        1        0        0        1
15294 debocker                           	       0        1        1        0        0
15295 debomatic                          	       0        2        2        0        0
15296 debootstick                        	       0        2        2        0        0
15297 debram-data                        	       0        5        0        0        5
15298 debsigs                            	       0        6        6        0        0
15299 debsuryorg-archive-keyring         	       0       15        0        0       15
15300 debugedit-build-deps               	       0        1        0        0        1
15301 debugedit-dbgsym                   	       0        1        1        0        0
15302 debuginfod                         	       0        2        2        0        0
15303 debvm                              	       0        5        5        0        0
15304 deconz                             	       0        1        1        0        0
15305 decopy                             	       0        3        3        0        0
15306 deepin-album                       	       0        2        2        0        0
15307 deepin-app-store-runtime           	       0        1        0        0        1
15308 deepin-boot-maker                  	       0        2        2        0        0
15309 deepin-calculator                  	       0        5        5        0        0
15310 deepin-deb-installer               	       0        3        3        0        0
15311 deepin-elf-verify                  	       0        1        0        0        1
15312 deepin-gettext-tools               	       0        4        4        0        0
15313 deepin-icon-theme                  	       0       23        0        0       23
15314 deepin-image-viewer                	       0        3        3        0        0
15315 deepin-menu                        	       0        3        3        0        0
15316 deepin-movie                       	       0        5        5        0        0
15317 deepin-music                       	       0        4        4        0        0
15318 deepin-notifications               	       0        7        7        0        0
15319 deepin-picker                      	       0        2        2        0        0
15320 deepin-screen-recorder             	       0        4        4        0        0
15321 deepin-screenshot                  	       0        6        6        0        0
15322 deepin-shortcut-viewer             	       0        7        7        0        0
15323 deepin-sound-theme                 	       0        3        0        0        3
15324 deepin-terminal                    	       0        5        5        0        0
15325 deepin-voice-recorder              	       0        3        3        0        0
15326 deepsea-icon-theme                 	       0     2740        0        0     2740
15327 deezer-desktop                     	       0        2        0        0        2
15328 default-d-compiler                 	       0        9        0        0        9
15329 default-java-plugin                	       0       14        0        0       14
15330 default-jdk                        	       0      196        0        0      196
15331 default-jdk-doc                    	       0       41        0        0       41
15332 default-jdk-headless               	       0      238        0        0      238
15333 default-jre                        	       0     1384        0        0     1384
15334 default-jre-headless               	       0     1483        0        0     1483
15335 default-libmysqlclient-dev         	       0       98        0        0       98
15336 default-libmysqld-dev              	       0        4        0        0        4
15337 default-mysql-client               	       0       88        0        0       88
15338 default-mysql-client-core          	       0      483        0        0      483
15339 default-mysql-server               	       0       75        0        0       75
15340 default-mysql-server-core          	       0      484        0        0      484
15341 defendguin                         	       0        2        2        0        0
15342 defendguin-data                    	       0        2        0        0        2
15343 defoma                             	       0        3        3        0        0
15344 defoma-doc                         	       0        2        0        0        2
15345 defoma-ersatz                      	       0        1        0        0        1
15346 defrag                             	       0        1        1        0        0
15347 deheader                           	       0        7        7        0        0
15348 dehydrated-apache2                 	       0        1        0        0        1
15349 dehydrated-hook-ddns-tsig          	       0        1        0        0        1
15350 deken                              	       0        3        3        0        0
15351 delta                              	       0        2        2        0        0
15352 deltachat-desktop                  	       0        5        4        0        1
15353 deltarpm                           	       0        1        1        0        0
15354 deluge-console                     	       0       16       15        1        0
15355 deluge-torrent                     	       0        2        0        0        2
15356 delve                              	       0        1        1        0        0
15357 denemo                             	       0       16       15        1        0
15358 denemo-data                        	       0       18       17        1        0
15359 denemo-doc                         	       0       18        0        0       18
15360 depqbf                             	       0        3        3        0        0
15361 depthcharge-tools                  	       0        1        1        0        0
15362 derivations                        	       0        6        0        0        6
15363 designer-qt6                       	       0       70       70        0        0
15364 designer-qt6-plugins               	       0        2        0        0        2
15365 desklaunch                         	       0        2        2        0        0
15366 deskmenu                           	       0        4        4        0        0
15367 desktop-autoloader                 	       0        1        1        0        0
15368 desktop-base                       	       0     2942        0        0     2942
15369 desktop-base-trinity               	       0       25        0        0       25
15370 desktop-effects-tde-trinity        	       0        1        1        0        0
15371 desktopfolder                      	       0        2        2        0        0
15372 desktopnova                        	       0        1        1        0        0
15373 desktopnova-module-gnome           	       0        1        1        0        0
15374 desktopnova-tray                   	       0        1        1        0        0
15375 detachtty                          	       0        3        3        0        0
15376 detectiteasy                       	       0        1        1        0        0
15377 deutex                             	       0        8        8        0        0
15378 deutex-dbgsym                      	       0        1        1        0        0
15379 devede                             	       0       15       15        0        0
15380 developers-reference               	       0       21       20        0        1
15381 developers-reference-de            	       0        3        0        0        3
15382 developers-reference-fr            	       0        6        0        0        6
15383 developers-reference-ru            	       0        1        0        0        1
15384 devhelp                            	       0       59       57        2        0
15385 devhelp-common                     	       0       64        0        0       64
15386 device3dfx-source                  	       0        1        0        0        1
15387 devilspie2                         	       0        6        6        0        0
15388 devilutionx                        	       0        2        2        0        0
15389 devio                              	       0       11       11        0        0
15390 devkitpro-pacman                   	       0        1        1        0        0
15391 devrplay3                          	       0        2        0        0        2
15392 devscripts-build-deps              	       0        1        0        0        1
15393 devscripts-devuan                  	       0       15       15        0        0
15394 devscripts-el                      	       0        1        0        0        1
15395 devtodo                            	       0        4        4        0        0
15396 devuan-apt-mirror-config-override  	       0        2        0        0        2
15397 devuan-baseconf                    	       0      684        0        0      684
15398 devuan-cups-filters-config-override	       0       12        0        0       12
15399 devuan-indices                     	       0        1        0        0        1
15400 devuan-keyring                     	       0     4158        0        0     4158
15401 devuan-lintian-profile             	       0       18       14        0        4
15402 devuan-speech-dispatcher-config-override	       0        2        0        0        2
15403 devuan-xdm-config-override         	       0        3        0        0        3
15404 dexdump                            	       0       25       25        0        0
15405 dexed                              	       0        1        0        0        1
15406 dexed-lv2                          	       0        2        2        0        0
15407 dexed-vst                          	       0        1        1        0        0
15408 dextractor                         	       0        1        1        0        0
15409 dfc                                	       0       14       13        1        0
15410 dfcgen-gtk                         	       0        5        5        0        0
15411 dfrs                               	       0        4        3        1        0
15412 dfu-programmer                     	       0       17       17        0        0
15413 dgedit                             	       0        1        1        0        0
15414 dgen                               	       0        3        3        0        0
15415 dgit                               	       0        8        7        1        0
15416 dh-acc                             	       0        2        2        0        0
15417 dh-ada-library                     	       0        2        2        0        0
15418 dh-buildinfo                       	       0       16       15        1        0
15419 dh-cargo                           	       0        2        2        0        0
15420 dh-cmake                           	       0        6        6        0        0
15421 dh-consoledata                     	       0        1        1        0        0
15422 dh-cruft                           	       0        2        2        0        0
15423 dh-debputy                         	       0        1        1        0        0
15424 dh-di                              	       0        1        1        0        0
15425 dh-dkms                            	       0       19       18        1        0
15426 dh-dlang                           	       0        1        0        0        1
15427 dh-dlopenlibdeps                   	       0        1        1        0        0
15428 dh-elpa                            	       0        3        3        0        0
15429 dh-golang                          	       0        7        7        0        0
15430 dh-kpatches                        	       0        3        3        0        0
15431 dh-linktree                        	       0        2        2        0        0
15432 dh-lisp                            	       0        2        2        0        0
15433 dh-lua                             	       0        3        3        0        0
15434 dh-make-golang                     	       0        4        4        0        0
15435 dh-make-perl                       	       0        9        9        0        0
15436 dh-make-php                        	       0        1        1        0        0
15437 dh-make-raku                       	       0        1        1        0        0
15438 dh-nodejs                          	       0        1        1        0        0
15439 dh-nss                             	       0        2        2        0        0
15440 dh-ocaml                           	       0        7        7        0        0
15441 dh-octave                          	       0        1        1        0        0
15442 dh-octave-autopkgtest              	       0        1        1        0        0
15443 dh-package-notes                   	       0        2        0        0        2
15444 dh-perl6                           	       0        2        2        0        0
15445 dh-php                             	       0       10        9        1        0
15446 dh-php5                            	       0        4        4        0        0
15447 dh-raku                            	       0        1        1        0        0
15448 dh-runit                           	       0       11       11        0        0
15449 dh-shell-completions               	       0        1        1        0        0
15450 dh-systemd                         	       0       10        0        0       10
15451 dh-sysuser                         	       0        3        3        0        0
15452 dh-vim-addon                       	       0        1        1        0        0
15453 dh-virtualenv                      	       0        6        6        0        0
15454 dhav2mkv                           	       0        1        1        0        0
15455 dhcp-client                        	       0        1        0        0        1
15456 dhcp-helper                        	       0        3        3        0        0
15457 dhcp-probe                         	       0        6        6        0        0
15458 dhcp3-client                       	       0        8        0        0        8
15459 dhcp3-common                       	       0        7        0        0        7
15460 dhcp3-server                       	       0        3        0        0        3
15461 dhcpcd                             	       0       35       33        2        0
15462 dhcpcd-dbus                        	       0        3        0        0        3
15463 dhcpcd-gtk                         	       0        4        4        0        0
15464 dhcping                            	       0       20       20        0        0
15465 dhewm3                             	       0        3        3        0        0
15466 dhewm3-d3xp                        	       0        2        0        0        2
15467 dhewm3-doom3                       	       0        3        0        0        3
15468 dhex                               	       0       20       19        1        0
15469 dhis-client                        	       0        1        1        0        0
15470 dhis-dns-engine                    	       0        1        1        0        0
15471 dhis-server                        	       0        1        1        0        0
15472 dhis-tools-dns                     	       0        1        1        0        0
15473 dhis-tools-genkeys                 	       0        2        2        0        0
15474 di-netboot-assistant               	       0        1        1        0        0
15475 dia-common                         	       0      174        0        0      174
15476 dia-gnome                          	       0        5        0        0        5
15477 dia-libs                           	       0        7        0        0        7
15478 dia-rib-network                    	       0       23        0        0       23
15479 dia-shapes                         	       0      165        0        0      165
15480 dia2code                           	       0       17       15        2        0
15481 diadisvng                          	       0        1        1        0        0
15482 dialign                            	       0        3        3        0        0
15483 dialign-tx                         	       0        4        4        0        0
15484 dialign-tx-data                    	       0        4        0        0        4
15485 diamond-aligner                    	       0        1        1        0        0
15486 dianara                            	       0        1        1        0        0
15487 diatheke                           	       0        5        4        1        0
15488 dibbler-client                     	       0        1        1        0        0
15489 dibbler-doc                        	       0        1        0        0        1
15490 dibbler-server                     	       0        1        1        0        0
15491 dibuja                             	       0        2        2        0        0
15492 diceware                           	       0        9        9        0        0
15493 diceware-doc                       	       0        3        0        0        3
15494 dico                               	       0        1        1        0        0
15495 dico-doc                           	       0        2        0        0        2
15496 dico-module-wordnet                	       0        1        0        0        1
15497 dicod                              	       0        3        3        0        0
15498 dicom3tools                        	       0        6        6        0        0
15499 dicombrowser                       	       0        1        1        0        0
15500 dicomnifti                         	       0        2        2        0        0
15501 dicompyler                         	       0        1        1        0        0
15502 dicomscope                         	       0        9        9        0        0
15503 dicomscope-doc                     	       0        2        0        0        2
15504 dict-bouvier                       	       0        1        0        0        1
15505 dict-cia-2002                      	       0        1        0        0        1
15506 dict-de-en                         	       0        2        0        0        2
15507 dict-devil                         	       0        6        0        0        6
15508 dict-elements                      	       0        4        0        0        4
15509 dict-foldoc                        	       0        9        1        0        8
15510 dict-freedict-afr-deu              	       0        2        0        0        2
15511 dict-freedict-afr-eng              	       0        2        0        0        2
15512 dict-freedict-ara-eng              	       0        2        0        0        2
15513 dict-freedict-bre-fra              	       0        2        0        0        2
15514 dict-freedict-ces-eng              	       0        2        0        0        2
15515 dict-freedict-ckb-kmr              	       0        2        0        0        2
15516 dict-freedict-cym-eng              	       0        2        0        0        2
15517 dict-freedict-dan-eng              	       0        2        0        0        2
15518 dict-freedict-deu-bul              	       0        1        0        0        1
15519 dict-freedict-deu-ell              	       0        1        0        0        1
15520 dict-freedict-deu-eng              	       0        9        1        0        8
15521 dict-freedict-deu-fin              	       0        1        0        0        1
15522 dict-freedict-deu-fra              	       0        4        0        0        4
15523 dict-freedict-deu-ind              	       0        1        0        0        1
15524 dict-freedict-deu-ita              	       0        2        0        0        2
15525 dict-freedict-deu-kur              	       0        2        0        0        2
15526 dict-freedict-deu-nld              	       0        3        0        0        3
15527 dict-freedict-deu-pol              	       0        1        0        0        1
15528 dict-freedict-deu-por              	       0        2        0        0        2
15529 dict-freedict-deu-rus              	       0        3        0        0        3
15530 dict-freedict-deu-spa              	       0        1        0        0        1
15531 dict-freedict-deu-swe              	       0        2        0        0        2
15532 dict-freedict-deu-tur              	       0        2        0        0        2
15533 dict-freedict-ell-bul              	       0        1        0        0        1
15534 dict-freedict-ell-eng              	       0        1        0        0        1
15535 dict-freedict-ell-fin              	       0        1        0        0        1
15536 dict-freedict-ell-fra              	       0        1        0        0        1
15537 dict-freedict-ell-ind              	       0        1        0        0        1
15538 dict-freedict-ell-ita              	       0        1        0        0        1
15539 dict-freedict-ell-jpn              	       0        1        0        0        1
15540 dict-freedict-ell-lat              	       0        1        0        0        1
15541 dict-freedict-ell-lit              	       0        1        0        0        1
15542 dict-freedict-ell-nld              	       0        1        0        0        1
15543 dict-freedict-ell-nor              	       0        1        0        0        1
15544 dict-freedict-ell-pol              	       0        1        0        0        1
15545 dict-freedict-ell-por              	       0        1        0        0        1
15546 dict-freedict-ell-rus              	       0        1        0        0        1
15547 dict-freedict-ell-spa              	       0        1        0        0        1
15548 dict-freedict-ell-swe              	       0        1        0        0        1
15549 dict-freedict-eng-afr              	       0        3        0        0        3
15550 dict-freedict-eng-ara              	       0        3        0        0        3
15551 dict-freedict-eng-bul              	       0        2        0        0        2
15552 dict-freedict-eng-ces              	       0        3        0        0        3
15553 dict-freedict-eng-cym              	       0        3        0        0        3
15554 dict-freedict-eng-dan              	       0        2        0        0        2
15555 dict-freedict-eng-deu              	       0       10        0        0       10
15556 dict-freedict-eng-ell              	       0        3        0        0        3
15557 dict-freedict-eng-fin              	       0        3        0        0        3
15558 dict-freedict-eng-fra              	       0        6        0        0        6
15559 dict-freedict-eng-gle              	       0        4        0        0        4
15560 dict-freedict-eng-hin              	       0        3        0        0        3
15561 dict-freedict-eng-hrv              	       0        3        0        0        3
15562 dict-freedict-eng-hun              	       0        3        0        0        3
15563 dict-freedict-eng-ind              	       0        1        0        0        1
15564 dict-freedict-eng-ita              	       0        6        0        0        6
15565 dict-freedict-eng-jpn              	       0        3        0        0        3
15566 dict-freedict-eng-lat              	       0        4        0        0        4
15567 dict-freedict-eng-lit              	       0        4        0        0        4
15568 dict-freedict-eng-nld              	       0        4        0        0        4
15569 dict-freedict-eng-nor              	       0        2        0        0        2
15570 dict-freedict-eng-pol              	       0        3        0        0        3
15571 dict-freedict-eng-por              	       0        3        0        0        3
15572 dict-freedict-eng-rom              	       0        3        0        0        3
15573 dict-freedict-eng-rus              	       0        6        0        0        6
15574 dict-freedict-eng-spa              	       0        8        0        0        8
15575 dict-freedict-eng-srp              	       0        3        0        0        3
15576 dict-freedict-eng-swe              	       0        5        0        0        5
15577 dict-freedict-eng-swh              	       0        3        0        0        3
15578 dict-freedict-eng-tur              	       0        3        0        0        3
15579 dict-freedict-epo-eng              	       0        2        1        0        1
15580 dict-freedict-fin-bul              	       0        1        0        0        1
15581 dict-freedict-fin-deu              	       0        1        0        0        1
15582 dict-freedict-fin-ell              	       0        2        0        0        2
15583 dict-freedict-fin-eng              	       0        2        0        0        2
15584 dict-freedict-fin-fra              	       0        1        0        0        1
15585 dict-freedict-fin-ind              	       0        1        0        0        1
15586 dict-freedict-fin-ita              	       0        1        0        0        1
15587 dict-freedict-fin-jpn              	       0        1        0        0        1
15588 dict-freedict-fin-lat              	       0        1        0        0        1
15589 dict-freedict-fin-lit              	       0        1        0        0        1
15590 dict-freedict-fin-nld              	       0        1        0        0        1
15591 dict-freedict-fin-nor              	       0        1        0        0        1
15592 dict-freedict-fin-pol              	       0        1        0        0        1
15593 dict-freedict-fin-por              	       0        1        0        0        1
15594 dict-freedict-fin-swe              	       0        1        0        0        1
15595 dict-freedict-fra-bre              	       0        2        0        0        2
15596 dict-freedict-fra-bul              	       0        1        0        0        1
15597 dict-freedict-fra-deu              	       0        5        0        0        5
15598 dict-freedict-fra-ell              	       0        2        0        0        2
15599 dict-freedict-fra-eng              	       0        9        1        0        8
15600 dict-freedict-fra-fin              	       0        1        0        0        1
15601 dict-freedict-fra-ita              	       0        1        0        0        1
15602 dict-freedict-fra-jpn              	       0        1        0        0        1
15603 dict-freedict-fra-lat              	       0        1        0        0        1
15604 dict-freedict-fra-lit              	       0        1        0        0        1
15605 dict-freedict-fra-nld              	       0        2        0        0        2
15606 dict-freedict-fra-pol              	       0        1        0        0        1
15607 dict-freedict-fra-por              	       0        1        0        0        1
15608 dict-freedict-fra-rus              	       0        2        0        0        2
15609 dict-freedict-fra-spa              	       0        1        0        0        1
15610 dict-freedict-fra-swe              	       0        1        0        0        1
15611 dict-freedict-fra-tur              	       0        1        0        0        1
15612 dict-freedict-gla-deu              	       0        2        0        0        2
15613 dict-freedict-gle-eng              	       0        3        0        0        3
15614 dict-freedict-gle-pol              	       0        2        0        0        2
15615 dict-freedict-hrv-eng              	       0        2        0        0        2
15616 dict-freedict-hun-eng              	       0        2        0        0        2
15617 dict-freedict-isl-eng              	       0        2        0        0        2
15618 dict-freedict-ita-bul              	       0        1        0        0        1
15619 dict-freedict-ita-deu              	       0        2        0        0        2
15620 dict-freedict-ita-ell              	       0        2        0        0        2
15621 dict-freedict-ita-eng              	       0        5        0        0        5
15622 dict-freedict-ita-fin              	       0        1        0        0        1
15623 dict-freedict-ita-ind              	       0        1        0        0        1
15624 dict-freedict-ita-jpn              	       0        1        0        0        1
15625 dict-freedict-ita-lit              	       0        1        0        0        1
15626 dict-freedict-ita-nor              	       0        1        0        0        1
15627 dict-freedict-ita-pol              	       0        1        0        0        1
15628 dict-freedict-ita-por              	       0        1        0        0        1
15629 dict-freedict-ita-rus              	       0        1        0        0        1
15630 dict-freedict-ita-spa              	       0        1        0        0        1
15631 dict-freedict-ita-swe              	       0        1        0        0        1
15632 dict-freedict-ita-tur              	       0        1        0        0        1
15633 dict-freedict-jpn-deu              	       0        2        0        0        2
15634 dict-freedict-jpn-eng              	       0        3        1        0        2
15635 dict-freedict-jpn-fra              	       0        2        0        0        2
15636 dict-freedict-jpn-rus              	       0        2        0        0        2
15637 dict-freedict-kha-deu              	       0        2        0        0        2
15638 dict-freedict-kha-eng              	       0        2        0        0        2
15639 dict-freedict-kur-deu              	       0        2        0        0        2
15640 dict-freedict-kur-eng              	       0        2        0        0        2
15641 dict-freedict-kur-tur              	       0        2        0        0        2
15642 dict-freedict-lat-deu              	       0        3        0        0        3
15643 dict-freedict-lat-eng              	       0        4        0        0        4
15644 dict-freedict-lit-eng              	       0        3        0        0        3
15645 dict-freedict-mkd-bul              	       0        2        0        0        2
15646 dict-freedict-nld-bul              	       0        1        0        0        1
15647 dict-freedict-nld-deu              	       0        2        0        0        2
15648 dict-freedict-nld-ell              	       0        1        0        0        1
15649 dict-freedict-nld-eng              	       0        4        1        0        3
15650 dict-freedict-nld-fin              	       0        1        0        0        1
15651 dict-freedict-nld-fra              	       0        2        0        0        2
15652 dict-freedict-nld-ind              	       0        1        0        0        1
15653 dict-freedict-nld-ita              	       0        1        0        0        1
15654 dict-freedict-nld-lat              	       0        1        0        0        1
15655 dict-freedict-nld-lit              	       0        1        0        0        1
15656 dict-freedict-nld-pol              	       0        1        0        0        1
15657 dict-freedict-nld-por              	       0        1        0        0        1
15658 dict-freedict-nld-rus              	       0        1        0        0        1
15659 dict-freedict-nld-spa              	       0        1        0        0        1
15660 dict-freedict-nld-swe              	       0        1        0        0        1
15661 dict-freedict-nno-nob              	       0        2        0        0        2
15662 dict-freedict-oci-cat              	       0        2        0        0        2
15663 dict-freedict-pol-bul              	       0        1        0        0        1
15664 dict-freedict-pol-deu              	       0        1        0        0        1
15665 dict-freedict-pol-ell              	       0        2        0        0        2
15666 dict-freedict-pol-eng              	       0        1        0        0        1
15667 dict-freedict-pol-fin              	       0        1        0        0        1
15668 dict-freedict-pol-fra              	       0        1        0        0        1
15669 dict-freedict-pol-gle              	       0        2        0        0        2
15670 dict-freedict-pol-ind              	       0        1        0        0        1
15671 dict-freedict-pol-ita              	       0        1        0        0        1
15672 dict-freedict-pol-jpn              	       0        1        0        0        1
15673 dict-freedict-pol-nld              	       0        1        0        0        1
15674 dict-freedict-pol-nor              	       0        1        0        0        1
15675 dict-freedict-pol-por              	       0        1        0        0        1
15676 dict-freedict-pol-rus              	       0        1        0        0        1
15677 dict-freedict-pol-spa              	       0        1        0        0        1
15678 dict-freedict-pol-swe              	       0        1        0        0        1
15679 dict-freedict-pol-tur              	       0        1        0        0        1
15680 dict-freedict-por-deu              	       0        2        0        0        2
15681 dict-freedict-por-eng              	       0        3        1        0        2
15682 dict-freedict-por-fra              	       0        1        0        0        1
15683 dict-freedict-por-spa              	       0        1        0        0        1
15684 dict-freedict-san-deu              	       0        2        0        0        2
15685 dict-freedict-slk-eng              	       0        2        0        0        2
15686 dict-freedict-slv-eng              	       0        1        0        0        1
15687 dict-freedict-spa-ast              	       0        2        0        0        2
15688 dict-freedict-spa-deu              	       0        1        0        0        1
15689 dict-freedict-spa-eng              	       0        7        1        0        6
15690 dict-freedict-spa-por              	       0        2        0        0        2
15691 dict-freedict-srp-eng              	       0        2        0        0        2
15692 dict-freedict-swe-bul              	       0        1        0        0        1
15693 dict-freedict-swe-deu              	       0        3        0        0        3
15694 dict-freedict-swe-ell              	       0        2        0        0        2
15695 dict-freedict-swe-eng              	       0        4        0        0        4
15696 dict-freedict-swe-fin              	       0        1        0        0        1
15697 dict-freedict-swe-fra              	       0        1        0        0        1
15698 dict-freedict-swe-ita              	       0        1        0        0        1
15699 dict-freedict-swe-jpn              	       0        1        0        0        1
15700 dict-freedict-swe-lat              	       0        1        0        0        1
15701 dict-freedict-swe-nld              	       0        1        0        0        1
15702 dict-freedict-swe-nor              	       0        1        0        0        1
15703 dict-freedict-swe-pol              	       0        1        0        0        1
15704 dict-freedict-swe-por              	       0        1        0        0        1
15705 dict-freedict-swe-rus              	       0        1        0        0        1
15706 dict-freedict-swe-spa              	       0        1        0        0        1
15707 dict-freedict-swe-tur              	       0        1        0        0        1
15708 dict-freedict-swh-eng              	       0        2        0        0        2
15709 dict-freedict-swh-pol              	       0        2        0        0        2
15710 dict-freedict-tur-deu              	       0        2        0        0        2
15711 dict-freedict-tur-eng              	       0        2        0        0        2
15712 dict-freedict-wol-fra              	       0        1        0        0        1
15713 dict-gazetteer                     	       0        1        0        0        1
15714 dict-gazetteer2k                   	       0        2        0        0        2
15715 dict-gazetteer2k-counties          	       0        2        0        0        2
15716 dict-gazetteer2k-places            	       0        2        0        0        2
15717 dict-gazetteer2k-zips              	       0        2        0        0        2
15718 dict-gcide                         	       0       22        2        0       20
15719 dict-jargon                        	       0       17        2        0       15
15720 dict-moby-thesaurus                	       0        5        0        0        5
15721 dict-vera                          	       0        7        1        0        6
15722 dict-wn                            	       0       18        1        0       17
15723 dictem                             	       0        1        1        0        0
15724 dictfmt                            	       0        2        2        0        0
15725 diction                            	       0        6        6        0        0
15726 dictionary-el                      	       0        1        0        0        1
15727 dictionaryreader.app               	       0        4        3        1        0
15728 dieharder                          	       0        9        9        0        0
15729 dietlibc-dev                       	       0        6        6        0        0
15730 dietlibc-doc                       	       0        3        0        0        3
15731 diff                               	       0       15        0        0       15
15732 diff-pdf                           	       0        1        1        0        0
15733 diff-pdf-wx                        	       0        1        1        0        0
15734 difference                         	       0        1        1        0        0
15735 diffmon                            	       0        2        2        0        0
15736 diffoscope                         	       0       23        1        0       22
15737 diffuse                            	       0       36       36        0        0
15738 diffutils-doc                      	       0       28        0        0       28
15739 digikam-data                       	       0       74        0        0       74
15740 digikam-doc                        	       0        1        0        0        1
15741 digikam-trinity                    	       0        3        3        0        0
15742 digilent.adept.runtime             	       0        1        1        0        0
15743 digilent.waveforms                 	       0        1        1        0        0
15744 digimend-dkms                      	       0        4        4        0        0
15745 digup                              	       0        1        1        0        0
15746 dike6                              	       0        1        0        0        1
15747 dillo-build-deps                   	       0        1        0        0        1
15748 dillo-dbgsym                       	       0        1        1        0        0
15749 dimbl                              	       0        2        2        0        0
15750 dime                               	       0        4        4        0        0
15751 din                                	       0        1        1        0        0
15752 din-data                           	       0        1        0        0        1
15753 dino                               	       0        1        1        0        0
15754 diod                               	       0        2        2        0        0
15755 diogenes                           	       0        1        1        0        0
15756 diploma                            	       0        7        0        0        7
15757 dir2ogg                            	       0       11       11        0        0
15758 dircproxy                          	       0        2        2        0        0
15759 directx-headers-dev                	       0        6        6        0        0
15760 direnv                             	       0       17       17        0        0
15761 direwolf-dbgsym                    	       0        1        1        0        0
15762 dirmngr-dbgsym                     	       0        1        1        0        0
15763 dirsearch                          	       0        1        1        0        0
15764 dis51                              	       0        4        4        0        0
15765 disc-cover                         	       0        8        8        0        0
15766 discord-canary                     	       0        2        2        0        0
15767 discord-ptb                        	       0        2        2        0        0
15768 discord-repo                       	       0        1        0        0        1
15769 discount                           	       0        9        9        0        0
15770 discover1                          	       0        1        0        0        1
15771 discover1-data                     	       0        1        1        0        0
15772 disk-filltest                      	       0        3        3        0        0
15773 disk-manager                       	       0       16       16        0        0
15774 disksearch                         	       0        1        1        0        0
15775 disorderfs                         	       0        2        2        0        0
15776 disper                             	       0        3        3        0        0
15777 display-dhammapada                 	       0        4        4        0        0
15778 displaycal                         	       0        6        6        0        0
15779 dissenter-browser                  	       0        2        1        0        1
15780 dist                               	       0        2        2        0        0
15781 distcc                             	       0        9        8        1        0
15782 distcc-pump                        	       0        3        3        0        0
15783 distccmon-gnome                    	       0        4        4        0        0
15784 distrho-plugin-ports-lv2           	       0        1        1        0        0
15785 distro-defaults                    	       0        1        0        0        1
15786 distro-info-data                   	       0     3809        0        0     3809
15787 distrobox                          	       0        6        6        0        0
15788 distrobuilder                      	       0        6        6        0        0
15789 distrobuilder-images               	       0        6        0        0        6
15790 disulfinder                        	       0        1        1        0        0
15791 disulfinder-data                   	       0        1        0        0        1
15792 dita-ot                            	       0        1        0        0        1
15793 dita-ot-doc                        	       0        1        0        0        1
15794 dive                               	       0        2        2        0        0
15795 diveintopython                     	       0        1        0        0        1
15796 divfix++                           	       0        1        1        0        0
15797 divi-desktop                       	       0        1        0        0        1
15798 divxenc                            	       0        2        2        0        0
15799 dizzy                              	       0       42       42        0        0
15800 dj64                               	       0        1        0        0        1
15801 djbdns                             	       0        2        2        0        0
15802 djbdns-conf                        	       0        1        1        0        0
15803 djdev64                            	       0        1        0        0        1
15804 djdev64-dev                        	       0        1        1        0        0
15805 djmount                            	       0        2        2        0        0
15806 djtools                            	       0        8        8        0        0
15807 djview                             	       0        9        0        0        9
15808 djview-plugin                      	       0        6        6        0        0
15809 djview3                            	       0        1        0        0        1
15810 djview4                            	       0       40       38        2        0
15811 djvu-imager-qt                     	       0        1        1        0        0
15812 djvu2pdf                           	       0        2        2        0        0
15813 djvubind                           	       0        5        5        0        0
15814 djvufix                            	       0        1        1        0        0
15815 djvulibre-desktop                  	       0       46        0        0       46
15816 djvulibre-plugin                   	       0        3        0        0        3
15817 djvuserve                          	       0        1        1        0        0
15818 dkimpy-milter                      	       0        1        1        0        0
15819 dkms-test-dkms                     	       0        1        0        0        1
15820 dkopp                              	       0        4        4        0        0
15821 dl10n                              	       0        2        2        0        0
15822 dlang-libevent                     	       0        1        0        0        1
15823 dlang-openssl                      	       0        2        0        0        2
15824 dleyna-renderer                    	       0        8        8        0        0
15825 dlint                              	       0       10       10        0        0
15826 dlmodelbox                         	       0        1        1        0        0
15827 dlume                              	       0        2        2        0        0
15828 dlz-ldap-enum                      	       0        1        0        0        1
15829 dmake                              	       0        2        2        0        0
15830 dmarc-cat                          	       0        6        6        0        0
15831 dmarcts-report-parser              	       0        2        2        0        0
15832 dmd                                	       0        4        4        0        0
15833 dmg2img-dbgsym                     	       0        1        1        0        0
15834 dmktools                           	       0        5        5        0        0
15835 dmo-archive-keyring                	       0        2        0        0        2
15836 dmo-archive-keyring-udeb           	       0        1        0        0        1
15837 dmraid                             	       0       30       29        1        0
15838 dmtracedump                        	       0       85       85        0        0
15839 dmtx-utils                         	       0       10       10        0        0
15840 dmucs                              	       0        2        2        0        0
15841 dmz-cursor-theme                   	       0     2963        0        0     2963
15842 dnaclust                           	       0        1        1        0        0
15843 dnet-common                        	       0        1        1        0        0
15844 dnf                                	       0        3        3        0        0
15845 dnf-data                           	       0        3        3        0        0
15846 dnf-doc                            	       0        1        0        0        1
15847 dns-browse                         	       0        4        4        0        0
15848 dns-root-data                      	       0     2924        0        0     2924
15849 dns2tcp                            	       0        2        2        0        0
15850 dns323-firmware-tools              	       0        3        3        0        0
15851 dnscache                           	       0        1        1        0        0
15852 dnscap                             	       0        2        2        0        0
15853 dnsdiag                            	       0        7        7        0        0
15854 dnshistory                         	       0        1        1        0        0
15855 dnsjit                             	       0        3        3        0        0
15856 dnsmap                             	       0        1        1        0        0
15857 dnsmasq-utils                      	       0       21       21        0        0
15858 dnsperf                            	       0        4        4        0        0
15859 dnsproxy                           	       0        1        1        0        0
15860 dnspyre                            	       0        1        1        0        0
15861 dnss                               	       0        1        1        0        0
15862 dnssec-trigger                     	       0        1        1        0        0
15863 dnsvi                              	       0        1        1        0        0
15864 dnsviz                             	       0        1        1        0        0
15865 dnswalk                            	       0        6        6        0        0
15866 doas                               	       0       62        3        0       59
15867 doc-base-build-deps                	       0        1        0        0        1
15868 doc-central                        	       0        2        2        0        0
15869 doc-debian                         	       0     3722        0        0     3722
15870 doc-debian-es                      	       0        1        0        0        1
15871 doc-debian-fr                      	       0        4        0        0        4
15872 doc-iana                           	       0        1        0        0        1
15873 doc-linux-de                       	       0        3        0        0        3
15874 doc-linux-fr-html                  	       0        1        0        0        1
15875 doc-linux-fr-pdf                   	       0        1        0        0        1
15876 doc-linux-fr-text                  	       0        5        0        0        5
15877 doc-linux-html                     	       0        2        0        0        2
15878 doc-linux-nonfree-html             	       0        2        0        0        2
15879 doc-linux-nonfree-text             	       0        2        0        0        2
15880 doc-linux-text                     	       0       20        0        0       20
15881 doc-rfc                            	       0        6        0        0        6
15882 doc-rfc-experimental               	       0        7        0        0        7
15883 doc-rfc-fyi-bcp                    	       0        7        0        0        7
15884 doc-rfc-informational              	       0        7        0        0        7
15885 doc-rfc-misc                       	       0        8        0        0        8
15886 doc-rfc-old-std                    	       0        7        0        0        7
15887 doc-rfc-others                     	       0        7        0        0        7
15888 doc-rfc-std                        	       0       11        0        0       11
15889 doc-rfc-std-proposed               	       0        8        0        0        8
15890 docbook                            	       0      102        0        0      102
15891 docbook-defguide                   	       0       29        0        0       29
15892 docbook-doc                        	       0        2        0        0        2
15893 docbook-dsssl                      	       0       97       96        1        0
15894 docbook-dsssl-doc                  	       0       13        0        0       13
15895 docbook-ebnf                       	       0        1        0        0        1
15896 docbook-html-forms                 	       0        3        0        0        3
15897 docbook-mathml                     	       0        6        0        0        6
15898 docbook-simple                     	       0        3        0        0        3
15899 docbook-slides                     	       0        2        0        0        2
15900 docbook-slides-demo                	       0        1        0        0        1
15901 docbook-to-man                     	       0       68       67        1        0
15902 docbook-utils                      	       0       69       68        1        0
15903 docbook-website                    	       0        1        0        0        1
15904 docbook-xml                        	       0     2020        0        0     2020
15905 docbook-xsl                        	       0      856        0        0      856
15906 docbook-xsl-doc                    	       0        1        0        0        1
15907 docbook-xsl-doc-html               	       0       16        0        0       16
15908 docbook-xsl-doc-pdf                	       0        7        0        0        7
15909 docbook-xsl-doc-text               	       0        5        0        0        5
15910 docbook-xsl-ns                     	       0       27        0        0       27
15911 docbook-xsl-saxon                  	       0        6        0        0        6
15912 docbook2odf                        	       0        1        1        0        0
15913 docbook2x                          	       0        7        7        0        0
15914 docbook5-xml                       	       0       22        0        0       22
15915 docdiff                            	       0        5        5        0        0
15916 docker                             	       0       62        2        0       60
15917 docker-buildx-plugin               	       0       74        0        0       74
15918 docker-ce-rootless-extras          	       0       13       13        0        0
15919 docker-clean                       	       0        1        1        0        0
15920 docker-cli                         	       0       17       16        1        0
15921 docker-compose-plugin              	       0       79        1        0       78
15922 docker-ctop                        	       0        1        1        0        0
15923 docker-desktop                     	       0        2        2        0        0
15924 docker-doc                         	       0       15        0        0       15
15925 docker-gen                         	       0        1        1        0        0
15926 docker-scan-plugin                 	       0       16        0        0       16
15927 docknot                            	       0        2        2        0        0
15928 doclifter                          	       0        1        1        0        0
15929 doctest                            	       0        1        1        0        0
15930 doctest-dev                        	       0        2        2        0        0
15931 doctorj                            	       0        1        1        0        0
15932 docutils-common                    	       0      469        0        0      469
15933 docutils-doc                       	       0      277        0        0      277
15934 docview                            	       0        2        2        0        0
15935 dodgindiamond2                     	       0        3        3        0        0
15936 dodgy                              	       0        1        1        0        0
15937 dogecoin                           	       0        1        1        0        0
15938 dokujclient                        	       0        1        1        0        0
15939 dolfinx-doc                        	       0        1        0        0        1
15940 dolibarr                           	       0        2        2        0        0
15941 dolphin-data                       	       0       21        2        0       19
15942 dolphin-dev                        	       0        5        0        0        5
15943 dolphin-doc                        	       0       20        0        0       20
15944 dolphin-emu-data                   	       0       14        0        0       14
15945 dolphin-emu-git20151129            	       0        1        1        0        0
15946 dolphin-emulator                   	       0        1        1        0        0
15947 dolphin-megasync                   	       0        2        0        0        2
15948 dolphin-trinity                    	       0        1        1        0        0
15949 dolphin4                           	       0       14       14        0        0
15950 donkey-bolonkey                    	       0        1        1        0        0
15951 dooble                             	       0        2        2        0        0
15952 doodle                             	       0        1        1        0        0
15953 doom-alientc                       	       0        1        1        0        0
15954 doom-chretro                       	       0        1        1        0        0
15955 doom-classicep                     	       0        1        1        0        0
15956 doom-e1m4b-wad                     	       0        1        0        0        1
15957 doom-e1m8b-wad                     	       0        1        0        0        1
15958 doom-end2                          	       0        1        1        0        0
15959 doom-episode-hell                  	       0        1        1        0        0
15960 doom-eternity                      	       0        1        1        0        0
15961 doom-inferno                       	       0        1        1        0        0
15962 doom-iwad                          	       0        1        0        0        1
15963 doom-nhflep1                       	       0        1        1        0        0
15964 doom-odyssey-e1                    	       0        1        1        0        0
15965 doom-par                           	       0        1        1        0        0
15966 doom-sigil-wad                     	       0        1        0        0        1
15967 doom-subversion                    	       0        1        1        0        0
15968 doom-wad                           	       0        3        0        0        3
15969 doom-wad-shareware                 	       0       10        0        0       10
15970 doom2-alienvendetta                	       0        1        1        0        0
15971 doom2-eternaldoom                  	       0        1        1        0        0
15972 doom2-masterlevels-wad             	       0        1        0        0        1
15973 doom2-mordethep1                   	       0        1        1        0        0
15974 doom2-twilightzone                 	       0        1        1        0        0
15975 doom2-wad                          	       0        4        0        0        4
15976 doomlegacy-data                    	       0        1        0        0        1
15977 doomlegacy-sdl                     	       0        1        1        0        0
15978 doomsday                           	       0       12       11        1        0
15979 doomsday-common                    	       0       10        9        1        0
15980 doomsday-data                      	       0       10        0        0       10
15981 doomseeker                         	       0        3        3        0        0
15982 doomseeker-zandronum               	       0        3        0        0        3
15983 dopewars                           	       0       12       12        0        0
15984 dopewars-data                      	       0       12        0        0       12
15985 dosage                             	       0        2        2        0        0
15986 dosbox-debug                       	       0        5        5        0        0
15987 dosbox-x                           	       0        4        4        0        0
15988 dosbox-x-data                      	       0        4        0        0        4
15989 dose-builddebcheck                 	       0        3        3        0        0
15990 dose-distcheck                     	       0        5        5        0        0
15991 dose-extra                         	       0        7        7        0        0
15992 dosemu                             	       0       16       16        0        0
15993 dosemu2                            	       0        1        1        0        0
15994 dossizola                          	       0        6        6        0        0
15995 dossizola-data                     	       0        6        0        0        6
15996 dot2tex                            	       0       15       15        0        0
15997 dotdrop                            	       0        1        1        0        0
15998 dotmcp                             	       0        1        1        0        0
15999 dotnet-apphost-pack-3.1            	       0        2        2        0        0
16000 dotnet-apphost-pack-5.0            	       0        3        3        0        0
16001 dotnet-apphost-pack-6.0            	       0        9        8        1        0
16002 dotnet-apphost-pack-7.0            	       0        8        7        1        0
16003 dotnet-apphost-pack-8.0            	       0       14       11        3        0
16004 dotnet-apphost-pack-9.0            	       0        1        1        0        0
16005 dotnet-hostfxr-2.1                 	       0        1        0        0        1
16006 dotnet-hostfxr-2.2                 	       0        1        0        0        1
16007 dotnet-hostfxr-3.1                 	       0        2        0        0        2
16008 dotnet-hostfxr-5.0                 	       0        4        0        0        4
16009 dotnet-hostfxr-6.0                 	       0       11        0        0       11
16010 dotnet-hostfxr-7.0                 	       0       11        1        0       10
16011 dotnet-hostfxr-8.0                 	       0       14        0        1       13
16012 dotnet-hostfxr-9.0                 	       0        1        0        0        1
16013 dotnet-runtime-2.1                 	       0        1        1        0        0
16014 dotnet-runtime-2.2                 	       0        1        1        0        0
16015 dotnet-runtime-3.1                 	       0        1        1        0        0
16016 dotnet-runtime-5.0                 	       0        4        4        0        0
16017 dotnet-runtime-6.0                 	       0       11        0        0       11
16018 dotnet-runtime-7.0                 	       0       11        1        0       10
16019 dotnet-runtime-8.0                 	       0       14        0        1       13
16020 dotnet-runtime-9.0                 	       0        1        0        0        1
16021 dotnet-runtime-deps-2.1            	       0        1        0        0        1
16022 dotnet-runtime-deps-2.2            	       0        1        0        0        1
16023 dotnet-runtime-deps-3.1            	       0        2        0        0        2
16024 dotnet-runtime-deps-5.0            	       0        4        0        0        4
16025 dotnet-runtime-deps-6.0            	       0       11        0        0       11
16026 dotnet-runtime-deps-7.0            	       0       11        0        0       11
16027 dotnet-runtime-deps-8.0            	       0       14        0        0       14
16028 dotnet-runtime-deps-9.0            	       0        1        0        0        1
16029 dotnet-sdk-2.1                     	       0        1        1        0        0
16030 dotnet-sdk-2.2                     	       0        1        1        0        0
16031 dotnet-sdk-3.1                     	       0        1        1        0        0
16032 dotnet-sdk-5.0                     	       0        3        3        0        0
16033 dotnet-sdk-6.0                     	       0        9        8        1        0
16034 dotnet-sdk-7.0                     	       0        8        7        1        0
16035 dotnet-sdk-8.0                     	       0       14       11        3        0
16036 dotnet-sdk-9.0                     	       0        1        1        0        0
16037 dotnet-targeting-pack-3.1          	       0        2        0        0        2
16038 dotnet-targeting-pack-5.0          	       0        3        0        0        3
16039 dotnet-targeting-pack-6.0          	       0        9        0        0        9
16040 dotnet-targeting-pack-7.0          	       0        8        0        0        8
16041 dotnet-targeting-pack-8.0          	       0       14        0        0       14
16042 dotnet-targeting-pack-9.0          	       0        1        0        0        1
16043 dotter                             	       0        2        2        0        0
16044 doublecmd-gtk                      	       0       32       30        2        0
16045 doublecmd-help-en                  	       0       15        0        0       15
16046 doublecmd-help-uk                  	       0        2        0        0        2
16047 dov4l                              	       0        7        7        0        0
16048 dovecot-antispam                   	       0        7        7        0        0
16049 dovecot-common                     	       0        1        0        0        1
16050 dovecot-dev                        	       0        4        4        0        0
16051 dovecot-solr                       	       0        3        3        0        0
16052 dovecot-sqlite                     	       0        6        6        0        0
16053 dovecot-submissiond                	       0        9        7        2        0
16054 doxygen-awesome-css                	       0        4        0        0        4
16055 doxygen-doc                        	       0       16        0        0       16
16056 doxygen-doxyparse                  	       0        7        6        1        0
16057 doxygen-gui                        	       0       25       24        1        0
16058 doxygen-latex                      	       0       23        0        0       23
16059 doxygen2man                        	       0        4        3        1        0
16060 doxymacs                           	       0        1        1        0        0
16061 dpak                               	       0        1        1        0        0
16062 dpaste                             	       0        2        2        0        0
16063 dpatch                             	       0       19       19        0        0
16064 dpdk                               	       0        1        1        0        0
16065 dpdk-dev                           	       0        1        1        0        0
16066 dpdk-doc                           	       0        2        2        0        0
16067 dpdk-kmods-dkms                    	       0        1        1        0        0
16068 dpf-plugins                        	       0        3        2        0        1
16069 dpf-plugins-common                 	       0        4        3        1        0
16070 dpf-plugins-dssi                   	       0        2        1        0        1
16071 dpf-plugins-ladspa                 	       0        3        2        1        0
16072 dpf-plugins-lv2                    	       0        2        2        0        0
16073 dpf-plugins-vst                    	       0        2        1        0        1
16074 dphys-swapfile                     	       0        1        1        0        0
16075 dpic                               	       0        1        1        0        0
16076 dpitunnelcli                       	       0        1        1        0        0
16077 dpkg-awk                           	       0        9        8        1        0
16078 dpkg-dbgsym                        	       0        1        1        0        0
16079 dpkg-dev-el                        	       0        2        0        0        2
16080 dpkg-doc                           	       0        1        0        0        1
16081 dpkg-source-gitarchive             	       0        1        1        0        0
16082 dpkg-www                           	       0        6        6        0        0
16083 dpt-i2o-raidutils                  	       0        1        1        0        0
16084 dpuser                             	       0        2        2        0        0
16085 dpuser-doc                         	       0        1        0        0        1
16086 dput-ng                            	       0        6        6        0        0
16087 dq                                 	       0        8        8        0        0
16088 dqcache                            	       0        4        4        0        0
16089 dqcache-run                        	       0        2        0        0        2
16090 draai                              	       0        1        1        0        0
16091 draco                              	       0        2        2        0        0
16092 dracula-gtk                        	       0        1        0        0        1
16093 dracut                             	       0        2        0        0        2
16094 dracut-core                        	       0        9        8        1        0
16095 dradio                             	       0        1        1        0        0
16096 draftsight                         	       0        1        1        0        0
16097 dragon-drag-and-drop               	       0        2        2        0        0
16098 dragonfly-reverb                   	       0        1        0        0        1
16099 dragonfly-reverb-lv2               	       0        3        3        0        0
16100 dragonfly-reverb-standalone        	       0        1        1        0        0
16101 dragonfly-reverb-vst               	       0        1        1        0        0
16102 drascula-french                    	       0        2        0        0        2
16103 drascula-german                    	       0        4        0        0        4
16104 drascula-italian                   	       0        1        0        0        1
16105 drascula-music                     	       0       20        0        0       20
16106 drascula-spanish                   	       0        1        0        0        1
16107 draw.io                            	       0       24        0        0       24
16108 drawterm                           	       0        1        1        0        0
16109 drawtiming                         	       0        4        4        0        0
16110 drbd-dkms                          	       0        2        2        0        0
16111 drbd-doc                           	       0        1        0        0        1
16112 drbd-ueficert                      	       0        2        0        0        2
16113 drbd0.7-module-source              	       0        1        0        0        1
16114 drbl                               	       0       35       35        0        0
16115 drc                                	       0        2        2        0        0
16116 drcontrol                          	       0        1        0        0        1
16117 drdteam-libfluidsynth3             	       0        2        0        0        2
16118 dreamchess-data                    	       0       15        0        0       15
16119 drgeo-doc                          	       0        1        0        0        1
16120 driconf                            	       0        2        2        0        0
16121 driftnet                           	       0        4        4        0        0
16122 drill-search-gtk                   	       0        1        1        0        0
16123 driverctl                          	       0        7        7        0        0
16124 drm-info                           	       0       12       12        0        0
16125 drmodelica                         	       0        1        0        0        1
16126 drmr                               	       0        2        2        0        0
16127 droidbattles                       	       0        1        1        0        0
16128 droidcam-client                    	       0        1        1        0        0
16129 drool                              	       0        1        1        0        0
16130 droopy                             	       0        1        1        0        0
16131 dropbear                           	       0        9        3        0        6
16132 dropbear-bin                       	       0       21       21        0        0
16133 dropbear-initramfs                 	       0       13        0        0       13
16134 dropbear-run                       	       0        3        0        0        3
16135 drowaudio-plugins-lv2              	       0        1        1        0        0
16136 drraw                              	       0        1        1        0        0
16137 drsync                             	       0        1        1        0        0
16138 drumgizmo                          	       0        7        7        0        0
16139 drumkv1                            	       0        7        7        0        0
16140 drumkv1-common                     	       0        8        1        0        7
16141 drumkv1-lv2                        	       0        4        4        0        0
16142 drumstick-data                     	       0       24        0        0       24
16143 drumstick-tools                    	       0       10        9        1        0
16144 drupal7                            	       0        1        1        0        0
16145 dsdcc                              	       0        6        6        0        0
16146 dsdunpack                          	       0        1        1        0        0
16147 dselect-dbgsym                     	       0        1        1        0        0
16148 dsh                                	       0        5        5        0        0
16149 dspam                              	       0        1        1        0        0
16150 dspdfviewer                        	       0        4        4        0        0
16151 dspy-common                        	       0        1        0        0        1
16152 dssi-dev                           	       0        5        5        0        0
16153 dssi-example-plugins               	       0        6        6        0        0
16154 dssi-host-jack                     	       0       13       13        0        0
16155 dssi-utils                         	       0       14       14        0        0
16156 dssim                              	       0        1        1        0        0
16157 dssp                               	       0        2        2        0        0
16158 dt-schema                          	       0        1        1        0        0
16159 dtaus                              	       0        1        1        0        0
16160 dtdinst                            	       0        1        1        0        0
16161 dte                                	       0        1        1        0        0
16162 dtmf2num                           	       0        3        3        0        0
16163 dtmfdial                           	       0        1        1        0        0
16164 dtrx                               	       0       10       10        0        0
16165 dtv-scan-tables                    	       0       29        0        0       29
16166 du-dust                            	       0        3        3        0        0
16167 dub                                	       0        4        4        0        0
16168 dublin-traceroute                  	       0        4        4        0        0
16169 duc                                	       0       15       15        0        0
16170 duc-nox                            	       0        1        1        0        0
16171 duck                               	       0        5        5        0        0
16172 duckietv                           	       0        1        1        0        0
16173 ducktype                           	       0        3        3        0        0
16174 duende                             	       0        2        2        0        0
16175 duf                                	       0       28       27        1        0
16176 duke3d-shareware                   	       0        1        0        0        1
16177 duktape                            	       0        2        2        0        0
16178 duktape-dev                        	       0        6        5        1        0
16179 dummy-logind                       	       0       11        0        0       11
16180 dummy-systemd-dev                  	       0        3        0        0        3
16181 dump1090-mutability                	       0        5        5        0        0
16182 dumpasn1                           	       0        5        5        0        0
16183 dumpet                             	       0        4        4        0        0
16184 dumphd                             	       0        5        5        0        0
16185 dumpvdl2                           	       0        1        1        0        0
16186 dune                               	       0        2        0        0        2
16187 dunelegacy                         	       0        5        5        0        0
16188 dungeondraft                       	       0        1        0        0        1
16189 dupeguru                           	       0       16       16        0        0
16190 dupfiles                           	       0        1        1        0        0
16191 duply                              	       0       12       12        0        0
16192 dupot-easy-flatpak                 	       0        2        0        0        2
16193 durep                              	       0        5        5        0        0
16194 dus                                	       0        2        2        0        0
16195 dustforce                          	       0        1        0        0        1
16196 dustracing2d                       	       0        2        2        0        0
16197 dustracing2d-data                  	       0        2        0        0        2
16198 dv2sub                             	       0        1        1        0        0
16199 dv4l                               	       0        6        6        0        0
16200 dvb-tools                          	       0       16       16        0        0
16201 dvbackup                           	       0        3        3        0        0
16202 dvbcut                             	       0        6        6        0        0
16203 dvblast                            	       0        5        5        0        0
16204 dvbpsi-utils                       	       0        3        3        0        0
16205 dvbsnoop                           	       0        2        2        0        0
16206 dvbstream                          	       0        4        4        0        0
16207 dvbstreamer                        	       0        3        3        0        0
16208 dvbtune                            	       0        9        9        0        0
16209 dvd-slideshow                      	       0        6        6        0        0
16210 dvda-author                        	       0        1        1        0        0
16211 dvdae                              	       0        2        2        0        0
16212 dvdbackup                          	       0       55       54        1        0
16213 dvdbackup-dbg                      	       0        3        3        0        0
16214 dvdisaster-doc                     	       0       26        0        0       26
16215 dvdrip-doc                         	       0        1        0        0        1
16216 dvdrtools                          	       0        3        3        0        0
16217 dvdstyler                          	       0        5        5        0        0
16218 dvdstyler-data                     	       0        6        0        0        6
16219 dvdtape                            	       0        3        3        0        0
16220 dvhtool                            	       0        1        1        0        0
16221 dvi2dvi                            	       0        8        8        0        0
16222 dvi2ps                             	       0        8        8        0        0
16223 dvi2ps-fontdata-a2n                	       0        2        0        0        2
16224 dvi2ps-fontdata-ja                 	       0        7        0        0        7
16225 dvi2ps-fontdata-n2a                	       0        6        0        0        6
16226 dvi2ps-fontdata-ptexfake           	       0        1        0        0        1
16227 dvi2ps-fontdata-rsp                	       0        2        0        0        2
16228 dvi2ps-fontdata-tbank              	       0        2        0        0        2
16229 dvi2ps-fontdata-three              	       0        2        0        0        2
16230 dvidvi                             	       0       99       96        3        0
16231 dvilx                              	       0        2        2        0        0
16232 dvipost                            	       0        1        1        0        0
16233 dvips-fontdata-n2bk                	       0        1        0        0        1
16234 dvorak7min                         	       0        5        5        0        0
16235 dwarf-fortress                     	       0       12       12        0        0
16236 dwarf-fortress-data                	       0       13        0        0       13
16237 dwarf2sources                      	       0        2        2        0        0
16238 dwarfs-bin                         	       0        1        1        0        0
16239 dwarves                            	       0       53        7        0       46
16240 dwdiff                             	       0       12       12        0        0
16241 dweb                               	       0        1        1        0        0
16242 dwg2xxx                            	       0        1        0        0        1
16243 dwgsim                             	       0        1        1        0        0
16244 dwm                                	       0       37       37        0        0
16245 dx                                 	       0        6        6        0        0
16246 dx-doc                             	       0        6        0        0        6
16247 dxc                                	       0        1        1        0        0
16248 dxf2gcode                          	       0        8        8        0        0
16249 dxirc-data                         	       0        1        0        0        1
16250 dxirc-fox                          	       0        1        1        0        0
16251 dxpc                               	       0        1        1        0        0
16252 dxsamples                          	       0        6        6        0        0
16253 dxvk                               	       0       10       10        0        0
16254 dxvk-wine32                        	       0        3        3        0        0
16255 dxvk-wine32-development            	       0        4        3        0        1
16256 dxvk-wine64                        	       0        4        4        0        0
16257 dxvk-wine64-development            	       0        8        7        0        1
16258 dynamips                           	       0        6        6        0        0
16259 dynamite                           	       0        5        5        0        0
16260 dynare                             	       0        1        1        0        0
16261 dynare-doc                         	       0        1        0        0        1
16262 dysk                               	       0        2        2        0        0
16263 dzen2                              	       0       25       25        0        0
16264 e-wrapper                          	       0        1        1        0        0
16265 e1000e-dkms                        	       0        1        1        0        0
16266 e16-epplets                        	       0        1        0        0        1
16267 e16keyedit                         	       0        3        3        0        0
16268 e16menuedit2                       	       0        3        3        0        0
16269 e17                                	       0        6        1        0        5
16270 e17-data                           	       0        2        0        0        2
16271 e2fslibs                           	       0      747        0        0      747
16272 e2fslibs-dev                       	       0        5        0        0        5
16273 e2fsprogs-l10n                     	       0      539        0        0      539
16274 e2guardian                         	       0        1        1        0        0
16275 e2ps                               	       0        4        4        0        0
16276 e2undel                            	       0        3        3        0        0
16277 e2wm                               	       0        2        2        0        0
16278 e3                                 	       0        7        7        0        0
16279 eag-healpix-java                   	       0        1        0        0        1
16280 eagle                              	       0        1        1        0        0
16281 eagle-data                         	       0        1        1        0        0
16282 eapoltest                          	       0        2        2        0        0
16283 earcandy                           	       0        1        1        0        0
16284 easychem                           	       0       12       11        1        0
16285 easyeffects                        	       0       23       23        0        0
16286 easygen                            	       0        2        2        0        0
16287 easygit                            	       0        4        4        0        0
16288 easykontakt                        	       0        1        1        0        0
16289 easymp3gain                        	       0        1        1        0        0
16290 easymp3gain-data                   	       0        1        0        0        1
16291 easyroam-desktop                   	       0        1        0        0        1
16292 easyspice                          	       0        2        2        0        0
16293 easyssh                            	       0        3        3        0        0
16294 easyssp-lv2                        	       0        1        1        0        0
16295 eb-utils                           	       0        1        1        0        0
16296 eblook                             	       0        1        1        0        0
16297 ebnflint                           	       0        2        2        0        0
16298 ebook-dev-alp                      	       0        3        3        0        0
16299 ebook-speaker                      	       0       11       11        0        0
16300 ebook-tools-dbg                    	       0        1        1        0        0
16301 ebook2epub                         	       0        9        9        0        0
16302 ebook2odt                          	       0        6        6        0        0
16303 ebumeter                           	       0        2        2        0        0
16304 ecasound                           	       0       17       17        0        0
16305 ecasound-el                        	       0        1        1        0        0
16306 ecatools                           	       0        6        6        0        0
16307 ecb                                	       0        1        1        0        0
16308 ecdsautils                         	       0        1        1        0        0
16309 echolot                            	       0        1        1        0        0
16310 ecj                                	       0       17       17        0        0
16311 ecj-gcj                            	       0        5        5        0        0
16312 ecj1                               	       0        2        2        0        0
16313 ecl                                	       0       21       21        0        0
16314 eclib-tools                        	       0       11       11        0        0
16315 eclipse                            	       0        1        0        0        1
16316 eclipse-cdt                        	       0        1        0        0        1
16317 eclipse-cdt-jni                    	       0        1        0        0        1
16318 eclipse-debian-helper              	       0        3        3        0        0
16319 eclipse-emf                        	       0        1        0        0        1
16320 eclipse-jdt                        	       0        1        0        0        1
16321 eclipse-pde                        	       0        1        1        0        0
16322 eclipse-platform                   	       0        1        1        0        0
16323 eclipse-platform-data              	       0        2        2        0        0
16324 eclipse-rcp                        	       0        1        1        0        0
16325 eclipse-rse                        	       0        1        0        0        1
16326 eclipse-titan                      	       0        2        2        0        0
16327 ecm                                	       0        1        1        0        0
16328 ecodmsclient                       	       0        1        0        0        1
16329 ecodmsserver                       	       0        1        1        0        0
16330 ecopcr                             	       0        1        1        0        0
16331 ecrire                             	       0        1        1        0        0
16332 edb-debugger                       	       0        5        5        0        0
16333 edb-debugger-plugins               	       0        6        0        0        6
16334 eddie-cli                          	       0        2        2        0        0
16335 eddie-ui                           	       0        3        3        0        0
16336 edenmath.app                       	       0        4        3        1        0
16337 edfbrowser                         	       0        2        2        0        0
16338 edge                               	       0        1        1        0        0
16339 edict                              	       0       84       81        2        1
16340 edisplay                           	       0        1        1        0        0
16341 editmoin                           	       0        1        1        0        0
16342 editorconfig-doc                   	       0        1        0        0        1
16343 editorconfig-geany                 	       0        1        0        0        1
16344 edlib-aligner                      	       0        1        1        0        0
16345 edrlab.thoriumreader               	       0        1        0        0        1
16346 edtsurf                            	       0        1        1        0        0
16347 education-astronomy                	       0        6        0        0        6
16348 education-chemistry                	       0        6        0        0        6
16349 education-common                   	       0        6        0        0        6
16350 education-desktop-cinnamon         	       0        3        0        0        3
16351 education-desktop-gnome            	       0        1        0        0        1
16352 education-desktop-kde              	       0        2        0        0        2
16353 education-desktop-lxde             	       0        1        0        0        1
16354 education-desktop-lxqt             	       0        1        0        0        1
16355 education-desktop-mate             	       0        1        0        0        1
16356 education-desktop-other            	       0        1        0        0        1
16357 education-desktop-xfce             	       0        3        0        0        3
16358 education-development              	       0        1        0        0        1
16359 education-electronics              	       0        9        0        0        9
16360 education-geography                	       0        8        0        0        8
16361 education-graphics                 	       0        7        0        0        7
16362 education-highschool               	       0        1        0        0        1
16363 education-language                 	       0        6        0        0        6
16364 education-logic-games              	       0        6        0        0        6
16365 education-mathematics              	       0        6        0        0        6
16366 education-menus                    	       0       12        0        0       12
16367 education-misc                     	       0        6        0        0        6
16368 education-music                    	       0        6        0        0        6
16369 education-physics                  	       0        6        0        0        6
16370 education-preschool                	       0        1        0        0        1
16371 education-tasks                    	       0       12       12        0        0
16372 education-video                    	       0        1        0        0        1
16373 eduvpn-client                      	       0        5        5        0        0
16374 eduvpn-client-data                 	       0        5        0        0        5
16375 eeepc-acpi-scripts                 	       0        1        1        0        0
16376 eekboek                            	       0        1        1        0        0
16377 eekboek-gui                        	       0        1        1        0        0
16378 efax                               	       0       10       10        0        0
16379 efax-gtk                           	       0        2        2        0        0
16380 effectv                            	       0        1        1        0        0
16381 efingerd                           	       0        3        3        0        0
16382 efl-doc                            	       0        1        1        0        0
16383 efp                                	       0        3        0        0        3
16384 efte                               	       0        4        4        0        0
16385 eggs                               	       0        1        1        0        0
16386 eglexternalplatform-dev            	       0        4        4        0        0
16387 egoboo                             	       0        1        1        0        0
16388 egoboo-data                        	       0        1        0        0        1
16389 eid-archive                        	       0        8        8        0        0
16390 eid-mw                             	       0        8        0        0        8
16391 eid-viewer                         	       0        8        8        0        0
16392 eigensoft                          	       0        1        1        0        0
16393 einstein                           	       0       16       16        0        0
16394 eiskaltdcpp                        	       0        4        1        0        3
16395 eiskaltdcpp-common                 	       0        7        0        0        7
16396 eiskaltdcpp-emoticons              	       0        3        0        0        3
16397 eiskaltdcpp-gtk                    	       0        3        3        0        0
16398 eiskaltdcpp-gtk-data               	       0        3        0        0        3
16399 eiskaltdcpp-qt                     	       0        4        4        0        0
16400 eiskaltdcpp-qt-data                	       0        4        4        0        0
16401 eiskaltdcpp-scripts                	       0        3        3        0        0
16402 eiskaltdcpp-sounds                 	       0        3        0        0        3
16403 eja                                	       0        1        1        0        0
16404 ejabberd                           	       0        5        5        0        0
16405 ejabberd-contrib                   	       0        2        0        0        2
16406 eject-dbgsym                       	       0        1        1        0        0
16407 ekeyd                              	       0        2        2        0        0
16408 ekg2                               	       0        2        0        0        2
16409 ekg2-core                          	       0        3        3        0        0
16410 ekg2-gnupg                         	       0        2        2        0        0
16411 ekg2-jabber                        	       0        2        2        0        0
16412 ekg2-ui-gtk                        	       0        1        1        0        0
16413 ekg2-ui-ncurses                    	       0        2        2        0        0
16414 ekiga                              	       0        6        6        0        0
16415 ekiga-plugin-evolution             	       0        1        0        0        1
16416 el-get                             	       0        1        1        0        0
16417 el-ixir                            	       0        2        2        0        0
16418 elasticsearch                      	       0        2        2        0        0
16419 elasticsearch-curator              	       0        1        1        0        0
16420 elastix                            	       0        1        1        0        0
16421 elastix-doc                        	       0        1        0        0        1
16422 elbe-archive-keyring               	       0        4        0        0        4
16423 electric                           	       0       12       12        0        0
16424 electric-fence                     	       0        9        9        0        0
16425 electronics-all                    	       0        3        0        0        3
16426 electronics-analog                 	       0        3        0        0        3
16427 electronics-asic-dev               	       0        3        0        0        3
16428 electronics-cad-gui                	       0        4        0        0        4
16429 electronics-digital                	       0        4        0        0        4
16430 electronics-doc                    	       0        3        0        0        3
16431 electronics-dsp-dev                	       0        3        0        0        3
16432 electronics-fpga-dev               	       0        3        0        0        3
16433 electronics-gadgets                	       0        2        0        0        2
16434 electronics-measurements           	       0        3        0        0        3
16435 electronics-microcontrollers       	       0        4        0        0        4
16436 electronics-pcb                    	       0        7        0        0        7
16437 electronics-radio-dev              	       0        6        0        0        6
16438 electronics-simulation             	       0        6        0        0        6
16439 electronics-tasks                  	       0       14        0        0       14
16440 eleeye                             	       0        3        3        0        0
16441 elektroid                          	       0        1        1        0        0
16442 element-io-archive-keyring         	       0       40        0        0       40
16443 element-nightly                    	       0        2        1        0        1
16444 elementary-icon-theme              	       0       21        0        0       21
16445 elementary-xfce-icon-theme         	       0       61        0        0       61
16446 elfkickers                         	       0        1        1        0        0
16447 elfrc                              	       0        3        3        0        0
16448 elfsh                              	       0        1        1        0        0
16449 elfsign                            	       0        1        1        0        0
16450 elfx86exts                         	       0        1        1        0        0
16451 elib                               	       0        1        1        0        0
16452 elinks-data                        	       0      152        0        0      152
16453 elinks-doc                         	       0       22        0        0       22
16454 elinks-lite                        	       0        1        0        0        1
16455 elixir                             	       0        5        5        0        0
16456 elk                                	       0        1        1        0        0
16457 elk-lapw                           	       0        1        1        0        0
16458 elks-libc                          	       0        7        7        0        0
16459 elks-libc-gcc-ia16-elf             	       0        1        1        0        0
16460 elksemu                            	       0        1        1        0        0
16461 elm-compiler                       	       0        3        3        0        0
16462 elogind-dbgsym                     	       0        1        1        0        0
16463 elpa-ag                            	       0        1        0        1        0
16464 elpa-anzu                          	       0        2        2        0        0
16465 elpa-apiwrap                       	       0        2        2        0        0
16466 elpa-auto-complete                 	       0        4        4        0        0
16467 elpa-auto-dictionary               	       0        2        2        0        0
16468 elpa-avy                           	       0        4        3        1        0
16469 elpa-bash-completion               	       0        1        0        1        0
16470 elpa-bind-key                      	       0        2        2        0        0
16471 elpa-bongo                         	       0        1        0        1        0
16472 elpa-citar                         	       0        1        0        1        0
16473 elpa-clues-theme                   	       0        1        1        0        0
16474 elpa-consult                       	       0        1        0        1        0
16475 elpa-counsel                       	       0        2        2        0        0
16476 elpa-dart-mode                     	       0        2        1        1        0
16477 elpa-dash-functional               	       0        1        1        0        0
16478 elpa-deferred                      	       0        1        1        0        0
16479 elpa-devscripts                    	       0        1        1        0        0
16480 elpa-dictionary                    	       0        1        1        0        0
16481 elpa-dimmer                        	       0        1        1        0        0
16482 elpa-dired-du                      	       0        4        4        0        0
16483 elpa-dired-quick-sort              	       0        4        4        0        0
16484 elpa-dired-rsync                   	       0        4        4        0        0
16485 elpa-ediprolog                     	       0        1        1        0        0
16486 elpa-editorconfig                  	       0        3        3        0        0
16487 elpa-elfeed                        	       0        5        4        1        0
16488 elpa-elfeed-web                    	       0        1        0        1        0
16489 elpa-elisp-refs                    	       0        4        4        0        0
16490 elpa-elisp-slime-nav               	       0        1        1        0        0
16491 elpa-elpher                        	       0        1        1        0        0
16492 elpa-elscreen                      	       0        1        1        0        0
16493 elpa-emacsql-psql                  	       0        1        0        1        0
16494 elpa-emacsql-sqlite3               	       0        1        0        1        0
16495 elpa-embark                        	       0        1        0        1        0
16496 elpa-ement                         	       0        1        1        0        0
16497 elpa-esh-help                      	       0        1        1        0        0
16498 elpa-eshell-git-prompt             	       0        2        2        0        0
16499 elpa-eshell-prompt-extras          	       0        1        1        0        0
16500 elpa-ess                           	       0       14       12        2        0
16501 elpa-esxml                         	       0        5        5        0        0
16502 elpa-evil                          	       0        2        2        0        0
16503 elpa-evil-paredit                  	       0        2        2        0        0
16504 elpa-expand-region                 	       0        3        3        0        0
16505 elpa-exwm                          	       0        5        5        0        0
16506 elpa-find-file-in-project          	       0        1        1        0        0
16507 elpa-flx                           	       0        2        2        0        0
16508 elpa-flx-ido                       	       0        2        2        0        0
16509 elpa-flycheck                      	       0        6        6        0        0
16510 elpa-flycheck-package              	       0        1        1        0        0
16511 elpa-fsm                           	       0        4        4        0        0
16512 elpa-geiser                        	       0        2        2        0        0
16513 elpa-ghub                          	       0        7        7        0        0
16514 elpa-ghub+                         	       0        2        2        0        0
16515 elpa-git-annex                     	       0        1        1        0        0
16516 elpa-git-commit                    	       0       18       18        0        0
16517 elpa-git-timemachine               	       0        1        1        0        0
16518 elpa-goto-chg                      	       0        2        2        0        0
16519 elpa-graphql                       	       0        6        6        0        0
16520 elpa-haskell-tab-indent            	       0        1        0        1        0
16521 elpa-helm-org                      	       0        2        2        0        0
16522 elpa-helpful                       	       0        3        3        0        0
16523 elpa-highlight-indentation         	       0        1        1        0        0
16524 elpa-ht                            	       0        3        3        0        0
16525 elpa-hydra                         	       0        4        4        0        0
16526 elpa-irony                         	       0        1        1        0        0
16527 elpa-ivy                           	       0        5        5        0        0
16528 elpa-jabber                        	       0        4        4        0        0
16529 elpa-lbdb                          	       0        1        1        0        0
16530 elpa-ledger                        	       0        1        1        0        0
16531 elpa-lsp-haskell                   	       0        3        3        0        0
16532 elpa-lsp-mode                      	       0        3        3        0        0
16533 elpa-lv                            	       0        7        7        0        0
16534 elpa-magit                         	       0       18       18        0        0
16535 elpa-magit-annex                   	       0        1        1        0        0
16536 elpa-magit-popup                   	       0        7        7        0        0
16537 elpa-magit-section                 	       0       13       12        1        0
16538 elpa-magithub                      	       0        2        2        0        0
16539 elpa-marginalia                    	       0        1        0        1        0
16540 elpa-markdown-toc                  	       0        1        1        0        0
16541 elpa-mastodon                      	       0        1        1        0        0
16542 elpa-modus-themes                  	       0        1        1        0        0
16543 elpa-monokai-theme                 	       0        2        2        0        0
16544 elpa-muse                          	       0        2        2        0        0
16545 elpa-neotree                       	       0        3        3        0        0
16546 elpa-nov                           	       0        4        4        0        0
16547 elpa-org-contrib                   	       0        1        1        0        0
16548 elpa-org-roam                      	       0        2        1        1        0
16549 elpa-package-lint                  	       0        1        1        0        0
16550 elpa-package-lint-flymake          	       0        1        1        0        0
16551 elpa-parsebib                      	       0        1        0        1        0
16552 elpa-password-store                	       0        1        1        0        0
16553 elpa-pdf-tools                     	       0        2        2        0        0
16554 elpa-pdf-tools-server              	       0        2        2        0        0
16555 elpa-pg                            	       0        1        0        1        0
16556 elpa-plz                           	       0        1        1        0        0
16557 elpa-projectile                    	       0        1        0        1        0
16558 elpa-ps-ccrypt                     	       0        1        1        0        0
16559 elpa-pyvenv                        	       0        1        1        0        0
16560 elpa-queue                         	       0        4        4        0        0
16561 elpa-rainbow-delimiters            	       0        2        2        0        0
16562 elpa-request                       	       0        1        1        0        0
16563 elpa-rtags                         	       0        2        2        0        0
16564 elpa-simple-httpd                  	       0        2        1        1        0
16565 elpa-smex                          	       0        4        4        0        0
16566 elpa-solarized-theme               	       0        1        1        0        0
16567 elpa-spinner                       	       0        3        3        0        0
16568 elpa-srv                           	       0        4        4        0        0
16569 elpa-subed                         	       0        1        1        0        0
16570 elpa-svg-lib                       	       0        1        1        0        0
16571 elpa-swiper                        	       0        2        2        0        0
16572 elpa-tablist                       	       0        2        2        0        0
16573 elpa-taxy                          	       0        1        1        0        0
16574 elpa-taxy-magit-section            	       0        1        1        0        0
16575 elpa-transient                     	       0       10       10        0        0
16576 elpa-treepy                        	       0        7        7        0        0
16577 elpa-tuareg                        	       0        4        4        0        0
16578 elpa-undo-tree                     	       0        5        5        0        0
16579 elpa-use-package                   	       0        2        2        0        0
16580 elpa-vertico                       	       0        1        0        1        0
16581 elpa-visual-fill-column            	       0        1        0        1        0
16582 elpa-visual-regexp                 	       0        2        2        0        0
16583 elpa-volume                        	       0        1        0        1        0
16584 elpa-vterm                         	       0        4        4        0        0
16585 elpa-websocket                     	       0        2        2        0        0
16586 elpa-which-key                     	       0        1        1        0        0
16587 elpa-with-editor                   	       0       22       22        0        0
16588 elpa-writeroom-mode                	       0        1        0        1        0
16589 elpa-ws-butler                     	       0        2        2        0        0
16590 elpa-xcscope                       	       0        6        5        1        0
16591 elpa-xelb                          	       0        5        5        0        0
16592 elpa-yasnippet                     	       0        4        4        0        0
16593 elpa-yasnippet-snippets            	       0        4        4        0        0
16594 elpa-zenburn-theme                 	       0        1        1        0        0
16595 elscreen                           	       0        1        0        0        1
16596 elserv                             	       0        1        1        0        0
16597 elvis                              	       0        1        1        0        0
16598 elvis-common                       	       0        1        0        0        1
16599 elvis-tiny                         	       0        3        3        0        0
16600 elvis-tools                        	       0        1        1        0        0
16601 elvish                             	       0        2        2        0        0
16602 elyxer                             	       0        1        1        0        0
16603 emacs                              	       0      361        0        0      361
16604 emacs-bin-common-dbgsym            	       0        1        1        0        0
16605 emacs-build-deps                   	       0        1        0        0        1
16606 emacs-calfw                        	       0        5        5        0        0
16607 emacs-calfw-howm                   	       0        1        1        0        0
16608 emacs-common-non-dfsg              	       0       29        0        0       29
16609 emacs-editing-major-modes          	       0        2        0        0        2
16610 emacs-el                           	       0      453        0        0      453
16611 emacs-goodies-el                   	       0       35        1        0       34
16612 emacs-intl-fonts                   	       0       12        0        0       12
16613 emacs-libvterm                     	       0        5        2        0        3
16614 emacs-mozc                         	       0        1        1        0        0
16615 emacs-mozc-bin                     	       0        1        1        0        0
16616 emacs-non-dfsg-build-deps          	       0        1        0        0        1
16617 emacs-nox-dbgsym                   	       0        1        1        0        0
16618 emacs-window-layout                	       0        2        2        0        0
16619 emacs21                            	       0        1        0        0        1
16620 emacs23                            	       0        2        2        0        0
16621 emacs23-bin-common                 	       0        2        2        0        0
16622 emacs23-common                     	       0        2        0        0        2
16623 emacs23-common-non-dfsg            	       0        1        0        0        1
16624 emacs23-el                         	       0        1        0        0        1
16625 emacs24                            	       0       13        9        0        4
16626 emacs24-bin-common                 	       0       10       10        0        0
16627 emacs24-common                     	       0       10        0        0       10
16628 emacs24-common-non-dfsg            	       0        1        0        0        1
16629 emacs24-el                         	       0        7        0        0        7
16630 emacs24-nox                        	       0        1        1        0        0
16631 emacs25                            	       0        1        0        0        1
16632 emacs25-nox                        	       0        1        0        0        1
16633 emboss                             	       0        2        2        0        0
16634 emboss-data                        	       0        2        0        0        2
16635 emboss-lib                         	       0        2        2        0        0
16636 emby-server                        	       0        1        1        0        0
16637 emd                                	       0        3        3        0        0
16638 emdebian-archive-keyring           	       0       14        0        0       14
16639 emelfm2-svg-icons                  	       0        1        0        0        1
16640 emerald-themes                     	       0       12        0        0       12
16641 emf2svg                            	       0        1        1        0        0
16642 emicp                              	       0        1        1        0        0
16643 emidf                              	       0        1        1        0        0
16644 emidist-upgrade                    	       0        1        1        0        0
16645 emidpkg-backup                     	       0        1        1        0        0
16646 emifloppy                          	       0        1        1        0        0
16647 emiperl-lib                        	       0        1        1        0        0
16648 emirdiff-backup                    	       0        1        1        0        0
16649 emitabulator                       	       0        1        1        0        0
16650 emiutility-dummy                   	       0        1        0        0        1
16651 emma                               	       0        1        1        0        0
16652 emms                               	       0        3        3        0        0
16653 emoji-mart                         	       0        1        1        0        0
16654 empathy                            	       0        5        5        0        0
16655 empathy-common                     	       0       11        0        0       11
16656 empathy-skype                      	       0        1        0        0        1
16657 emptty                             	       0        2        2        0        0
16658 empty-expect                       	       0        2        2        0        0
16659 emscripten                         	       0        9        9        0        0
16660 emscripten-doc                     	       0        4        0        0        4
16661 emu8051                            	       0        6        6        0        0
16662 emugui-testing                     	       0        1        1        0        0
16663 emusic-data                        	       0        1        0        0        1
16664 emusic-docs                        	       0        1        0        0        1
16665 enamdict                           	       0        4        0        0        4
16666 enca                               	       0       25       25        0        0
16667 endeavour                          	       0       10       10        0        0
16668 endeavour-common                   	       0       10        0        0       10
16669 endless-sky-build                  	       0        1        0        0        1
16670 endless-sky-data                   	       0       20        0        0       20
16671 endless-sky-dbgsym                 	       0        1        1        0        0
16672 endless-sky-high-dpi               	       0        7        0        0        7
16673 engauge-digitizer                  	       0        6        6        0        0
16674 engauge-digitizer-doc              	       0        4        0        0        4
16675 enigma-data                        	       0       30        0        0       30
16676 enigma-doc                         	       0       28        0        0       28
16677 enigmail                           	       0        7        0        0        7
16678 enlightenment                      	       0       34       32        2        0
16679 enlightenment-data                 	       0       34        6        1       27
16680 enlightenment-theme-bluesteel      	       0        2        0        0        2
16681 enlightenment-theme-brushedmetal   	       0        2        0        0        2
16682 enlightenment-theme-ganymede       	       0        2        0        0        2
16683 enlightenment-theme-shinymetal     	       0        2        0        0        2
16684 enmime                             	       0        1        1        0        0
16685 enpass                             	       0        1        1        0        0
16686 enscribe                           	       0        2        2        0        0
16687 ent                                	       0        2        2        0        0
16688 entagged                           	       0        2        2        0        0
16689 entangle                           	       0        9        9        0        0
16690 entropybroker                      	       0        1        1        0        0
16691 env-assert                         	       0        1        1        0        0
16692 env2                               	       0        3        3        0        0
16693 environment-modules                	       0        7        7        0        0
16694 eog-plugin-disable-dark-theme      	       0        7        0        0        7
16695 eog-plugin-exif-display            	       0        8        0        0        8
16696 eog-plugin-export-to-folder        	       0        7        0        0        7
16697 eog-plugin-fit-to-width            	       0        8        0        0        8
16698 eog-plugin-fullscreen-background   	       0        8        0        0        8
16699 eog-plugin-hide-titlebar           	       0        2        0        0        2
16700 eog-plugin-map                     	       0        7        0        0        7
16701 eog-plugin-maximize-windows        	       0        7        0        0        7
16702 eog-plugin-picasa                  	       0        4        0        0        4
16703 eog-plugin-python-console          	       0        7        0        0        7
16704 eog-plugin-send-by-mail            	       0        7        0        0        7
16705 eog-plugin-slideshow-shuffle       	       0        7        0        0        7
16706 eog-plugins                        	       0        8        1        0        7
16707 eog-plugins-common                 	       0        8        0        0        8
16708 eom-common                         	       0      527        0        0      527
16709 eot-utils                          	       0        3        3        0        0
16710 eot2ttf                            	       0        2        2        0        0
16711 epdfview                           	       0        6        6        0        0
16712 eperl                              	       0        4        4        0        0
16713 ephoto                             	       0        9        9        0        0
16714 epic4                              	       0        2        2        0        0
16715 epic4-help                         	       0        2        0        0        2
16716 epic5                              	       0        2        2        0        0
16717 epiphany-data                      	       0       22        0        0       22
16718 epiphany-extensions                	       0        2        1        0        1
16719 epiphany-gecko                     	       0        1        0        0        1
16720 epix                               	       0        1        1        0        0
16721 epsilon-bin                        	       0        1        1        0        0
16722 epson-alc1100-filter               	       0        1        1        0        0
16723 epson-alc1100-filter-cups          	       0        1        0        0        1
16724 epson-inkjet-printer-201207w       	       0        2        2        0        0
16725 epson-inkjet-printer-201215w       	       0        1        1        0        0
16726 epson-inkjet-printer-202101w       	       0        1        1        0        0
16727 epson-inkjet-printer-escpr         	       0        8        8        0        0
16728 epson-inkjet-printer-escpr2        	       0        9        9        0        0
16729 epson-pc-fax                       	       0        1        1        0        0
16730 epsonscan2                         	       0       12       12        0        0
16731 epsonscan2-non-free-plugin         	       0       13        0        0       13
16732 ept-cache                          	       0        1        1        0        0
16733 epubcheck                          	       0        9        8        1        0
16734 eq10q                              	       0        8        8        0        0
16735 eqonomize                          	       0        2        2        0        0
16736 eqonomize-doc                      	       0        2        0        0        2
16737 equalx                             	       0        9        9        0        0
16738 erc                                	       0        3        3        0        0
16739 erfs                               	       0        1        1        0        0
16740 eric                               	       0        7        7        0        0
16741 eric-api-files                     	       0        6        0        0        6
16742 erlang                             	       0       17        0        0       17
16743 erlang-asciideck                   	       0        1        1        0        0
16744 erlang-base-hipe                   	       0        1        1        0        0
16745 erlang-base64url                   	       0        5        5        0        0
16746 erlang-bear                        	       0        1        1        0        0
16747 erlang-cf                          	       0        1        1        0        0
16748 erlang-cl                          	       0       16       16        0        0
16749 erlang-common-test                 	       0       17       17        0        0
16750 erlang-corba                       	       0        3        3        0        0
16751 erlang-cowboy                      	       0        1        1        0        0
16752 erlang-cowboy-doc                  	       0        1        0        0        1
16753 erlang-cowboy-examples             	       0        1        0        0        1
16754 erlang-cowlib                      	       0        1        1        0        0
16755 erlang-debugger                    	       0       17       17        0        0
16756 erlang-dev                         	       0       21       21        0        0
16757 erlang-dialyzer                    	       0       19       19        0        0
16758 erlang-diameter                    	       0       18       18        0        0
16759 erlang-doc                         	       0        8        8        0        0
16760 erlang-edoc                        	       0       18       18        0        0
16761 erlang-erl-docgen                  	       0       17       17        0        0
16762 erlang-esdl                        	       0       16       16        0        0
16763 erlang-esdl-dev                    	       0        2        2        0        0
16764 erlang-esdl-doc                    	       0        2        0        0        2
16765 erlang-et                          	       0       17       17        0        0
16766 erlang-eunit                       	       0       24       24        0        0
16767 erlang-examples                    	       0       17       17        0        0
16768 erlang-getopt                      	       0        2        2        0        0
16769 erlang-goldrush                    	       0        7        7        0        0
16770 erlang-gs                          	       0        2        2        0        0
16771 erlang-hex                         	       0        1        1        0        0
16772 erlang-ic                          	       0        3        3        0        0
16773 erlang-ic-java                     	       0        2        2        0        0
16774 erlang-idna                        	       0        5        5        0        0
16775 erlang-jiffy                       	       0        8        8        0        0
16776 erlang-jinterface                  	       0       15       15        0        0
16777 erlang-jose                        	       0        6        6        0        0
16778 erlang-lager                       	       0        7        7        0        0
16779 erlang-luerl                       	       0        1        1        0        0
16780 erlang-manpages                    	       0        8        0        0        8
16781 erlang-meck                        	       0        1        1        0        0
16782 erlang-megaco                      	       0       17       17        0        0
16783 erlang-metrics                     	       0        1        1        0        0
16784 erlang-mode                        	       0       20       20        0        0
16785 erlang-neotoma                     	       0        1        1        0        0
16786 erlang-nox                         	       0        4        0        0        4
16787 erlang-observer                    	       0       17       17        0        0
16788 erlang-odbc                        	       0       22       22        0        0
16789 erlang-p1-acme                     	       0        4        4        0        0
16790 erlang-p1-cache-tab                	       0        7        7        0        0
16791 erlang-p1-eimp                     	       0        4        4        0        0
16792 erlang-p1-iconv                    	       0        5        5        0        0
16793 erlang-p1-mqtree                   	       0        4        4        0        0
16794 erlang-p1-mysql                    	       0        4        4        0        0
16795 erlang-p1-oauth2                   	       0        1        1        0        0
16796 erlang-p1-pam                      	       0        4        4        0        0
16797 erlang-p1-pgsql                    	       0        5        5        0        0
16798 erlang-p1-pkix                     	       0        5        5        0        0
16799 erlang-p1-sip                      	       0        4        4        0        0
16800 erlang-p1-sqlite3                  	       0        2        2        0        0
16801 erlang-p1-stringprep               	       0        7        7        0        0
16802 erlang-p1-stun                     	       0        7        7        0        0
16803 erlang-p1-tls                      	       0        8        8        0        0
16804 erlang-p1-utils                    	       0        8        8        0        0
16805 erlang-p1-xml                      	       0        8        8        0        0
16806 erlang-p1-xmpp                     	       0        5        5        0        0
16807 erlang-p1-yaml                     	       0        7        7        0        0
16808 erlang-p1-yconf                    	       0        4        4        0        0
16809 erlang-p1-zlib                     	       0        7        7        0        0
16810 erlang-percept                     	       0        3        3        0        0
16811 erlang-proper                      	       0        8        8        0        0
16812 erlang-proper-doc                  	       0        1        0        0        1
16813 erlang-ranch                       	       0        2        2        0        0
16814 erlang-ranch-doc                   	       0        1        0        0        1
16815 erlang-redis-client                	       0        1        1        0        0
16816 erlang-reltool                     	       0       17       17        0        0
16817 erlang-solutions                   	       0        3        0        0        3
16818 erlang-src                         	       0       18       18        0        0
16819 erlang-ssh                         	       0       18       18        0        0
16820 erlang-typer                       	       0        2        2        0        0
16821 erlang-unicode-util-compat         	       0        5        5        0        0
16822 erlang-uuid                        	       0        3        3        0        0
16823 erlang-webtool                     	       0        2        2        0        0
16824 erlang-wx                          	       0       30       30        0        0
16825 erlang-x11                         	       0        3        0        0        3
16826 erlang-xmlrpc                      	       0        3        3        0        0
16827 erofsfuse                          	       0        1        1        0        0
16828 esci-interpreter-gt-f720           	       0        1        1        0        0
16829 esh                                	       0        1        1        0        0
16830 eskatos-docker-config              	       0        4        0        0        4
16831 eskatos-icinga-scripts             	       0        1        0        0        1
16832 eskatos-nexus-registry-mirror      	       0        1        0        0        1
16833 esl-erlang                         	       0        1        1        0        0
16834 esmtp                              	       0        5        5        0        0
16835 esmtp-run                          	       0        2        2        0        0
16836 esnacc                             	       0        1        1        0        0
16837 esnacc-doc                         	       0        1        0        0        1
16838 esniper                            	       0        1        1        0        0
16839 eso-midas                          	       0        6        6        0        0
16840 eso-pipelines                      	       0        2        0        0        2
16841 esorex                             	       0        2        2        0        0
16842 esound-common                      	       0       34        0        0       34
16843 espctag                            	       0        1        1        0        0
16844 espeak-data                        	       0     1081        0        0     1081
16845 espeak-ng                          	       0       29       28        1        0
16846 espeak-ng-data                     	       0     2809        0        0     2809
16847 espeak-ng-espeak                   	       0        7        7        0        0
16848 espeakedit                         	       0        6        6        0        0
16849 ess                                	       0        6        0        0        6
16850 estic                              	       0        1        1        0        0
16851 eta                                	       0        1        1        0        0
16852 etc1tool                           	       0       79       79        0        0
16853 etcd-client                        	       0        1        0        1        0
16854 etcd-fs                            	       0        1        1        0        0
16855 etcher-electron                    	       0        1        0        0        1
16856 eterm                              	       0       31       30        1        0
16857 etherape                           	       0       50       49        1        0
16858 etherape-data                      	       0       46        0        0       46
16859 etherboot-qemu                     	       0        1        1        0        0
16860 ethereal-chess                     	       0        3        3        0        0
16861 ethstats                           	       0        4        4        0        0
16862 etl-dev                            	       0        2        2        0        0
16863 etoys                              	       0        1        1        0        0
16864 etoys-doc                          	       0        1        0        0        1
16865 etqw                               	       0        2        2        0        0
16866 etree-scripts                      	       0        1        1        0        0
16867 etsf-io                            	       0        1        1        0        0
16868 ettercap-graphical                 	       0        8        8        0        0
16869 etw                                	       0        2        2        0        0
16870 etw-data                           	       0        2        0        0        2
16871 eudev-build-deps                   	       0        1        0        0        1
16872 eudev-dbgsym                       	       0        3        3        0        0
16873 eufloriahd                         	       0        1        0        0        1
16874 eukleides                          	       0        1        1        0        0
16875 euler                              	       0        8        8        0        0
16876 euler-doc                          	       0        5        5        0        0
16877 eureka                             	       0        8        8        0        0
16878 eureka-dbgsym                      	       0        1        1        0        0
16879 eurephia                           	       0        1        1        0        0
16880 euro-support                       	       0        1        1        0        0
16881 euro-support-console               	       0        1        0        0        1
16882 euro-support-x                     	       0        1        0        0        1
16883 evdi                               	       0        1        1        0        0
16884 evdi-dkms                          	       0        6        6        0        0
16885 eventfs                            	       0        1        1        0        0
16886 evilwm                             	       0        7        7        0        0
16887 evince-hwp                         	       0        1        1        0        0
16888 evol-client                        	       0        1        0        0        1
16889 evolution-data-server-dev          	       0        1        0        0        1
16890 evolution-data-server-doc          	       0        2        0        0        2
16891 evolution-plugin-spamassassin      	       0        2        2        0        0
16892 evolution-plugins-experimental     	       0        9        9        0        0
16893 evolution-webcal                   	       0        2        2        0        0
16894 evolvotron                         	       0        5        5        0        0
16895 evracoon                           	       0        1        1        0        0
16896 evrouter                           	       0        1        1        0        0
16897 ewipe                              	       0        1        1        0        0
16898 exabgp                             	       0        2        2        0        0
16899 exactimage                         	       0       22       22        0        0
16900 exadrums                           	       0        1        1        0        0
16901 exaile                             	       0        1        1        0        0
16902 excellent-bifurcation              	       0        1        1        0        0
16903 exe-thumbnailer                    	       0       12        1        0       11
16904 exec-tools                         	       0        1        1        0        0
16905 execline                           	       0        8        8        0        0
16906 execline-doc                       	       0        2        0        0        2
16907 execnet-doc                        	       0        1        0        0        1
16908 execstack                          	       0       15       15        0        0
16909 exfatprogs-dbgsym                  	       0        1        1        0        0
16910 exifcleaner                        	       0        1        1        0        0
16911 exiflooter                         	       0        2        2        0        0
16912 exim4                              	       0      359        0        0      359
16913 exim4-doc-html                     	       0        8        0        0        8
16914 exim4-doc-info                     	       0        6        0        0        6
16915 eximon4                            	       0       11       11        0        0
16916 exmh                               	       0        4        4        0        0
16917 exo-build-deps                     	       0        1        0        0        1
16918 exo-utils-dbgsym                   	       0        1        1        0        0
16919 exodus                             	       0        8        8        0        0
16920 exonerate                          	       0        4        4        0        0
16921 expandrive                         	       0        1        1        0        0
16922 expeyes                            	       0        1        1        0        0
16923 expeyes-doc-common                 	       0        1        1        0        0
16924 expeyes-doc-en                     	       0        1        0        0        1
16925 expeyes-web                        	       0        1        1        0        0
16926 explain                            	       0        1        1        0        0
16927 explosive-c4                       	       0        4        4        0        0
16928 expressvpn                         	       0        1        1        0        0
16929 exrtools                           	       0        2        2        0        0
16930 ext2resize                         	       0        1        1        0        0
16931 extace                             	       0        1        1        0        0
16932 extra-cmake-modules                	       0      111        0        0      111
16933 extra-cmake-modules-doc            	       0        3        0        0        3
16934 extra-xdg-menus                    	       0      158      156        2        0
16935 extractpdfmark                     	       0        5        5        0        0
16936 extremetuxracer-data               	       0       45        1        0       44
16937 extremetuxracer-extras             	       0        3        0        0        3
16938 extrepo-offline-data               	       0       53        0        0       53
16939 exult                              	       0        2        2        0        0
16940 exult-studio                       	       0        1        1        0        0
16941 eye                                	       0        1        1        0        0
16942 eyes17                             	       0        1        1        0        0
16943 ez-ipupdate                        	       0        1        1        0        0
16944 eza                                	       0        3        2        1        0
16945 ezquake                            	       0        5        5        0        0
16946 eztrace                            	       0        1        1        0        0
16947 f-irc                              	       0        4        4        0        0
16948 f-spot                             	       0        1        1        0        0
16949 f2c                                	       0       16       15        1        0
16950 f2j                                	       0        1        1        0        0
16951 f3d                                	       0        4        4        0        0
16952 faac                               	       0       34       34        0        0
16953 faad                               	       0       96       95        1        0
16954 faba-icon-theme                    	       0       31        0        0       31
16955 fabla                              	       0        1        1        0        0
16956 fabric                             	       0        2        2        0        0
16957 facedetect                         	       0       10       10        0        0
16958 fact++                             	       0        1        1        0        0
16959 facterdb                           	       0        1        1        0        0
16960 fadecut                            	       0        3        3        0        0
16961 faenza-icon-theme                  	       0       36        0        0       36
16962 fahclient                          	       0        3        3        0        0
16963 fahviewer                          	       0        2        2        0        0
16964 fai-client                         	       0        2        2        0        0
16965 fai-server                         	       0        1        1        0        0
16966 fai-setup-storage                  	       0        1        1        0        0
16967 faifa                              	       0        3        3        0        0
16968 fairy-stockfish                    	       0        3        3        0        0
16969 faker                              	       0        1        1        0        0
16970 fakeroot-ng                        	       0        7        7        0        0
16971 faketime                           	       0       32       31        1        0
16972 falabaac                           	       0        1        1        0        0
16973 falcon-sensor                      	       0       10       10        0        0
16974 falconseye                         	       0        1        1        0        0
16975 falconseye-data                    	       0        1        1        0        0
16976 falkon-plugin-wallet               	       0        1        0        0        1
16977 fam                                	       0       10       10        0        0
16978 famfamfam-flag-gif                 	       0        1        0        0        1
16979 famfamfam-flag-png                 	       0       27        0        0       27
16980 famfamfam-silk                     	       0        6        0        0        6
16981 fapg                               	       0        3        3        0        0
16982 farpd                              	       0        2        2        0        0
16983 fasd                               	       0        2        2        0        0
16984 fasm                               	       0       10        9        1        0
16985 fastaq                             	       0        1        1        0        0
16986 fastd                              	       0        2        2        0        0
16987 fastd-doc                          	       0        1        0        0        1
16988 fastdep                            	       0        1        1        0        0
16989 fastdnaml                          	       0        3        3        0        0
16990 fastforward                        	       0        5        5        0        0
16991 fastjet-doc                        	       0        1        0        0        1
16992 fastlink                           	       0        1        1        0        0
16993 fastp                              	       0        1        1        0        0
16994 fastqc                             	       0        3        2        1        0
16995 fastqtl                            	       0        1        1        0        0
16996 fasttext                           	       0        2        2        0        0
16997 fasttrack-archive-keyring          	       0       26        0        0       26
16998 fasttree                           	       0        1        1        0        0
16999 fatrace                            	       0       14       13        1        0
17000 faucc                              	       0        1        1        0        0
17001 fauhdlc                            	       0        3        3        0        0
17002 faultstat                          	       0        1        1        0        0
17003 faumachine                         	       0        1        1        0        0
17004 faumachine-data                    	       0        1        0        0        1
17005 faust                              	       0        4        4        0        0
17006 faust-common                       	       0        4        4        0        0
17007 faustworks                         	       0        2        2        0        0
17008 faxcoder                           	       0        1        1        0        0
17009 fb-music-high                      	       0        8        0        0        8
17010 fb-tools                           	       0        3        3        0        0
17011 fb2edit                            	       0        1        1        0        0
17012 fbautostart                        	       0       11       11        0        0
17013 fbb                                	       0        3        3        0        0
17014 fbbdoc                             	       0        1        0        0        1
17015 fbdesk                             	       0        3        3        0        0
17016 fbiterm                            	       0        1        1        0        0
17017 fbless                             	       0        7        7        0        0
17018 fbpager                            	       0       22       21        1        0
17019 fbterm-ucimf                       	       0        1        1        0        0
17020 fbtv                               	       0        1        1        0        0
17021 fbxkb                              	       0        6        6        0        0
17022 fbzx                               	       0        5        5        0        0
17023 fcc                                	       0        1        1        0        0
17024 fccexam                            	       0        3        3        0        0
17025 fceu                               	       0        1        1        0        0
17026 fceux                              	       0       20       20        0        0
17027 fcitx                              	       0       20        0        0       20
17028 fcitx-anthy                        	       0        2        1        0        1
17029 fcitx-chewing                      	       0        1        0        0        1
17030 fcitx-config-common                	       0       20        0        0       20
17031 fcitx-config-gtk                   	       0       20       19        1        0
17032 fcitx-data                         	       0       20        4        0       16
17033 fcitx-frontend-all                 	       0       20        0        0       20
17034 fcitx-frontend-fbterm              	       0        2        2        0        0
17035 fcitx-frontend-qt4                 	       0        3        0        0        3
17036 fcitx-hangul                       	       0        1        0        0        1
17037 fcitx-libpinyin                    	       0        1        0        0        1
17038 fcitx-libs-dev                     	       0        1        1        0        0
17039 fcitx-m17n                         	       0        3        2        0        1
17040 fcitx-module-quickphrase-editor5   	       0       14        0        0       14
17041 fcitx-mozc                         	       0       10        4        0        6
17042 fcitx-mozc-data                    	       0       19        0        0       19
17043 fcitx-pinyin                       	       0        5        3        0        2
17044 fcitx-rime                         	       0        2        1        0        1
17045 fcitx-sunpinyin                    	       0        1        1        0        0
17046 fcitx-table                        	       0        5        2        0        3
17047 fcitx-table-all                    	       0        1        0        0        1
17048 fcitx-table-arabic                 	       0        1        0        0        1
17049 fcitx-table-bingchan               	       0        1        0        0        1
17050 fcitx-table-cangjie                	       0        1        0        0        1
17051 fcitx-table-cantonese              	       0        1        0        0        1
17052 fcitx-table-dianbaoma              	       0        1        0        0        1
17053 fcitx-table-emoji                  	       0        2        0        0        2
17054 fcitx-table-erbi                   	       0        1        0        0        1
17055 fcitx-table-ipa-x-sampa            	       0        1        0        0        1
17056 fcitx-table-quick-classic          	       0        1        0        0        1
17057 fcitx-table-thai                   	       0        1        0        0        1
17058 fcitx-table-wanfeng                	       0        1        0        0        1
17059 fcitx-table-wbpy                   	       0        1        0        0        1
17060 fcitx-table-wubi                   	       0        2        0        0        2
17061 fcitx-table-ziranma                	       0        1        0        0        1
17062 fcitx-tools                        	       0        3        2        1        0
17063 fcitx5-anthy                       	       0        2        1        0        1
17064 fcitx5-chewing                     	       0        1        0        0        1
17065 fcitx5-chinese-addons              	       0        8        0        0        8
17066 fcitx5-chinese-addons-bin          	       0        8        8        0        0
17067 fcitx5-chinese-addons-data         	       0        9        5        0        4
17068 fcitx5-config-qt                   	       0       21       21        0        0
17069 fcitx5-data                        	       0       22       10        0       12
17070 fcitx5-frontend-all                	       0        1        0        0        1
17071 fcitx5-frontend-fbterm             	       0        1        1        0        0
17072 fcitx5-frontend-gtk2               	       0        5        1        0        4
17073 fcitx5-frontend-gtk4               	       0       17        2        0       15
17074 fcitx5-frontend-qt6                	       0       16        1        0       15
17075 fcitx5-frontend-tmux               	       0        1        0        0        1
17076 fcitx5-kkc                         	       0        1        1        0        0
17077 fcitx5-material-color              	       0        1        0        0        1
17078 fcitx5-module-chttrans             	       0        8        1        0        7
17079 fcitx5-module-cloudpinyin          	       0        8        4        0        4
17080 fcitx5-module-emoji                	       0        3        0        0        3
17081 fcitx5-module-fullwidth            	       0        9        1        0        8
17082 fcitx5-module-lua                  	       0        9        6        0        3
17083 fcitx5-module-lua-common           	       0        9        0        0        9
17084 fcitx5-module-pinyinhelper         	       0        8        6        0        2
17085 fcitx5-module-punctuation          	       0        9        5        0        4
17086 fcitx5-module-quickphrase          	       0        3        1        0        2
17087 fcitx5-module-quickphrase-editor   	       0       10        0        0       10
17088 fcitx5-module-wayland              	       0        3        1        0        2
17089 fcitx5-module-xorg                 	       0        3        1        0        2
17090 fcitx5-pinyin                      	       0        8        4        0        4
17091 fcitx5-rime                        	       0        2        1        0        1
17092 fcitx5-skin-nord                   	       0        1        0        0        1
17093 fcitx5-skk                         	       0        1        0        0        1
17094 fcitx5-table                       	       0        8        1        0        7
17095 fckeditor                          	       0        1        1        0        0
17096 fcml                               	       0        2        2        0        0
17097 fcmp                               	       0        2        0        0        2
17098 fcoretools                         	       0        1        1        0        0
17099 fd-musl                            	       0        1        1        0        0
17100 fdclone                            	       0        3        3        0        0
17101 fdflush                            	       0        3        3        0        0
17102 fdisk-dbgsym                       	       0        1        1        0        0
17103 fdkaac                             	       0       11       11        0        0
17104 fdm                                	       0        3        3        0        0
17105 fdm-materials                      	       0       23        0        0       23
17106 fdpowermon                         	       0        9        9        0        0
17107 fdpowermon-icons                   	       0        4        0        0        4
17108 fdpp                               	       0        1        1        0        0
17109 fdroidcl                           	       0        4        4        0        0
17110 feathernotes-l10n                  	       0      238        0        0      238
17111 featherpad-l10n                    	       0      255        3        0      252
17112 feature-check                      	       0        2        2        0        0
17113 fedistar                           	       0        1        1        0        0
17114 feed2exec                          	       0        1        1        0        0
17115 feed2exec-doc                      	       0        1        0        0        1
17116 feed2toot                          	       0        1        1        0        0
17117 feedbackd-common                   	       0        9        0        0        9
17118 feedgnuplot                        	       0        6        6        0        0
17119 feedreader                         	       0        2        2        0        0
17120 felix-latin-data                   	       0        2        0        0        2
17121 felix-main                         	       0        1        1        0        0
17122 fence-virt                         	       0        1        1        0        0
17123 fenicsx                            	       0        1        0        0        1
17124 fenicsx-performance-tests-source   	       0        1        1        0        0
17125 fenix                              	       0        1        1        0        0
17126 fenix-plugin-mpeg                  	       0        1        1        0        0
17127 fenix-plugins                      	       0        1        1        0        0
17128 fenix-plugins-system               	       0        1        1        0        0
17129 fenrir                             	       0        1        1        0        0
17130 ferdi                              	       0        1        0        0        1
17131 ferdium                            	       0        7        4        0        3
17132 ferm                               	       0       11       11        0        0
17133 ferret                             	       0        2        2        0        0
17134 ferret-datasets                    	       0        1        0        0        1
17135 ferret-vis                         	       0        1        1        0        0
17136 festival-dev                       	       0        6        6        0        0
17137 festival-doc                       	       0        5        0        0        5
17138 festival-freebsoft-utils           	       0       33        0        0       33
17139 festlex-cmu                        	       0      102        0        0      102
17140 festlex-ifd                        	       0        3        0        0        3
17141 festlex-oald                       	       0        9        0        0        9
17142 festlex-poslex                     	       0      102        0        0      102
17143 festvox-don                        	       0        2        0        0        2
17144 festvox-ellpc11k                   	       0        3        0        0        3
17145 festvox-en1                        	       0        4        0        0        4
17146 festvox-italp16k                   	       0        3        0        0        3
17147 festvox-itapc16k                   	       0        2        0        0        2
17148 festvox-kallpc16k                  	       0       98        0        0       98
17149 festvox-kdlpc16k                   	       0        4        0        0        4
17150 festvox-palpc16k                   	       0        1        0        0        1
17151 festvox-rablpc16k                  	       0        4        0        0        4
17152 festvox-ru                         	       0        3        0        0        3
17153 festvox-sflpc16k                   	       0        1        0        0        1
17154 festvox-suopuhe-common             	       0        3        0        0        3
17155 festvox-suopuhe-lj                 	       0        3        0        0        3
17156 festvox-suopuhe-mv                 	       0        2        0        0        2
17157 festvox-us-slt-hts                 	       0       10        0        0       10
17158 festvox-us1                        	       0        6        0        0        6
17159 festvox-us2                        	       0        3        0        0        3
17160 festvox-us3                        	       0        3        0        0        3
17161 fet                                	       0        4        4        0        0
17162 fet-data                           	       0        4        0        0        4
17163 feta                               	       0        1        1        0        0
17164 fetch-crl                          	       0        1        1        0        0
17165 fetchyahoo                         	       0        1        1        0        0
17166 fever                              	       0        1        1        0        0
17167 fex                                	       0        1        1        0        0
17168 fex-utils                          	       0        1        1        0        0
17169 feynmf                             	       0      119      115        4        0
17170 ffado-dbus-server                  	       0        3        3        0        0
17171 ffado-mixer-qt4                    	       0        3        3        0        0
17172 ffado-tools                        	       0        5        5        0        0
17173 ffcvt                              	       0       11       10        1        0
17174 ffdiaporama                        	       0        2        2        0        0
17175 ffdiaporama-data                   	       0        2        0        0        2
17176 ffe                                	       0        1        1        0        0
17177 ffgtk                              	       0        1        1        0        0
17178 ffgtk-plugins-gdata                	       0        1        0        0        1
17179 ffgtk-plugins-gnome-keyring        	       0        1        0        0        1
17180 ffgtk-plugins-kwallet4             	       0        1        0        0        1
17181 ffgtk-plugins-pulseaudio           	       0        1        0        0        1
17182 ffindex                            	       0        2        2        0        0
17183 fflas-ffpack                       	       0       11       11        0        0
17184 fflas-ffpack-common                	       0       11       11        0        0
17185 ffmpeg-dbgsym                      	       0        1        1        0        0
17186 ffmpeg-doc                         	       0       70        0        0       70
17187 ffmpeg2theora                      	       0       18       18        0        0
17188 ffmpegfs                           	       0        9        8        1        0
17189 ffmpegthumbs                       	       0      520        2        1      517
17190 ffmsindex                          	       0        6        6        0        0
17191 ffmulticonverter                   	       0        2        2        0        0
17192 ffplay                             	       0        1        1        0        0
17193 fftw-dev                           	       0       14       14        0        0
17194 fftw2                              	       0       29        0        0       29
17195 ffuf                               	       0        2        2        0        0
17196 fgallery                           	       0       12       12        0        0
17197 fglrx-modules-dkms                 	       0        1        1        0        0
17198 fh2odg                             	       0        1        1        0        0
17199 fhem                               	       0        1        1        0        0
17200 fhist                              	       0        3        3        0        0
17201 fiche                              	       0        2        2        0        0
17202 fido2-tools                        	       0        6        5        1        0
17203 fierce                             	       0        3        3        0        0
17204 fifteenapplet-trinity              	       0       23       23        0        0
17205 fifth                              	       0        1        1        0        0
17206 fig2ps                             	       0       13       13        0        0
17207 fig2sxd                            	       0        2        2        0        0
17208 figtoipe                           	       0        1        1        0        0
17209 figtree                            	       0        1        1        0        0
17210 file-build-deps                    	       0        1        0        0        1
17211 file-dbgsym                        	       0        1        1        0        0
17212 filelight-trinity                  	       0        3        3        0        0
17213 filemanager-actions                	       0        1        1        0        0
17214 filemanager-actions-data           	       0        1        0        0        1
17215 filemanager-actions-libs           	       0        1        0        0        1
17216 fileschanged                       	       0        3        3        0        0
17217 filetea                            	       0        1        1        0        0
17218 filetraq                           	       0        2        2        0        0
17219 fileutils                          	       0        2        0        0        2
17220 filezilla-common                   	       0      304        0        0      304
17221 filezilla-server                   	       0        2        2        0        0
17222 filler                             	       0        3        3        0        0
17223 fillets-ng                         	       0       11       11        0        0
17224 fillets-ng-data                    	       0       11        0        0       11
17225 fillets-ng-data-cs                 	       0        1        0        0        1
17226 fillets-ng-data-nl                 	       0        2        0        0        2
17227 filtergen                          	       0        1        1        0        0
17228 filters                            	       0       12       12        0        0
17229 fim                                	       0       16       16        0        0
17230 findbugs                           	       0        3        3        0        0
17231 findent                            	       0        1        1        0        0
17232 finetune                           	       0        1        1        0        0
17233 finit                              	       0        2        2        0        0
17234 finit-plugins                      	       0        2        0        0        2
17235 fio                                	       0       65       64        1        0
17236 firebird-dev                       	       0       16       16        0        0
17237 firebird-emu                       	       0        1        1        0        0
17238 firebird-utils                     	       0        5        1        4        0
17239 firebird2.1-common-doc             	       0        1        0        0        1
17240 firebird2.5-common-doc             	       0       29        0        0       29
17241 firebird3.0-common                 	       0      762        0        0      762
17242 firebird3.0-common-doc             	       0      764        0        0      764
17243 firebird3.0-doc                    	       0        6        0        0        6
17244 firebird3.0-examples               	       0        4        4        0        0
17245 firebird3.0-server                 	       0        4        4        0        0
17246 firebird4.0-common                 	       0        5        0        0        5
17247 firebird4.0-common-doc             	       0        5        0        0        5
17248 firebird4.0-server-core            	       0        4        0        0        4
17249 firebird4.0-utils                  	       0        4        0        4        0
17250 firecapture                        	       0        1        1        0        0
17251 fireflies                          	       0        2        0        0        2
17252 firefox-devedition                 	       0        1        1        0        0
17253 firefox-devedition-l10n-fr         	       0        1        1        0        0
17254 firefox-esr-l10n-ach               	       0        1        1        0        0
17255 firefox-esr-l10n-af                	       0        1        1        0        0
17256 firefox-esr-l10n-all               	       0        1        0        0        1
17257 firefox-esr-l10n-an                	       0        1        1        0        0
17258 firefox-esr-l10n-ar                	       0        2        2        0        0
17259 firefox-esr-l10n-ast               	       0        2        2        0        0
17260 firefox-esr-l10n-az                	       0        1        1        0        0
17261 firefox-esr-l10n-be                	       0        3        3        0        0
17262 firefox-esr-l10n-bg                	       0        2        2        0        0
17263 firefox-esr-l10n-bn                	       0        2        2        0        0
17264 firefox-esr-l10n-br                	       0        1        1        0        0
17265 firefox-esr-l10n-bs                	       0        2        2        0        0
17266 firefox-esr-l10n-ca                	       0        7        7        0        0
17267 firefox-esr-l10n-ca-valencia       	       0        2        2        0        0
17268 firefox-esr-l10n-cak               	       0        1        1        0        0
17269 firefox-esr-l10n-cy                	       0        2        2        0        0
17270 firefox-esr-l10n-da                	       0        4        4        0        0
17271 firefox-esr-l10n-dsb               	       0        2        2        0        0
17272 firefox-esr-l10n-en-ca             	       0        2        2        0        0
17273 firefox-esr-l10n-eo                	       0        5        5        0        0
17274 firefox-esr-l10n-et                	       0        4        4        0        0
17275 firefox-esr-l10n-eu                	       0        3        3        0        0
17276 firefox-esr-l10n-fa                	       0        2        2        0        0
17277 firefox-esr-l10n-ff                	       0        1        1        0        0
17278 firefox-esr-l10n-fur               	       0        2        2        0        0
17279 firefox-esr-l10n-fy-nl             	       0        1        1        0        0
17280 firefox-esr-l10n-ga-ie             	       0        3        3        0        0
17281 firefox-esr-l10n-gd                	       0        1        1        0        0
17282 firefox-esr-l10n-gl                	       0        3        3        0        0
17283 firefox-esr-l10n-gn                	       0        1        1        0        0
17284 firefox-esr-l10n-gu-in             	       0        2        2        0        0
17285 firefox-esr-l10n-he                	       0        3        3        0        0
17286 firefox-esr-l10n-hi-in             	       0        2        2        0        0
17287 firefox-esr-l10n-hr                	       0        2        2        0        0
17288 firefox-esr-l10n-hsb               	       0        2        2        0        0
17289 firefox-esr-l10n-hy-am             	       0        1        1        0        0
17290 firefox-esr-l10n-ia                	       0        1        1        0        0
17291 firefox-esr-l10n-id                	       0        2        2        0        0
17292 firefox-esr-l10n-is                	       0        2        2        0        0
17293 firefox-esr-l10n-ka                	       0        1        1        0        0
17294 firefox-esr-l10n-kab               	       0        1        1        0        0
17295 firefox-esr-l10n-kk                	       0        2        2        0        0
17296 firefox-esr-l10n-km                	       0        2        2        0        0
17297 firefox-esr-l10n-kn                	       0        2        2        0        0
17298 firefox-esr-l10n-lij               	       0        1        1        0        0
17299 firefox-esr-l10n-lt                	       0        2        2        0        0
17300 firefox-esr-l10n-lv                	       0        2        2        0        0
17301 firefox-esr-l10n-mk                	       0        2        2        0        0
17302 firefox-esr-l10n-mr                	       0        2        2        0        0
17303 firefox-esr-l10n-ms                	       0        1        1        0        0
17304 firefox-esr-l10n-my                	       0        1        1        0        0
17305 firefox-esr-l10n-ne-np             	       0        2        2        0        0
17306 firefox-esr-l10n-nl                	       0       11       11        0        0
17307 firefox-esr-l10n-nn-no             	       0       38       35        3        0
17308 firefox-esr-l10n-oc                	       0        1        1        0        0
17309 firefox-esr-l10n-pa-in             	       0        2        2        0        0
17310 firefox-esr-l10n-pt-pt             	       0        2        2        0        0
17311 firefox-esr-l10n-rm                	       0        1        1        0        0
17312 firefox-esr-l10n-ro                	       0        5        4        1        0
17313 firefox-esr-l10n-sat               	       0        1        1        0        0
17314 firefox-esr-l10n-sc                	       0        2        2        0        0
17315 firefox-esr-l10n-sco               	       0        1        1        0        0
17316 firefox-esr-l10n-si                	       0        2        2        0        0
17317 firefox-esr-l10n-sk                	       0        8        8        0        0
17318 firefox-esr-l10n-skr               	       0        1        1        0        0
17319 firefox-esr-l10n-sl                	       0        2        2        0        0
17320 firefox-esr-l10n-son               	       0        1        1        0        0
17321 firefox-esr-l10n-sq                	       0        3        3        0        0
17322 firefox-esr-l10n-sr                	       0        2        2        0        0
17323 firefox-esr-l10n-szl               	       0        1        1        0        0
17324 firefox-esr-l10n-ta                	       0        2        2        0        0
17325 firefox-esr-l10n-te                	       0        2        2        0        0
17326 firefox-esr-l10n-tg                	       0        2        2        0        0
17327 firefox-esr-l10n-th                	       0        2        2        0        0
17328 firefox-esr-l10n-tl                	       0        2        2        0        0
17329 firefox-esr-l10n-tr                	       0        7        6        1        0
17330 firefox-esr-l10n-trs               	       0        2        2        0        0
17331 firefox-esr-l10n-uk                	       0       10       10        0        0
17332 firefox-esr-l10n-ur                	       0        1        1        0        0
17333 firefox-esr-l10n-uz                	       0        1        1        0        0
17334 firefox-esr-l10n-vi                	       0        2        2        0        0
17335 firefox-esr-l10n-xh                	       0        1        1        0        0
17336 firefox-esr-l10n-zh-cn             	       0        8        8        0        0
17337 firefox-esr-l10n-zh-tw             	       0        5        5        0        0
17338 firefox-l10n-de                    	       0        6        5        0        1
17339 firefox-l10n-dsb                   	       0        2        2        0        0
17340 firefox-l10n-es-ar                 	       0        1        1        0        0
17341 firefox-l10n-es-cl                 	       0        2        2        0        0
17342 firefox-l10n-es-es                 	       0        6        6        0        0
17343 firefox-l10n-es-mx                 	       0        1        1        0        0
17344 firefox-l10n-fr                    	       0        6        6        0        0
17345 firefox-l10n-hr                    	       0        1        1        0        0
17346 firefox-l10n-hsb                   	       0        2        2        0        0
17347 firefox-l10n-it                    	       0        2        1        1        0
17348 firefox-l10n-ja                    	       0        1        1        0        0
17349 firefox-l10n-nl                    	       0        1        1        0        0
17350 firefox-l10n-pl                    	       0        1        1        0        0
17351 firefox-l10n-ru                    	       0        2        2        0        0
17352 firefox-mozilla-build              	       0        1        1        0        0
17353 firefox-nightly                    	       0        2        2        0        0
17354 firefox-nightly-l10n-en-gb         	       0        1        1        0        0
17355 firefox-nightly-l10n-hu            	       0        1        1        0        0
17356 firefox-nightly-l10n-ro            	       0        1        1        0        0
17357 firefox-pkcs11-loader              	       0        1        1        0        0
17358 firefoxdev                         	       0        1        1        0        0
17359 firefoxdriver                      	       0        3        3        0        0
17360 firefoxpwa                         	       0        2        2        0        0
17361 firehol-doc                        	       0        2        0        0        2
17362 firejail-build-deps                	       0        1        0        0        1
17363 firejail-dbgsym                    	       0        1        1        0        0
17364 firejail-profiles                  	       0       65        0        0       65
17365 firetools                          	       0       15       14        1        0
17366 firewalk                           	       0        1        1        0        0
17367 firewall-applet                    	       0        4        4        0        0
17368 firewall-config                    	       0       11       11        0        0
17369 firewalld-tests                    	       0        2        0        0        2
17370 firm-phoenix-ware                  	       0        1        0        0        1
17371 firmware-adi                       	       0        2        0        0        2
17372 firmware-ast                       	       0        3        3        0        0
17373 firmware-ath9k-htc-dbgsym          	       0        1        1        0        0
17374 firmware-b43-installer             	       0       74        8        1       65
17375 firmware-b43legacy-installer       	       0       19        5        0       14
17376 firmware-bnx2                      	       0      179      178        1        0
17377 firmware-carl9170                  	       0      116      106       10        0
17378 firmware-cavium                    	       0       13       13        0        0
17379 firmware-crystalhd                 	       0        4        4        0        0
17380 firmware-intelwimax                	       0      118      117        0        1
17381 firmware-ivtv                      	       0       19       19        0        0
17382 firmware-libertas                  	       0      152      150        2        0
17383 firmware-linux                     	       0      388        0        0      388
17384 firmware-linux-nonfree             	       0      700        5        0      695
17385 firmware-marvell-prestera          	       0       27       25        2        0
17386 firmware-mediatek                  	       0       98       94        4        0
17387 firmware-microbit-micropython      	       0        3        0        0        3
17388 firmware-microbit-micropython-doc  	       0        2        0        0        2
17389 firmware-myricom                   	       0      149      148        1        0
17390 firmware-netronome                 	       0       12       12        0        0
17391 firmware-netxen                    	       0      146      145        1        0
17392 firmware-nvidia-tesla-gsp          	       0        5        5        0        0
17393 firmware-qcom-media                	       0        7        1        0        6
17394 firmware-qcom-soc                  	       0        8        8        0        0
17395 firmware-qlogic                    	       0      153      152        1        0
17396 firmware-radeon-ucode              	       0        2        2        0        0
17397 firmware-ralink                    	       0       49        0        0       49
17398 firmware-realtek-rtl8723cs-bt      	       0       11       11        0        0
17399 firmware-samsung                   	       0       13       13        0        0
17400 firmware-siano                     	       0       10       10        0        0
17401 firmware-ti-connectivity           	       0      144      143        1        0
17402 firmware-tomu                      	       0        1        1        0        0
17403 firmware-zd1211                    	       0      154      151        2        1
17404 fis-gtm                            	       0        4        0        0        4
17405 fis-gtm-6.3-007                    	       0        1        0        0        1
17406 fis-gtm-6.3-014                    	       0        1        0        0        1
17407 fis-gtm-7.0                        	       0        3        0        0        3
17408 fische                             	       0        5        5        0        0
17409 fitgcp                             	       0        1        1        0        0
17410 fitscut                            	       0        2        2        0        0
17411 fitsh                              	       0        3        3        0        0
17412 fitspng                            	       0        9        9        0        0
17413 fitsverify                         	       0        2        2        0        0
17414 fityk                              	       0        3        3        0        0
17415 fiu-utils                          	       0        1        1        0        0
17416 fix-alttab                         	       0        1        1        0        0
17417 fizmo                              	       0        1        0        0        1
17418 fizmo-common                       	       0        1        0        0        1
17419 fizmo-console                      	       0        2        2        0        0
17420 fizmo-ncursesw                     	       0        3        3        0        0
17421 fizsh                              	       0       12       11        1        0
17422 fl-cow                             	       0        2        2        0        0
17423 flacon                             	       0        1        1        0        0
17424 flactag                            	       0       30       29        1        0
17425 flake                              	       0       18       18        0        0
17426 flam3                              	       0        3        3        0        0
17427 flam3-palette                      	       0        1        0        0        1
17428 flam3-utils                        	       0        1        1        0        0
17429 flamerobin                         	       0        4        4        0        0
17430 flamp                              	       0        3        3        0        0
17431 flang                              	       0        1        1        0        0
17432 flang-16                           	       0        1        1        0        0
17433 flang-17                           	       0        1        1        0        0
17434 flang-19                           	       0        1        0        1        0
17435 flare                              	       0        4        0        0        4
17436 flare-data                         	       0        4        0        0        4
17437 flare-engine-build-deps            	       0        1        0        0        1
17438 flare-engine-dbgsym                	       0        1        1        0        0
17439 flare-game                         	       0       12        0        0       12
17440 flare-game-build-deps              	       0        1        0        0        1
17441 flash                              	       0        1        1        0        0
17442 flash-kernel                       	       0        7        7        0        0
17443 flasharch-player                   	       0        1        0        0        1
17444 flashcache-dkms                    	       0        1        1        0        0
17445 flasher-3.5                        	       0        2        2        0        0
17446 flashplayer-chromium               	       0        3        2        0        1
17447 flashplayer-mozilla                	       0        8        8        0        0
17448 flashplugin-nonfree                	       0       32       32        0        0
17449 flashplugin-nonfree-extrasound     	       0        5        5        0        0
17450 flashprint                         	       0        1        0        0        1
17451 flashprint5                        	       0        1        0        0        1
17452 flasm                              	       0        4        4        0        0
17453 flatcam                            	       0        1        1        0        0
17454 flatlatex                          	       0        4        4        0        0
17455 flatpak-builder                    	       0       14       13        1        0
17456 flatpak-builder-tests              	       0        2        0        0        2
17457 flatpak-tests                      	       0        5        0        0        5
17458 flatpak-xdg-utils                  	       0       19        0        0       19
17459 flatpak-xdg-utils-tests            	       0        3        0        0        3
17460 flatzinc                           	       0        2        2        0        0
17461 flawfinder                         	       0        9        9        0        0
17462 fldigi                             	       0       27       27        0        0
17463 flent                              	       0        8        8        0        0
17464 flex-doc                           	       0       21        0        0       21
17465 flexbackup                         	       0        2        2        0        0
17466 flexbar                            	       0        1        1        0        0
17467 flexc++                            	       0        5        5        0        0
17468 flexibee-client                    	       0        1        1        0        0
17469 flexloader                         	       0        3        3        0        0
17470 flexml                             	       0        2        2        0        0
17471 flexpart                           	       0        1        1        0        0
17472 flextra                            	       0        1        1        0        0
17473 flickcurl-utils                    	       0        1        1        0        0
17474 flif-tools                         	       0        1        1        0        0
17475 flightcrew                         	       0        3        3        0        0
17476 flightgear-data-ai                 	       0       21        0        0       21
17477 flightgear-data-all                	       0       21        0        0       21
17478 flightgear-data-base               	       0       21        0        0       21
17479 flightgear-data-models             	       0       21        0        0       21
17480 flightgear-phi                     	       0        7        0        0        7
17481 flim                               	       0       12       12        0        0
17482 flin                               	       0        1        1        0        0
17483 fling                              	       0        1        1        0        0
17484 flintqs                            	       0       11       11        0        0
17485 flit                               	       0        3        3        0        0
17486 flite                              	       0       10       10        0        0
17487 flite1-dev                         	       0       15       15        0        0
17488 flmsg                              	       0        7        7        0        0
17489 floatbg                            	       0        5        5        0        0
17490 flobopuyo                          	       0       18       18        0        0
17491 flood                              	       0        1        1        0        0
17492 floorp                             	       0        3        3        0        0
17493 floppyd                            	       0        7        7        0        0
17494 florence                           	       0        7        7        0        0
17495 flow-tools                         	       0        1        1        0        0
17496 flowblade                          	       0       29       28        1        0
17497 flowgrind                          	       0        2        2        0        0
17498 flpsed                             	       0       11       11        0        0
17499 flpsed-data                        	       0       10        0        0       10
17500 flrig                              	       0       15       15        0        0
17501 fltk1.1-doc                        	       0        1        1        0        0
17502 fltk1.3-doc                        	       0       49       48        0        1
17503 fltk1.3-games                      	       0       11       11        0        0
17504 fluid                              	       0       50       50        0        0
17505 fluid-soundfont-gm                 	       0      310        0        0      310
17506 fluid-soundfont-gs                 	       0      149        0        0      149
17507 fluidr3mono-gm-soundfont           	       0       25        0        0       25
17508 fluidsynth-dssi                    	       0       10       10        0        0
17509 fluxbox-themes-stackrpms           	       0       14        0        0       14
17510 fluxconf                           	       0        2        2        0        0
17511 flvstreamer                        	       0       30       30        0        0
17512 flvtool2                           	       0        1        1        0        0
17513 flwm                               	       0       12       12        0        0
17514 flwrap                             	       0        7        7        0        0
17515 flycheck-doc                       	       0        3        0        0        3
17516 flydraw                            	       0        3        3        0        0
17517 flying-carpet                      	       0        1        1        0        0
17518 fmit                               	       0       12       11        1        0
17519 fnlib-data                         	       0        2        0        0        2
17520 fnotifystat                        	       0        1        1        0        0
17521 fntsample                          	       0        3        3        0        0
17522 focuswriter                        	       0       11       10        1        0
17523 foiltex                            	       0        2        0        0        2
17524 foliate                            	       0       30       26        4        0
17525 folks-common                       	       0      138        0        0      138
17526 fomp                               	       0        8        8        0        0
17527 fondu                              	       0        6        6        0        0
17528 font-hosny-amiri                   	       0        1        0        0        1
17529 font-ttf-ibarra                    	       0        1        0        0        1
17530 font-viewer                        	       0       90        0        0       90
17531 fontconfig-config                  	       0     4040        0        0     4040
17532 fontcustom                         	       0        4        4        0        0
17533 fontforge-build-deps-depends       	       0        1        0        0        1
17534 fontforge-common                   	       0      111        0        0      111
17535 fontforge-dbgsym                   	       0        1        1        0        0
17536 fontforge-doc                      	       0       22        0        0       22
17537 fontforge-nox                      	       0        9        9        0        0
17538 fontmake                           	       0        2        2        0        0
17539 fontmanager.app                    	       0        5        4        1        0
17540 fontmatrix                         	       0       22       22        0        0
17541 fonts-3270                         	       0       60        8        0       52
17542 fonts-adf-gillius                  	       0      180        4        0      176
17543 fonts-adf-irianis                  	       0       27        2        0       25
17544 fonts-adf-libris                   	       0       28        1        0       27
17545 fonts-adf-romande                  	       0       27        1        0       26
17546 fonts-adf-solothurn                	       0       17        1        0       16
17547 fonts-adf-tribun                   	       0       27        2        0       25
17548 fonts-adf-universalis              	       0      180        4        0      176
17549 fonts-adf-verana                   	       0       29        2        0       27
17550 fonts-adobe-sourcesans3            	       0        3        0        0        3
17551 fonts-aenigma                      	       0       26        3        0       23
17552 fonts-agave                        	       0       46        3        0       43
17553 fonts-aksharyogini2                	       0       10        2        0        8
17554 fonts-alegreya-sans                	       0        7        2        0        5
17555 fonts-alexbrush                    	       0        1        0        0        1
17556 fonts-allerta                      	       0       15        1        0       14
17557 fonts-amiga                        	       0       37        1        0       36
17558 fonts-anonymous-pro                	       0       38        2        0       36
17559 fonts-aoyagi-kouzan-t              	       0       15        1        0       14
17560 fonts-aoyagi-soseki                	       0       15        0        0       15
17561 fonts-apropal                      	       0       13        0        0       13
17562 fonts-arapey                       	       0       12        1        0       11
17563 fonts-arkpandora                   	       0       22       12        0       10
17564 fonts-atarismall                   	       0       46        2        0       44
17565 fonts-atarist                      	       0        4        1        0        3
17566 fonts-atkinson-hyperlegible        	       0        5        0        0        5
17567 fonts-atkinson-hyperlegible-ttf    	       0        4        0        0        4
17568 fonts-atkinson-hyperlegible-web    	       0        3        0        0        3
17569 fonts-averia-gwf                   	       0       16        2        0       14
17570 fonts-averia-sans-gwf              	       0       17        1        0       16
17571 fonts-averia-serif-gwf             	       0       17        1        0       16
17572 fonts-b612                         	       0       20        3        0       17
17573 fonts-babelstone-han               	       0       12        3        0        9
17574 fonts-babelstone-modern            	       0       13        3        0       10
17575 fonts-bajaderka                    	       0       13        1        0       12
17576 fonts-bebas-neue                   	       0       15        0        0       15
17577 fonts-beng                         	       0       57        0        0       57
17578 fonts-beteckna                     	       0       28        1        0       27
17579 fonts-blankenburg                  	       0       17        0        0       17
17580 fonts-bwht                         	       0       13        1        0       12
17581 fonts-cabinsketch                  	       0       21        0        0       21
17582 fonts-campania                     	       0       13        2        0       11
17583 fonts-cardo                        	       0       17        2        0       15
17584 fonts-cascadia-code                	       0       11        5        0        6
17585 fonts-cegui                        	       0       14        1        0       13
17586 fonts-cherrybomb                   	       0       14        0        0       14
17587 fonts-chomsky                      	       0        3        0        0        3
17588 fonts-circos-symbols               	       0       16        0        0       16
17589 fonts-cns11643-kai                 	       0       10        3        0        7
17590 fonts-cns11643-pixmaps             	       0        9        0        0        9
17591 fonts-cns11643-sung                	       0        9        1        0        8
17592 fonts-compagnon                    	       0       13        0        0       13
17593 fonts-courier-prime                	       0       42        1        0       41
17594 fonts-creep2                       	       0        9        0        0        9
17595 fonts-culmus-fancy                 	       0        6        1        0        5
17596 fonts-cwtex-docs                   	       0        8        0        0        8
17597 fonts-cwtex-fs                     	       0        9        1        0        8
17598 fonts-cwtex-heib                   	       0        9        1        0        8
17599 fonts-cwtex-kai                    	       0        9        1        0        8
17600 fonts-cwtex-ming                   	       0        9        1        0        8
17601 fonts-cwtex-yen                    	       0        9        1        0        8
17602 fonts-dancingscript                	       0       19        1        0       18
17603 fonts-dclfonts                     	       0        8        0        0        8
17604 fonts-ddc-uchen                    	       0       11        1        0       10
17605 fonts-dejavu                       	       0     2106        0        0     2106
17606 fonts-dejavu-web                   	       0       15        0        0       15
17607 fonts-denemo                       	       0       23        0        0       23
17608 fonts-deva                         	       0       59        0        0       59
17609 fonts-dosis                        	       0       28        0        0       28
17610 fonts-dotgothic16                  	       0       10        0        0       10
17611 fonts-droid                        	       0       31        2        0       29
17612 fonts-dseg                         	       0       15        1        0       14
17613 fonts-dzongkha                     	       0       15        1        0       14
17614 fonts-eeyek                        	       0       10        1        0        9
17615 fonts-elstob                       	       0       14        1        0       13
17616 fonts-elusive-icons                	       0       50        0        0       50
17617 fonts-entypo                       	       0       10        0        0       10
17618 fonts-essays1743                   	       0       19        1        0       18
17619 fonts-eurofurence                  	       0       16        0        0       16
17620 fonts-f500                         	       0       24        0        0       24
17621 fonts-fantasma                     	       0       12        1        0       11
17622 fonts-fantasque-sans               	       0       37        3        1       33
17623 fonts-fanwood                      	       0       20        1        0       19
17624 fonts-femkeklaver                  	       0       41        1        0       40
17625 fonts-ferrite-core                 	       0       14        1        0       13
17626 fonts-fork-awesome                 	       0       48        3        0       45
17627 fonts-gamaliel                     	       0       15        0        0       15
17628 fonts-gargi                        	       0       69        2        0       67
17629 fonts-gemunu-libre                 	       0       10        0        0       10
17630 fonts-gfs-artemisia                	       0      193        5        0      188
17631 fonts-gfs-baskerville              	       0      283        4        0      279
17632 fonts-gfs-bodoni-classic           	       0      104        1        0      103
17633 fonts-gfs-complutum                	       0      185        0        0      185
17634 fonts-gfs-didot                    	       0      193        3        0      190
17635 fonts-gfs-didot-classic            	       0      106        0        0      106
17636 fonts-gfs-gazis                    	       0      104        1        0      103
17637 fonts-gfs-neohellenic              	       0      193        3        0      190
17638 fonts-gfs-olga                     	       0      192        1        0      191
17639 fonts-gfs-porson                   	       0      282        3        0      279
17640 fonts-gfs-solomos                  	       0      193        2        0      191
17641 fonts-gfs-theokritos               	       0      104        2        0      102
17642 fonts-glasstty                     	       0       15        1        0       14
17643 fonts-glewlwyd                     	       0        3        0        0        3
17644 fonts-glyphicons-halflings         	       0      256        4        0      252
17645 fonts-gnutypewriter                	       0       20        0        0       20
17646 fonts-gotico-antiqua               	       0       12        1        0       11
17647 fonts-gujr                         	       0       58        0        0       58
17648 fonts-guru                         	       0       57        0        0       57
17649 fonts-hack-otf                     	       0       22        0        0       22
17650 fonts-hack-ttf                     	       0       40        0        0       40
17651 fonts-hack-web                     	       0       20        0        0       20
17652 fonts-havana                       	       0       15        1        0       14
17653 fonts-hermit                       	       0       16        1        0       15
17654 fonts-horai-umefont                	       0       16        1        0       15
17655 fonts-hosny-thabit                 	       0       12        0        0       12
17656 fonts-humor-sans                   	       0       27        0        0       27
17657 fonts-indic                        	       0       31        0        0       31
17658 fonts-inter-variable               	       0       13        1        0       12
17659 fonts-iosevka                      	       0        2        2        0        0
17660 fonts-ipaexfont                    	       0       21        0        0       21
17661 fonts-ipafont                      	       0       63        0        0       63
17662 fonts-ipafont-nonfree-jisx0208     	       0       12        1        0       11
17663 fonts-ipafont-nonfree-uigothic     	       0       11        0        0       11
17664 fonts-isabella                     	       0       35        1        0       34
17665 fonts-jetbrains-mono-web           	       0        3        0        0        3
17666 fonts-johnsmith-induni             	       0       15        1        0       14
17667 fonts-joscelyn                     	       0       11        0        0       11
17668 fonts-jsmath                       	       0       27        2        0       25
17669 fonts-junction                     	       0       19        1        0       18
17670 fonts-jura                         	       0       26        1        0       25
17671 fonts-karla                        	       0       18        1        0       17
17672 fonts-karmilla                     	       0       12        1        0       11
17673 fonts-katex                        	       0       45        3        0       42
17674 fonts-kaushanscript                	       0       15        1        0       14
17675 fonts-kiloji                       	       0       13        1        0       12
17676 fonts-klaudia-berenika             	       0       18        1        0       17
17677 fonts-klee                         	       0       11        0        0       11
17678 fonts-knda                         	       0       57        0        0       57
17679 fonts-kode-mono                    	       0        3        1        0        2
17680 fonts-komatuna                     	       0       12        1        0       11
17681 fonts-konatu                       	       0       14        2        0       12
17682 fonts-kouzan-mouhitsu              	       0       12        1        0       11
17683 fonts-kristi                       	       0       19        2        0       17
17684 fonts-lao                          	       0       13        0        0       13
17685 fonts-larabie-deco                 	       0       20        2        0       18
17686 fonts-larabie-straight             	       0       19        3        0       16
17687 fonts-larabie-uncommon             	       0       18        3        0       15
17688 fonts-ldco                         	       0        8        1        0        7
17689 fonts-le-murmure                   	       0       12        0        0       12
17690 fonts-league-mono                  	       0       17        0        0       17
17691 fonts-league-spartan               	       0       45        2        0       43
17692 fonts-leckerli-one                 	       0       16        0        0       16
17693 fonts-lemonada                     	       0       10        0        0       10
17694 fonts-levien-typoscript            	       0       14        1        0       13
17695 fonts-lexi-gulim                   	       0       10        1        0        9
17696 fonts-lexi-saebom                  	       0       10        0        0       10
17697 fonts-libfinal                     	       0        6        0        0        6
17698 fonts-lindenhill                   	       0       17        0        0       17
17699 fonts-lklug-sinhala                	       0       14        2        0       12
17700 fonts-lobster                      	       0      189        3        0      186
17701 fonts-lobstertwo                   	       0      187        3        0      184
17702 fonts-lohit-beng-assamese          	       0       68        0        0       68
17703 fonts-lohit-deva-marathi           	       0        8        2        0        6
17704 fonts-lohit-deva-nepali            	       0        8        1        0        7
17705 fonts-lohit-guru                   	       0       57        0        0       57
17706 fonts-lohit-mlym                   	       0       34        2        0       32
17707 fonts-lohit-taml-classical         	       0       60        1        0       59
17708 fonts-londrinaoutline              	       0        1        0        0        1
17709 fonts-lxgw-wenkai                  	       0        6        1        0        5
17710 fonts-lxgw-wenkai-doc              	       0        5        0        0        5
17711 fonts-maitreya                     	       0        3        1        0        2
17712 fonts-manchufont                   	       0       12        0        0       12
17713 fonts-manrope                      	       0       12        1        0       11
17714 fonts-material-design-icons-iconfont	       0       19        1        0       18
17715 fonts-materialdesignicons-webfont  	       0       19        1        0       18
17716 fonts-mathematica                  	       0        6        0        0        6
17717 fonts-mathjax-extras               	       0       40        0        0       40
17718 fonts-meera-inimai                 	       0        9        0        0        9
17719 fonts-meera-taml                   	       0        7        0        0        7
17720 fonts-migmix                       	       0       13        1        0       12
17721 fonts-mikachan                     	       0        8        0        0        8
17722 fonts-millimetre                   	       0       12        1        0       11
17723 fonts-mlym                         	       0       33        0        0       33
17724 fonts-mmcedar                      	       0       13        1        0       12
17725 fonts-moe-standard-kai             	       0        9        0        0        9
17726 fonts-moe-standard-song            	       0        9        0        0        9
17727 fonts-monlam                       	       0        8        1        0        7
17728 fonts-monofur                      	       0       25        1        0       24
17729 fonts-monoid                       	       0       22        1        0       21
17730 fonts-monoid-halfloose             	       0       15        1        0       14
17731 fonts-monoid-halftight             	       0       16        1        0       15
17732 fonts-monoid-loose                 	       0       15        1        0       14
17733 fonts-monoid-tight                 	       0       14        0        0       14
17734 fonts-mononoki                     	       0       30        3        1       26
17735 fonts-montserrat                   	       0        5        1        0        4
17736 fonts-morisawa-bizud-gothic        	       0        7        0        0        7
17737 fonts-morisawa-bizud-mincho        	       0        7        1        0        6
17738 fonts-motoya-l-cedar               	       0       16        1        0       15
17739 fonts-motoya-l-maruberi            	       0       11        0        0       11
17740 fonts-mph-2b-damase                	       0       15        0        0       15
17741 fonts-myanmar                      	       0        6        1        0        5
17742 fonts-nanum-coding                 	       0       12        0        0       12
17743 fonts-nanum-eco                    	       0       11        0        0       11
17744 fonts-nanum-extra                  	       0       12        1        0       11
17745 fonts-national-park                	       0        9        0        0        9
17746 fonts-naver-d2coding               	       0        9        1        0        8
17747 fonts-nerd-font-bitstreamverasansmono	       0        1        0        0        1
17748 fonts-nerd-font-hack               	       0        1        0        0        1
17749 fonts-nerd-font-meslo              	       0        1        0        0        1
17750 fonts-nerd-font-mononoki           	       0        1        0        0        1
17751 fonts-nerd-font-robotomono         	       0        1        0        0        1
17752 fonts-nerd-font-sourcecodepro      	       0        1        0        0        1
17753 fonts-noto                         	       0      873        0        0      873
17754 fonts-noto-hinted                  	       0      619        0        0      619
17755 fonts-noto-unhinted                	       0      863        0        0      863
17756 fonts-ocr-a                        	       0       33        1        0       32
17757 fonts-ocr-b                        	       0       28        1        0       27
17758 fonts-octicons                     	       0        5        0        0        5
17759 fonts-oflb-euterpe                 	       0       21        0        0       21
17760 fonts-okolaks                      	       0       14        0        0       14
17761 fonts-oldstandard                  	       0       25        2        0       23
17762 fonts-opendin                      	       0       27        0        0       27
17763 fonts-opendyslexic                 	       0       23        1        0       22
17764 fonts-oradano-mincho-gsrr          	       0       12        1        0       11
17765 fonts-orya                         	       0       56        0        0       56
17766 fonts-orya-extra                   	       0       57        3        0       54
17767 fonts-osifont                      	       0       23        0        0       23
17768 fonts-ottilie                      	       0        3        1        0        2
17769 fonts-pagul                        	       0       32        0        0       32
17770 fonts-paktype                      	       0       13        1        0       12
17771 fonts-pc                           	       0       44        2        0       42
17772 fonts-pecita                       	       0       13        1        0       12
17773 fonts-play                         	       0       18        0        0       18
17774 fonts-pretendard                   	       0        3        1        0        2
17775 fonts-prociono                     	       0       21        0        0       21
17776 fonts-proggy                       	       0       13        0        0       13
17777 fonts-quattrocento                 	       0       29        0        0       29
17778 fonts-quicksand                    	       0       29        1        0       28
17779 fonts-radisnoir                    	       0       25        0        0       25
17780 fonts-rampart                      	       0       10        0        0       10
17781 fonts-recommended                  	       0       27        0        0       27
17782 fonts-reggae                       	       0       10        0        0       10
17783 fonts-ricty-diminished             	       0       16        1        0       15
17784 fonts-rit-sundar                   	       0        8        1        0        7
17785 fonts-roadgeek                     	       0       12        1        0       11
17786 fonts-roboto                       	       0      129        0        0      129
17787 fonts-roboto-fontface              	       0       27        5        0       22
17788 fonts-roboto-hinted                	       0      125        0        0      125
17789 fonts-roboto-slab                  	       0      228        6        0      222
17790 fonts-rocknroll                    	       0       10        0        0       10
17791 fonts-routed-gothic                	       0       10        1        0        9
17792 fonts-rufscript                    	       0       20        0        0       20
17793 fonts-sahadeva                     	       0       62        1        0       61
17794 fonts-sahel                        	       0        3        0        0        3
17795 fonts-sahel-variable               	       0        3        1        0        2
17796 fonts-sambhota-tsugring            	       0       11        0        0       11
17797 fonts-sambhota-yigchung            	       0       11        0        0       11
17798 fonts-samyak                       	       0       11        0        0       11
17799 fonts-samyak-deva                  	       0       60        3        0       57
17800 fonts-samyak-gujr                  	       0       59        1        0       58
17801 fonts-samyak-mlym                  	       0       33        0        0       33
17802 fonts-samyak-orya                  	       0       11        1        0       10
17803 fonts-samyak-taml                  	       0       61        2        0       59
17804 fonts-sawarabi-gothic              	       0       14        1        0       13
17805 fonts-schraubenkiste               	       0        1        0        0        1
17806 fonts-senamirmir-washra            	       0       12        1        0       11
17807 fonts-seto                         	       0       13        4        0        9
17808 fonts-sil-akatab                   	       0        7        1        0        6
17809 fonts-sil-alkalami                 	       0       10        1        0        9
17810 fonts-sil-andika-compact           	       0       12        0        0       12
17811 fonts-sil-andikanewbasic           	       0       13        1        0       12
17812 fonts-sil-awami-nastaliq           	       0       11        0        0       11
17813 fonts-sil-charis-compact           	       0       14        1        0       13
17814 fonts-sil-doulos-compact           	       0       20        0        0       20
17815 fonts-sil-ezra                     	       0       16        0        0       16
17816 fonts-sil-galatia                  	       0       16        0        0       16
17817 fonts-sil-gentiumplus-compact      	       0      177        3        0      174
17818 fonts-sil-harmattan                	       0       11        1        0       10
17819 fonts-sil-lateef                   	       0       12        1        0       11
17820 fonts-sil-mingzat                  	       0       10        0        0       10
17821 fonts-sil-mondulkiri               	       0       11        1        0       10
17822 fonts-sil-mondulkiri-extra         	       0       11        1        0       10
17823 fonts-sil-shimenkan                	       0        9        1        0        8
17824 fonts-sil-shimenkan-gsm            	       0        8        1        0        7
17825 fonts-sil-shimenkan-guifan         	       0        8        1        0        7
17826 fonts-sil-shimenkan-mas            	       0        8        1        0        7
17827 fonts-sil-shimenkan-mgs            	       0        8        1        0        7
17828 fonts-sil-shimenkan-salaowu        	       0        8        1        0        7
17829 fonts-sil-shimenkan-sapushan       	       0        8        1        0        7
17830 fonts-sil-shimenkan-taogu          	       0        8        1        0        7
17831 fonts-sil-shimenkan-zonghe         	       0        8        1        0        7
17832 fonts-sil-sophia-nubian            	       0       13        0        0       13
17833 fonts-sil-tagmukay                 	       0       10        0        0       10
17834 fonts-sil-taiheritagepro           	       0       12        2        0       10
17835 fonts-sil-zaghawa-beria            	       0       12        0        0       12
17836 fonts-sipa-arundina                	       0       11        0        0       11
17837 fonts-sixtyfour                    	       0       39        1        0       38
17838 fonts-smc                          	       0       33        0        0       33
17839 fonts-smc-gayathri                 	       0       30        0        0       30
17840 fonts-smc-karumbi                  	       0       31        0        0       31
17841 fonts-smc-manjari                  	       0       31        0        0       31
17842 fonts-smc-rachana                  	       0       31        2        0       29
17843 fonts-smc-raghumalayalamsans       	       0       31        0        0       31
17844 fonts-smc-uroob                    	       0       31        0        0       31
17845 fonts-smiley-sans                  	       0        6        0        0        6
17846 fonts-sn-pro                       	       0        3        0        0        3
17847 fonts-solide-mirage                	       0       10        1        0        9
17848 fonts-sora                         	       0       10        0        0       10
17849 fonts-spleen                       	       0       24        2        0       22
17850 fonts-staypuft                     	       0       26        0        0       26
17851 fonts-stick                        	       0       12        0        0       12
17852 fonts-summersby                    	       0       21        0        0       21
17853 fonts-tagbanwa                     	       0       10        1        0        9
17854 fonts-takao                        	       0       16        0        0       16
17855 fonts-takao-mincho                 	       0       23        1        0       22
17856 fonts-taml                         	       0       60        0        0       60
17857 fonts-taml-tamu                    	       0        9        0        0        9
17858 fonts-taml-tscu                    	       0       11        0        0       11
17859 fonts-telu                         	       0       59        0        0       59
17860 fonts-texmacs                      	       0        1        0        0        1
17861 fonts-thai-tlwg                    	       0       23        0        0       23
17862 fonts-thai-tlwg-otf                	       0       11        0        0       11
17863 fonts-thai-tlwg-ttf                	       0        9        0        0        9
17864 fonts-thai-tlwg-web                	       0       11        0        0       11
17865 fonts-tiresias                     	       0       22        1        0       21
17866 fonts-tlwg-garuda                  	       0      113        0        0      113
17867 fonts-tlwg-garuda-otf              	       0      107        3        0      104
17868 fonts-tlwg-garuda-ttf              	       0       24        1        0       23
17869 fonts-tlwg-kinnari                 	       0      111        0        0      111
17870 fonts-tlwg-kinnari-otf             	       0      106        7        0       99
17871 fonts-tlwg-laksaman                	       0      111        0        0      111
17872 fonts-tlwg-laksaman-ttf            	       0       22        1        0       21
17873 fonts-tlwg-loma                    	       0      111        0        0      111
17874 fonts-tlwg-mono                    	       0      112        0        0      112
17875 fonts-tlwg-mono-otf                	       0      106        0        0      106
17876 fonts-tlwg-mono-ttf                	       0       23        0        0       23
17877 fonts-tlwg-norasi                  	       0      111        0        0      111
17878 fonts-tlwg-norasi-otf              	       0      106        2        0      104
17879 fonts-tlwg-purisa                  	       0      112        0        0      112
17880 fonts-tlwg-purisa-otf              	       0      106        0        0      106
17881 fonts-tlwg-sawasdee                	       0      110        0        0      110
17882 fonts-tlwg-sawasdee-otf            	       0      105        0        0      105
17883 fonts-tlwg-typewriter              	       0      110        0        0      110
17884 fonts-tlwg-typewriter-otf          	       0      105        1        0      104
17885 fonts-tlwg-typewriter-ttf          	       0       21        1        0       20
17886 fonts-tlwg-typist                  	       0      110        0        0      110
17887 fonts-tlwg-typist-otf              	       0      105        0        0      105
17888 fonts-tlwg-typist-ttf              	       0       21        0        0       21
17889 fonts-tlwg-typo                    	       0      110        0        0      110
17890 fonts-tlwg-umpush                  	       0      110        0        0      110
17891 fonts-tlwg-umpush-ttf              	       0       21        0        0       21
17892 fonts-tlwg-waree                   	       0      119        0        0      119
17893 fonts-tomsontalks                  	       0       23        0        0       23
17894 fonts-topaz-unicode                	       0        3        1        0        2
17895 fonts-train                        	       0       12        0        0       12
17896 fonts-triod-postnaja               	       0       11        1        0       10
17897 fonts-tt2020                       	       0        3        1        0        2
17898 fonts-ttf-decoratives              	       0        1        1        0        0
17899 fonts-ttf-west-european            	       0        1        1        0        0
17900 fonts-twemoji-svginot              	       0        1        1        0        0
17901 fonts-type1-cyrillic               	       0        1        0        0        1
17902 fonts-ubuntu-console               	       0       22        0        0       22
17903 fonts-ubuntu-font-family-console   	       0        1        0        0        1
17904 fonts-ubuntu-title                 	       0       20        0        0       20
17905 fonts-ukij-uyghur                  	       0       12        1        0       11
17906 fonts-umeplus-cl                   	       0       11        1        0       10
17907 fonts-unfonts-extra                	       0      108        2        0      106
17908 fonts-unifrakturcook               	       0        1        0        0        1
17909 fonts-unifrakturmaguntia           	       0        1        0        0        1
17910 fonts-unikurdweb                   	       0       14        1        0       13
17911 fonts-uniol                        	       0        7        0        0        7
17912 fonts-vazirmatn                    	       0        4        1        0        3
17913 fonts-vazirmatn-variable           	       0        3        0        0        3
17914 fonts-vollkorn                     	       0       22        2        0       20
17915 fonts-weather-icons                	       0        2        0        0        2
17916 fonts-woowa-bm                     	       0        8        0        0        8
17917 fonts-woowa-hanna                  	       0        3        1        0        2
17918 fonts-xfree86-nonfree              	       0       29        5        0       24
17919 fonts-yanone-kaffeesatz            	       0       21        2        0       19
17920 fonts-yozvox-yozfont               	       0       13        0        0       13
17921 fonts-yozvox-yozfont-antique       	       0       13        1        0       12
17922 fonts-yozvox-yozfont-cute          	       0       13        1        0       12
17923 fonts-yozvox-yozfont-edu           	       0       13        1        0       12
17924 fonts-yozvox-yozfont-new-kana      	       0       13        1        0       12
17925 fonts-yozvox-yozfont-standard-kana 	       0       13        1        0       12
17926 fonts-yrsa-rasa                    	       0       56        3        0       53
17927 fonts-yusei-magic                  	       0       10        0        0       10
17928 fontsnaps                          	       0      137      136        1        0
17929 fonttools                          	       0       26       26        0        0
17930 fonttools-eexecop                  	       0        1        1        0        0
17931 fonty                              	       0        1        0        0        1
17932 fonty-rg                           	       0       14       14        0        0
17933 fontypython                        	       0        3        3        0        0
17934 foo2zjs                            	       0        3        0        0        3
17935 foobillard                         	       0        1        1        0        0
17936 foobillardplus-data                	       0       10        0        0       10
17937 fookb                              	       0        2        2        0        0
17938 fookb-wmaker                       	       0        1        0        0        1
17939 foomatic-db                        	       0      117        0        0      117
17940 foomatic-db-gutenprint             	       0       17        0        0       17
17941 foomatic-filters                   	       0        5        5        0        0
17942 foomatic-filters-ppds              	       0        1        0        0        1
17943 foomatic-gui                       	       0        1        1        0        0
17944 foot-terminfo                      	       0       46        0        0       46
17945 foot-themes                        	       0        6        0        0        6
17946 fop                                	       0       59       59        0        0
17947 fop-doc                            	       0        9        0        0        9
17948 fopnu                              	       0        1        1        0        0
17949 forensic-artifacts                 	       0       24        0        0       24
17950 forensics-all                      	       0       16        0        0       16
17951 forensics-all-gui                  	       0        5        0        0        5
17952 forensics-extra                    	       0       14        0        0       14
17953 forensics-extra-gui                	       0        8        0        0        8
17954 forensics-full                     	       0        4        0        0        4
17955 forensics-samples-all              	       0        4        0        0        4
17956 forensics-samples-btrfs            	       0        4        0        0        4
17957 forensics-samples-exfat            	       0        4        0        0        4
17958 forensics-samples-ext2             	       0        4        0        0        4
17959 forensics-samples-ext4             	       0        4        0        0        4
17960 forensics-samples-files            	       0        5        0        0        5
17961 forensics-samples-multiple         	       0        4        0        0        4
17962 forensics-samples-ntfs             	       0        6        0        0        6
17963 forensics-samples-tools            	       0        7        7        0        0
17964 forensics-samples-vfat             	       0        4        0        0        4
17965 forg                               	       0        2        2        0        0
17966 forge-doc                          	       0        1        0        0        1
17967 forkstat                           	       0        4        4        0        0
17968 formiko                            	       0       11       11        0        0
17969 fort77                             	       0       10        9        1        0
17970 forticlient-sslvpn                 	       0        1        0        0        1
17971 fortran-language-server            	       0        2        2        0        0
17972 fortunate.app                      	       0        3        2        1        0
17973 fortune-anarchism                  	       0       18        0        0       18
17974 fortune-zh                         	       0        1        1        0        0
17975 fortunes                           	       0       92        0        0       92
17976 fortunes-americanpie               	       0        1        0        0        1
17977 fortunes-beastwars                 	       0        1        0        0        1
17978 fortunes-bofh-excuses              	       0       55        0        0       55
17979 fortunes-br                        	       0        2        0        0        2
17980 fortunes-calvin                    	       0        1        0        0        1
17981 fortunes-ccs                       	       0        1        0        0        1
17982 fortunes-cs                        	       0        1        0        0        1
17983 fortunes-debian-hints              	       0       65        0        0       65
17984 fortunes-devuan-quotes             	       0        1        0        0        1
17985 fortunes-discworld                 	       0        1        0        0        1
17986 fortunes-dragonball                	       0        1        0        0        1
17987 fortunes-ens                       	       0        1        0        0        1
17988 fortunes-eo                        	       0        1        0        0        1
17989 fortunes-eo-ascii                  	       0        1        0        0        1
17990 fortunes-eo-iso3                   	       0        1        0        0        1
17991 fortunes-es                        	       0        5        0        0        5
17992 fortunes-es-off                    	       0        3        0        0        3
17993 fortunes-fgump                     	       0        1        0        0        1
17994 fortunes-fr                        	       0        4        0        0        4
17995 fortunes-futurama                  	       0        1        0        0        1
17996 fortunes-ga                        	       0        1        0        0        1
17997 fortunes-hayward                   	       0        1        0        0        1
17998 fortunes-hitchhiker                	       0        1        0        0        1
17999 fortunes-it                        	       0      120        0        0      120
18000 fortunes-it-brianza                	       0        1        0        0        1
18001 fortunes-it-off                    	       0        3        0        0        3
18002 fortunes-kernelcookies             	       0        1        0        0        1
18003 fortunes-liberty                   	       0        1        0        0        1
18004 fortunes-mario                     	       0        5        0        0        5
18005 fortunes-matrix                    	       0        1        0        0        1
18006 fortunes-min                       	       0     1152        0        0     1152
18007 fortunes-off                       	       0       28        0        0       28
18008 fortunes-opensources               	       0        1        0        0        1
18009 fortunes-outlawstar                	       0        1        0        0        1
18010 fortunes-pl                        	       0        2        0        0        2
18011 fortunes-pooh                      	       0        1        0        0        1
18012 fortunes-programming-style         	       0        1        0        0        1
18013 fortunes-ru                        	       0        7        0        0        7
18014 fortunes-simpsons                  	       0        1        0        0        1
18015 fortunes-simpsons-chalkboard       	       0        1        0        0        1
18016 fortunes-simpsons-comicbookguy     	       0        1        0        0        1
18017 fortunes-simpsons-ralph            	       0        1        0        0        1
18018 fortunes-southpark                 	       0        1        0        0        1
18019 fortunes-starwars                  	       0        1        0        0        1
18020 fortunes-stephenwright             	       0        1        0        0        1
18021 fortunes-tao                       	       0        1        0        0        1
18022 fortunes-tenchi                    	       0        1        0        0        1
18023 fortunes-xfiles                    	       0        1        0        0        1
18024 fortunes-xian-koans                	       0        1        0        0        1
18025 fortunes-zh                        	       0        1        1        0        0
18026 fosfat                             	       0        1        1        0        0
18027 fotoxx                             	       0       22       22        0        0
18028 fotoxx-common                      	       0       24        0        0       24
18029 foxtrotgps                         	       0       13       13        0        0
18030 fp-compiler                        	       0       38        0        0       38
18031 fp-compiler-2.6.4                  	       0        4        4        0        0
18032 fp-compiler-3.0.0                  	       0        6        6        0        0
18033 fp-docs                            	       0        9        0        0        9
18034 fp-docs-2.6.0                      	       0        1        0        0        1
18035 fp-docs-3.0.0                      	       0        5        0        0        5
18036 fp-docs-3.0.4                      	       0        4        0        0        4
18037 fp-docs-3.2.0                      	       0       14        0        0       14
18038 fp-docs-3.2.2                      	       0       78        0        0       78
18039 fp-ide                             	       0       30        0        0       30
18040 fp-ide-2.6.4                       	       0        1        1        0        0
18041 fp-ide-3.0.0                       	       0        6        6        0        0
18042 fp-ide-3.2.0                       	       0        9        9        0        0
18043 fp-units-base                      	       0       11        0        0       11
18044 fp-units-base-2.6.4                	       0        3        3        0        0
18045 fp-units-base-3.0.0                	       0        6        6        0        0
18046 fp-units-base-3.0.4                	       0        3        0        0        3
18047 fp-units-base-3.2.0                	       0       11        0        0       11
18048 fp-units-base-3.2.2                	       0       76        0        0       76
18049 fp-units-castle-game-engine        	       0        5        5        0        0
18050 fp-units-db                        	       0        6        0        0        6
18051 fp-units-db-2.6.4                  	       0        1        1        0        0
18052 fp-units-db-3.0.0                  	       0        6        6        0        0
18053 fp-units-db-3.0.4                  	       0        3        0        0        3
18054 fp-units-db-3.2.0                  	       0        9        0        0        9
18055 fp-units-db-3.2.2                  	       0       76        0        0       76
18056 fp-units-fcl                       	       0       12        0        0       12
18057 fp-units-fcl-2.6.4                 	       0        1        0        0        1
18058 fp-units-fcl-3.0.0                 	       0        6        6        0        0
18059 fp-units-fcl-3.0.4                 	       0        3        0        0        3
18060 fp-units-fcl-3.2.0                 	       0       10        0        0       10
18061 fp-units-fcl-3.2.2                 	       0       76        0        0       76
18062 fp-units-fv                        	       0        5        0        0        5
18063 fp-units-fv-2.6.4                  	       0        1        1        0        0
18064 fp-units-fv-3.0.0                  	       0        6        6        0        0
18065 fp-units-fv-3.0.4                  	       0        3        0        0        3
18066 fp-units-fv-3.2.0                  	       0        9        0        0        9
18067 fp-units-fv-3.2.2                  	       0       76        0        0       76
18068 fp-units-gfx                       	       0        6        0        0        6
18069 fp-units-gfx-2.6.4                 	       0        3        3        0        0
18070 fp-units-gfx-3.0.0                 	       0        6        6        0        0
18071 fp-units-gfx-3.0.4                 	       0        3        0        0        3
18072 fp-units-gfx-3.2.0                 	       0       10        0        0       10
18073 fp-units-gfx-3.2.2                 	       0       76        0        0       76
18074 fp-units-gtk2                      	       0        9        0        0        9
18075 fp-units-gtk2-2.6.4                	       0        1        1        0        0
18076 fp-units-gtk2-3.0.0                	       0        5        5        0        0
18077 fp-units-gtk2-3.0.4                	       0        2        0        0        2
18078 fp-units-gtk2-3.2.0                	       0        8        0        0        8
18079 fp-units-gtk2-3.2.2                	       0       73        0        0       73
18080 fp-units-i386                      	       0        2        0        0        2
18081 fp-units-i386-3.2.0                	       0        2        0        0        2
18082 fp-units-i386-3.2.2                	       0        1        0        0        1
18083 fp-units-math                      	       0        5        0        0        5
18084 fp-units-math-2.6.4                	       0        1        1        0        0
18085 fp-units-math-3.0.0                	       0        6        6        0        0
18086 fp-units-math-3.0.4                	       0        3        0        0        3
18087 fp-units-math-3.2.0                	       0        9        0        0        9
18088 fp-units-math-3.2.2                	       0       76        0        0       76
18089 fp-units-misc                      	       0       10        0        0       10
18090 fp-units-misc-2.6.4                	       0        1        1        0        0
18091 fp-units-misc-3.0.0                	       0        6        6        0        0
18092 fp-units-misc-3.0.4                	       0        3        0        0        3
18093 fp-units-misc-3.2.0                	       0       10        0        0       10
18094 fp-units-misc-3.2.2                	       0       76        0        0       76
18095 fp-units-multimedia                	       0        5        0        0        5
18096 fp-units-multimedia-3.0.0          	       0        6        6        0        0
18097 fp-units-multimedia-3.0.4          	       0        3        0        0        3
18098 fp-units-multimedia-3.2.0          	       0       10        0        0       10
18099 fp-units-multimedia-3.2.2          	       0       76        0        0       76
18100 fp-units-net                       	       0        5        0        0        5
18101 fp-units-net-2.6.4                 	       0        1        1        0        0
18102 fp-units-net-3.0.0                 	       0        6        6        0        0
18103 fp-units-net-3.0.4                 	       0        3        0        0        3
18104 fp-units-net-3.2.0                 	       0        9        0        0        9
18105 fp-units-net-3.2.2                 	       0       76        0        0       76
18106 fp-units-rtl                       	       0        7        0        0        7
18107 fp-units-rtl-2.6.4                 	       0        4        4        0        0
18108 fp-units-rtl-3.0.0                 	       0        6        6        0        0
18109 fp-units-rtl-3.0.4                 	       0        8        0        0        8
18110 fp-units-rtl-3.2.0                 	       0       21        0        0       21
18111 fp-units-wasm                      	       0        2        0        0        2
18112 fp-units-wasm-3.2.2                	       0        2        0        0        2
18113 fp-units-win-base                  	       0        1        0        0        1
18114 fp-units-win-base-3.2.2            	       0        1        0        0        1
18115 fp-units-win-db                    	       0        1        0        0        1
18116 fp-units-win-db-3.2.2              	       0        1        0        0        1
18117 fp-units-win-fcl                   	       0        1        0        0        1
18118 fp-units-win-fcl-3.2.2             	       0        1        0        0        1
18119 fp-units-win-fv                    	       0        1        0        0        1
18120 fp-units-win-fv-3.2.2              	       0        1        0        0        1
18121 fp-units-win-gfx                   	       0        1        0        0        1
18122 fp-units-win-gfx-3.2.2             	       0        1        0        0        1
18123 fp-units-win-gtk2                  	       0        1        0        0        1
18124 fp-units-win-gtk2-3.2.2            	       0        1        0        0        1
18125 fp-units-win-math                  	       0        1        0        0        1
18126 fp-units-win-math-3.2.2            	       0        1        0        0        1
18127 fp-units-win-misc                  	       0        1        0        0        1
18128 fp-units-win-misc-3.2.2            	       0        1        0        0        1
18129 fp-units-win-multimedia            	       0        1        0        0        1
18130 fp-units-win-multimedia-3.2.2      	       0        1        0        0        1
18131 fp-units-win-net                   	       0        1        0        0        1
18132 fp-units-win-net-3.2.2             	       0        1        0        0        1
18133 fp-units-win-rtl-3.2.2             	       0        1        0        0        1
18134 fp-units-win-wasm                  	       0        1        0        0        1
18135 fp-units-win-wasm-3.2.2            	       0        1        0        0        1
18136 fp-utils-2.6.4                     	       0        3        3        0        0
18137 fp-utils-3.0.0                     	       0        5        5        0        0
18138 fpa-admin-utils                    	       0        2        2        0        0
18139 fpa-ansible-essentials             	       0        7        0        0        7
18140 fpa-apt-listchanges                	       0        1        0        0        1
18141 fpa-audio-manipulation             	       0        5        5        0        0
18142 fpa-autostart-x                    	       0        2        0        0        2
18143 fpa-backup-scripts                 	       0       11       10        1        0
18144 fpa-bash-lib                       	       0       11       10        1        0
18145 fpa-benchmark                      	       0        5        5        0        0
18146 fpa-black-scholes                  	       0        3        3        0        0
18147 fpa-brainworkshop                  	       0        2        2        0        0
18148 fpa-btrfs-utils                    	       0        2        1        1        0
18149 fpa-chromedriver                   	       0        1        0        0        1
18150 fpa-chromium-sandboxed             	       0        1        0        0        1
18151 fpa-clipfixfilename                	       0        3        3        0        0
18152 fpa-config-apache-proxy-pass       	       0        2        0        0        2
18153 fpa-config-cups                    	       0        1        0        0        1
18154 fpa-config-dotfiles                	       0       10       10        0        0
18155 fpa-config-ethers                  	       0        2        0        0        2
18156 fpa-config-hblock                  	       0        1        1        0        0
18157 fpa-config-lyx                     	       0        2        0        0        2
18158 fpa-config-openvpn                 	       0        5        5        0        0
18159 fpa-config-ssh-server              	       0        3        0        0        3
18160 fpa-config-sudo                    	       0       11        0        0       11
18161 fpa-config-sword                   	       0        4        4        0        0
18162 fpa-config-taskwarrior             	       0        3        0        0        3
18163 fpa-config-trackpoint              	       0        1        1        0        0
18164 fpa-config-vim                     	       0        8        8        0        0
18165 fpa-containers-essentials-lxc      	       0        3        0        0        3
18166 fpa-debian-autoupdates             	       0        9        0        0        9
18167 fpa-debian-updater                 	       0        9        9        0        0
18168 fpa-desktop-common                 	       0        1        0        0        1
18169 fpa-dev-debianbuild                	       0        1        1        0        0
18170 fpa-dev-generic                    	       0        3        3        0        0
18171 fpa-drupal-scripts                 	       0        2        2        0        0
18172 fpa-firefox                        	       0        2        2        0        0
18173 fpa-fixfilenames-perl              	       0        4        4        0        0
18174 fpa-fot                            	       0        2        0        0        2
18175 fpa-fuzzy-tools                    	       0        1        1        0        0
18176 fpa-general-scripts                	       0       11       10        1        0
18177 fpa-general-x                      	       0        8        7        1        0
18178 fpa-getlinks-python                	       0        3        3        0        0
18179 fpa-gitman                         	       0        8        7        1        0
18180 fpa-google-chrome-repo             	       0        3        0        0        3
18181 fpa-holyday-calendar               	       0        2        2        0        0
18182 fpa-html-utils                     	       0        3        3        0        0
18183 fpa-investment-calculations        	       0        4        4        0        0
18184 fpa-iptables-config-doc            	       0        1        0        0        1
18185 fpa-ksounds                        	       0        1        1        0        0
18186 fpa-ksounds-files                  	       0        1        0        0        1
18187 fpa-lanemotions                    	       0        2        2        0        0
18188 fpa-latex-scripts                  	       0        2        2        0        0
18189 fpa-lxc-utils                      	       0        2        2        0        0
18190 fpa-meta-admin                     	       0        1        0        0        1
18191 fpa-metal-essentials               	       0        4        0        0        4
18192 fpa-mktree                         	       0        2        2        0        0
18193 fpa-mountiso                       	       0        1        1        0        0
18194 fpa-mp3info                        	       0        4        0        0        4
18195 fpa-mp3info-python                 	       0        1        1        0        0
18196 fpa-mp3tools                       	       0        1        0        0        1
18197 fpa-network-scripts                	       0        7        6        1        0
18198 fpa-nextcloud-kdocs-backup         	       0        1        1        0        0
18199 fpa-noip                           	       0        1        1        0        0
18200 fpa-nopulseaudio                   	       0        3        3        0        0
18201 fpa-ookla-speedtest                	       0        2        2        0        0
18202 fpa-pipewire                       	       0        2        2        0        0
18203 fpa-pkg                            	       0        1        1        0        0
18204 fpa-pyscraper                      	       0        2        2        0        0
18205 fpa-python-modules                 	       0        1        1        0        0
18206 fpa-python-pyvirtualdisplay        	       0        3        2        0        1
18207 fpa-reboot-update                  	       0        5        5        0        0
18208 fpa-repo-brave-browser             	       0        3        0        0        3
18209 fpa-repo-devuan-backports          	       0        1        0        0        1
18210 fpa-repo-devuan-beowulf            	       0        4        0        0        4
18211 fpa-repo-devuan-chimaera           	       0        1        0        0        1
18212 fpa-repo-devuan-daedalus           	       0        2        0        0        2
18213 fpa-repo-elasticsearch             	       0        1        0        0        1
18214 fpa-repo-nextcloud                 	       0        3        0        0        3
18215 fpa-repo-nordvpn                   	       0        2        0        0        2
18216 fpa-repo-owncloud                  	       0        1        0        0        1
18217 fpa-repo-private                   	       0       11        0        0       11
18218 fpa-repo-recoll                    	       0        2        0        0        2
18219 fpa-repo-signal                    	       0        2        0        0        2
18220 fpa-repo-x2go                      	       0        3        0        0        3
18221 fpa-repo-xpra                      	       0        3        0        0        3
18222 fpa-rtunnel                        	       0        2        2        0        0
18223 fpa-scanner-scripts                	       0        1        1        0        0
18224 fpa-scrape-python                  	       0        1        1        0        0
18225 fpa-security-scripts               	       0        3        3        0        0
18226 fpa-services                       	       0        3        3        0        0
18227 fpa-silence-notifications          	       0        2        2        0        0
18228 fpa-sndreset                       	       0        2        2        0        0
18229 fpa-sync-scripts                   	       0        8        8        0        0
18230 fpa-system-monitor-temps           	       0        8        8        0        0
18231 fpa-test                           	       0        1        1        0        0
18232 fpa-thistothat-scripts             	       0        3        3        0        0
18233 fpa-web-scripts                    	       0        7        7        0        0
18234 fpa-webtools-apache                	       0        6        6        0        0
18235 fpa-wgetpaste                      	       0        1        1        0        0
18236 fpa-wine-scripts                   	       0        4        4        0        0
18237 fpa-xclip-scripts                  	       0        2        2        0        0
18238 fpa-xpad                           	       0        3        3        0        0
18239 fpc                                	       0       78        0        0       78
18240 fpc-3.0.0                          	       0        5        0        0        5
18241 fpc-3.0.4                          	       0        2        0        0        2
18242 fpc-3.2.0                          	       0        6        0        0        6
18243 fpc-3.2.2                          	       0       72        0        0       72
18244 fpc-laz                            	       0        7        6        1        0
18245 fpc-source                         	       0       16        0        0       16
18246 fpc-source-3.0.0                   	       0        5        0        0        5
18247 fpc-source-3.0.4                   	       0        8        0        0        8
18248 fpc-source-3.2.0                   	       0       21        0        0       21
18249 fpc-source-3.2.2                   	       0       91        0        0       91
18250 fpc-src                            	       0        7        6        1        0
18251 fpdns                              	       0        3        3        0        0
18252 fpga-icestorm-chipdb               	       0        7        0        0        7
18253 fpga-trellis                       	       0        1        1        0        0
18254 fpga-trellis-database              	       0        1        0        0        1
18255 fpgatools                          	       0        5        5        0        0
18256 fplll-tools                        	       0        1        1        0        0
18257 fprint-demo                        	       0        3        3        0        0
18258 fprintd                            	       0       44       41        3        0
18259 fprintd-doc                        	       0        4        0        0        4
18260 fprintd-tk                         	       0        1        1        0        0
18261 fpzip-utils                        	       0        1        1        0        0
18262 fqterm                             	       0        1        1        0        0
18263 fracplanet                         	       0        7        7        0        0
18264 fractalnow                         	       0        4        4        0        0
18265 fractgen                           	       0        4        4        0        0
18266 fragmaster                         	       0       94       90        4        0
18267 fraqtive                           	       0       12       12        0        0
18268 fred                               	       0        1        1        0        0
18269 fred-reports                       	       0        1        0        0        1
18270 free42-nologo                      	       0        5        5        0        0
18271 freealchemist                      	       0       15       15        0        0
18272 freeaptx-utils                     	       0        1        1        0        0
18273 freebirth                          	       0        3        3        0        0
18274 freebirth-data                     	       0        3        0        0        3
18275 freebsd-buildutils                 	       0        3        3        0        0
18276 freebsd-glue                       	       0        4        4        0        0
18277 freebsd-manpages                   	       0        7        0        0        7
18278 freebsd-mk                         	       0        3        0        0        3
18279 freecad                            	       0      118       16        0      102
18280 freecad-common                     	       0      120        0        0      120
18281 freecad-python2                    	       0        3        3        0        0
18282 freecad-runtime                    	       0        4        0        0        4
18283 freecdb                            	       0        2        2        0        0
18284 freecell-solver-bin                	       0        2        1        1        0
18285 freeciv-client-gtk                 	       0       12        4        0        8
18286 freeciv-client-gtk4                	       0        1        1        0        0
18287 freeciv-client-qt                  	       0        5        5        0        0
18288 freeciv-client-sdl                 	       0        5        5        0        0
18289 freeciv-sound-standard             	       0        4        0        0        4
18290 freeciv21                          	       0        1        1        0        0
18291 freecontact                        	       0        1        1        0        0
18292 freecraft                          	       0        2        2        0        0
18293 freedesktop-sound-theme            	       0        1        0        0        1
18294 freedink                           	       0       14        0        0       14
18295 freedink-data                      	       0       14        0        0       14
18296 freedm                             	       0       10       10        0        0
18297 freedom-maker                      	       0        1        1        0        0
18298 freedombox-doc-en                  	       0        1        0        0        1
18299 freedownloadmanager                	       0        1        1        0        0
18300 freedroid                          	       0        6        6        0        0
18301 freedroid-data                     	       0        6        0        0        6
18302 freedroidrpg-data                  	       0       11        0        0       11
18303 freedup                            	       0        1        1        0        0
18304 freedv                             	       0       11       11        0        0
18305 freefem                            	       0        4        4        0        0
18306 freefem++                          	       0        2        2        0        0
18307 freefem++-doc                      	       0        2        0        0        2
18308 freefem-doc                        	       0        2        0        0        2
18309 freefem-examples                   	       0        3        0        0        3
18310 freefem3d                          	       0        1        1        0        0
18311 freefilesync                       	       0        4        4        0        0
18312 freefilesync-build-deps            	       0        1        0        0        1
18313 freefont                           	       0        1        1        0        0
18314 freegish                           	       0        3        3        0        0
18315 freegish-data                      	       0        3        0        0        3
18316 freeglut-build-deps                	       0        1        0        0        1
18317 freeglut3                          	       0      167        0        0      167
18318 freeglut3-dbgsym                   	       0        1        1        0        0
18319 freeglut3-dev                      	       0       93       27        0       66
18320 freeguide                          	       0        1        1        0        0
18321 freehdl                            	       0        3        3        0        0
18322 freeipa-client                     	       0       18       14        4        0
18323 freeipa-client-epn                 	       0        1        1        0        0
18324 freeipa-client-samba               	       0        1        1        0        0
18325 freeipa-common                     	       0       18        0        0       18
18326 freeipa-helper                     	       0       13       12        1        0
18327 freeipmi                           	       0       15        0        0       15
18328 freeipmi-common                    	       0      114        0        0      114
18329 freelan                            	       0        1        1        0        0
18330 freelib                            	       0        1        1        0        0
18331 freemat-help                       	       0        1        0        0        1
18332 freeme2                            	       0        1        1        0        0
18333 freemedforms-common-resources      	       0        1        0        0        1
18334 freemedforms-freedata              	       0        1        0        0        1
18335 freemedforms-i18n                  	       0        1        0        0        1
18336 freemedforms-theme                 	       0        1        0        0        1
18337 freemind                           	       0        5        5        0        0
18338 freemind-doc                       	       0        5        0        0        5
18339 freemind-plugins-svg               	       0        1        0        0        1
18340 freenect                           	       0        1        0        0        1
18341 freenx                             	       0        1        0        0        1
18342 freenx-media                       	       0        1        1        0        0
18343 freenx-rdp                         	       0        1        0        0        1
18344 freenx-server                      	       0        1        1        0        0
18345 freenx-session-launcher            	       0        1        1        0        0
18346 freenx-vnc                         	       0        1        0        0        1
18347 freeorion-build-deps               	       0        1        0        0        1
18348 freeorion-data                     	       0       18        0        0       18
18349 freeorion-dbgsym                   	       0        1        1        0        0
18350 freepats                           	       0      291        0        0      291
18351 freeplane                          	       0       28       27        1        0
18352 freeplane-scripting-api            	       0        3        0        0        3
18353 freeradius-common                  	       0       33        0        0       33
18354 freeradius-config                  	       0       33        0        0       33
18355 freeradius-dhcp                    	       0        1        1        0        0
18356 freeradius-krb5                    	       0        1        1        0        0
18357 freeradius-ldap                    	       0        1        1        0        0
18358 freeradius-mysql                   	       0        1        1        0        0
18359 freeradius-postgresql              	       0        1        1        0        0
18360 freeradius-rest                    	       0        1        1        0        0
18361 freeradius-utils                   	       0       33       33        0        0
18362 freerct                            	       0        1        1        0        0
18363 freerdp-nightly                    	       0        2        2        0        0
18364 freerdp-nightly-dbg                	       0        1        1        0        0
18365 freerdp-nightly-dev                	       0        1        1        0        0
18366 freerdp2-dev                       	       0        4        4        0        0
18367 freerdp2-shadow-x11                	       0        8        8        0        0
18368 freerdp2-wayland                   	       0       10       10        0        0
18369 freerdp3-shadow-x11                	       0        1        1        0        0
18370 freerdp3-wayland                   	       0        7        6        1        0
18371 freerdp3-x11                       	       0        4        4        0        0
18372 freesci                            	       0        1        1        0        0
18373 freesh-archive-keyring             	       0        8        0        0        8
18374 freesh-keyring                     	       0        1        0        0        1
18375 freeswitch                         	       0        1        1        0        0
18376 freeswitch-conf-curl               	       0        1        0        0        1
18377 freeswitch-conf-insideout          	       0        1        0        0        1
18378 freeswitch-conf-sbc                	       0        1        0        0        1
18379 freeswitch-conf-softphone          	       0        1        0        0        1
18380 freeswitch-conf-vanilla            	       0        2        0        0        2
18381 freeswitch-dbg                     	       0        1        1        0        0
18382 freeswitch-doc                     	       0        1        0        0        1
18383 freeswitch-lang                    	       0        2        0        0        2
18384 freeswitch-lang-de                 	       0        1        0        0        1
18385 freeswitch-lang-en                 	       0        2        0        0        2
18386 freeswitch-lang-es                 	       0        1        0        0        1
18387 freeswitch-lang-fr                 	       0        1        0        0        1
18388 freeswitch-lang-he                 	       0        1        0        0        1
18389 freeswitch-lang-pt                 	       0        1        0        0        1
18390 freeswitch-lang-ru                 	       0        1        0        0        1
18391 freeswitch-meta-bare               	       0        1        0        0        1
18392 freeswitch-meta-codecs             	       0        1        0        0        1
18393 freeswitch-meta-conf               	       0        1        0        0        1
18394 freeswitch-meta-lang               	       0        1        0        0        1
18395 freeswitch-meta-mod-say            	       0        1        0        0        1
18396 freeswitch-mod-amr                 	       0        1        1        0        0
18397 freeswitch-mod-amrwb               	       0        1        1        0        0
18398 freeswitch-mod-b64                 	       0        1        1        0        0
18399 freeswitch-mod-bv                  	       0        1        1        0        0
18400 freeswitch-mod-callcenter          	       0        1        1        0        0
18401 freeswitch-mod-cdr-csv             	       0        1        1        0        0
18402 freeswitch-mod-cidlookup           	       0        1        1        0        0
18403 freeswitch-mod-codec2              	       0        1        1        0        0
18404 freeswitch-mod-commands            	       0        1        1        0        0
18405 freeswitch-mod-conference          	       0        1        1        0        0
18406 freeswitch-mod-console             	       0        1        1        0        0
18407 freeswitch-mod-dahdi-codec         	       0        1        1        0        0
18408 freeswitch-mod-db                  	       0        1        1        0        0
18409 freeswitch-mod-dialplan-xml        	       0        1        1        0        0
18410 freeswitch-mod-directory           	       0        1        1        0        0
18411 freeswitch-mod-distributor         	       0        1        1        0        0
18412 freeswitch-mod-dptools             	       0        1        1        0        0
18413 freeswitch-mod-enum                	       0        1        1        0        0
18414 freeswitch-mod-esf                 	       0        1        1        0        0
18415 freeswitch-mod-esl                 	       0        1        1        0        0
18416 freeswitch-mod-event-socket        	       0        1        1        0        0
18417 freeswitch-mod-expr                	       0        1        1        0        0
18418 freeswitch-mod-fifo                	       0        1        1        0        0
18419 freeswitch-mod-flite               	       0        1        1        0        0
18420 freeswitch-mod-fsv                 	       0        1        1        0        0
18421 freeswitch-mod-g723-1              	       0        1        1        0        0
18422 freeswitch-mod-g729                	       0        1        1        0        0
18423 freeswitch-mod-h26x                	       0        1        1        0        0
18424 freeswitch-mod-hash                	       0        1        1        0        0
18425 freeswitch-mod-httapi              	       0        1        1        0        0
18426 freeswitch-mod-isac                	       0        1        1        0        0
18427 freeswitch-mod-json-cdr            	       0        1        1        0        0
18428 freeswitch-mod-local-stream        	       0        1        1        0        0
18429 freeswitch-mod-logfile             	       0        1        1        0        0
18430 freeswitch-mod-loopback            	       0        1        1        0        0
18431 freeswitch-mod-lua                 	       0        1        1        0        0
18432 freeswitch-mod-memcache            	       0        1        1        0        0
18433 freeswitch-mod-mp4v                	       0        1        1        0        0
18434 freeswitch-mod-native-file         	       0        1        1        0        0
18435 freeswitch-mod-opus                	       0        1        1        0        0
18436 freeswitch-mod-png                 	       0        1        1        0        0
18437 freeswitch-mod-rtc                 	       0        1        1        0        0
18438 freeswitch-mod-say-de              	       0        1        1        0        0
18439 freeswitch-mod-say-en              	       0        1        1        0        0
18440 freeswitch-mod-say-es              	       0        1        1        0        0
18441 freeswitch-mod-say-fa              	       0        1        1        0        0
18442 freeswitch-mod-say-fr              	       0        1        1        0        0
18443 freeswitch-mod-say-he              	       0        1        1        0        0
18444 freeswitch-mod-say-hr              	       0        1        1        0        0
18445 freeswitch-mod-say-hu              	       0        1        1        0        0
18446 freeswitch-mod-say-it              	       0        1        1        0        0
18447 freeswitch-mod-say-ja              	       0        1        1        0        0
18448 freeswitch-mod-say-nl              	       0        1        1        0        0
18449 freeswitch-mod-say-pl              	       0        1        1        0        0
18450 freeswitch-mod-say-pt              	       0        1        1        0        0
18451 freeswitch-mod-say-ru              	       0        1        1        0        0
18452 freeswitch-mod-say-th              	       0        1        1        0        0
18453 freeswitch-mod-say-zh              	       0        1        1        0        0
18454 freeswitch-mod-shout               	       0        1        1        0        0
18455 freeswitch-mod-silk                	       0        1        1        0        0
18456 freeswitch-mod-skypopen            	       0        1        1        0        0
18457 freeswitch-mod-skypopen-dbg        	       0        1        1        0        0
18458 freeswitch-mod-sms                 	       0        1        1        0        0
18459 freeswitch-mod-sms-dbg             	       0        1        1        0        0
18460 freeswitch-mod-sndfile             	       0        1        1        0        0
18461 freeswitch-mod-sofia               	       0        1        1        0        0
18462 freeswitch-mod-sofia-dbg           	       0        1        1        0        0
18463 freeswitch-mod-spandsp             	       0        1        1        0        0
18464 freeswitch-mod-theora              	       0        1        1        0        0
18465 freeswitch-mod-tone-stream         	       0        1        1        0        0
18466 freeswitch-mod-tts-commandline     	       0        1        1        0        0
18467 freeswitch-mod-valet-parking       	       0        1        1        0        0
18468 freeswitch-mod-verto               	       0        1        1        0        0
18469 freeswitch-mod-xml-cdr             	       0        1        1        0        0
18470 freeswitch-music-default           	       0        1        0        0        1
18471 freeswitch-sounds-en-us-callie     	       0        2        0        0        2
18472 freeswitch-sysvinit                	       0        2        0        0        2
18473 freeswitch-timezones               	       0        2        0        0        2
18474 freetable                          	       0        2        2        0        0
18475 freetalk                           	       0        1        1        0        0
18476 freetds-bin                        	       0        8        8        0        0
18477 freetds-common                     	       0      102        0        0      102
18478 freetds-doc                        	       0       13        0        0       13
18479 freetennis                         	       0        2        1        1        0
18480 freetennis-common                  	       0        2        0        0        2
18481 freetts                            	       0        4        0        0        4
18482 freetube                           	       0       41        6        1       34
18483 freetuxtv                          	       0       33       33        0        0
18484 freetype-build-deps                	       0        1        0        0        1
18485 freetype1-tools                    	       0        2        2        0        0
18486 freetype2-demos                    	       0       14       14        0        0
18487 freetype2-doc                      	       0       34        0        0       34
18488 freevial                           	       0        2        2        0        0
18489 freewheeling                       	       0        1        1        0        0
18490 freewnn-common                     	       0        1        0        0        1
18491 freexian-archive-keyring           	       0        1        0        0        1
18492 frei0r-plugins-dev                 	       0       14       14        0        0
18493 frei0r-plugins-doc                 	       0        1        0        0        1
18494 frescobaldi                        	       0       15       14        1        0
18495 fretsonfire                        	       0        2        0        0        2
18496 fretsonfire-game                   	       0        3        3        0        0
18497 fretsonfire-songs-muldjord         	       0        3        0        0        3
18498 fretsonfire-songs-sectoid          	       0        3        0        0        3
18499 fricas                             	       0        1        1        0        0
18500 fricas-databases                   	       0        1        0        0        1
18501 fricas-doc                         	       0        1        0        0        1
18502 fricas-graphics                    	       0        1        0        0        1
18503 fricas-graphics-data               	       0        1        0        0        1
18504 fricas-hypertex                    	       0        1        0        0        1
18505 fricas-hypertex-data               	       0        1        0        0        1
18506 fricas-source                      	       0        1        0        0        1
18507 fricas-test                        	       0        1        1        0        0
18508 frickelplatz-archive-keyring       	       0        1        0        0        1
18509 frickelplatz-keyring               	       0        1        0        0        1
18510 frickelplatz-keyrings              	       0        1        0        0        1
18511 fritzing                           	       0       30       29        1        0
18512 fritzing-data                      	       0       30        0        0       30
18513 fritzing-parts                     	       0       28        0        0       28
18514 frobby                             	       0        1        1        0        0
18515 frog                               	       0        3        3        0        0
18516 frogatto                           	       0        4        4        0        0
18517 frogatto-build-deps                	       0        1        0        0        1
18518 frogatto-data                      	       0        4        0        0        4
18519 frogatto-dbgsym                    	       0        1        1        0        0
18520 frogdata                           	       0        1        1        0        0
18521 frogr                              	       0        1        1        0        0
18522 frogr-data                         	       0        1        0        0        1
18523 frontdesign                        	       0        1        1        0        0
18524 frown                              	       0        1        1        0        0
18525 frown-doc                          	       0        1        0        0        1
18526 froxlor                            	       0        1        1        0        0
18527 frozen-bubble-data                 	       0       51        0        0       51
18528 frr-doc                            	       0        1        0        0        1
18529 frr-pythontools                    	       0        7        7        0        0
18530 frr-snmp                           	       0        2        1        0        1
18531 fruit                              	       0        7        7        0        0
18532 fs-uae                             	       0       19       18        1        0
18533 fs-uae-arcade                      	       0        6        5        1        0
18534 fs-uae-launcher                    	       0        4        4        0        0
18535 fs-uae-netplay-server              	       0        1        1        0        0
18536 fscrypt                            	       0       10        9        1        0
18537 fsearch                            	       0        5        5        0        0
18538 fsharp                             	       0        7        7        0        0
18539 fslint                             	       0        4        4        0        0
18540 fsmark                             	       0        3        3        0        0
18541 fso-config-general                 	       0        1        0        0        1
18542 fso-datad                          	       0        1        1        0        0
18543 fso-deviced                        	       0        2        2        0        0
18544 fso-deviced-player-gstreamer       	       0        1        0        0        1
18545 fso-frameworkd                     	       0        1        1        0        0
18546 fso-gsm0710muxd                    	       0        1        1        0        0
18547 fso-sounds-yue-base                	       0        1        0        0        1
18548 fsp                                	       0        1        1        0        0
18549 fspanel                            	       0        6        6        0        0
18550 fspy                               	       0        2        2        0        0
18551 fssync                             	       0        1        1        0        0
18552 fst-dev                            	       0        2        2        0        0
18553 fstl                               	       0        9        9        0        0
18554 fstrcmp-doc                        	       0        1        0        0        1
18555 fsverity                           	       0        3        3        0        0
18556 fswatch                            	       0       12       12        0        0
18557 fte                                	       0        6        6        0        0
18558 fte-console                        	       0        3        3        0        0
18559 fte-docs                           	       0        3        0        0        3
18560 fte-terminal                       	       0        3        3        0        0
18561 fte-xwindow                        	       0        5        5        0        0
18562 ftgl-dev                           	       0        1        0        0        1
18563 ftnchek                            	       0        3        3        0        0
18564 ftools-fv                          	       0        8        8        0        0
18565 ftools-pow                         	       0       10       10        0        0
18566 ftp-proxy                          	       0        1        1        0        0
18567 ftp-proxy-doc                      	       0        2        0        0        2
18568 ftp-ssl                            	       0       42       41        1        0
18569 ftp-upload                         	       0        3        3        0        0
18570 ftp.app                            	       0        3        2        1        0
18571 ftpd                               	       0       10        3        0        7
18572 ftpd-ssl                           	       0        8        8        0        0
18573 ftpgrab                            	       0        2        2        0        0
18574 ftpmirror                          	       0        1        1        0        0
18575 ftpsync                            	       0        1        1        0        0
18576 ftpwatch                           	       0        1        1        0        0
18577 ftxui                              	       0        1        1        0        0
18578 funguloids                         	       0        5        5        0        0
18579 funguloids-data                    	       0        5        0        0        5
18580 funnelweb                          	       0        3        3        0        0
18581 funnelweb-doc                      	       0        2        0        0        2
18582 funny-manpages                     	       0        2        0        0        2
18583 funtools                           	       0        2        2        0        0
18584 furiusisomount                     	       0        1        1        0        0
18585 furo                               	       0        7        7        0        0
18586 fuse-convmvfs                      	       0        2        2        0        0
18587 fuse-emulator-common               	       0       15        0        0       15
18588 fuse-emulator-sdl                  	       0        7        7        0        0
18589 fuse-posixovl                      	       0        6        6        0        0
18590 fuse-utils                         	       0        9        0        0        9
18591 fuse-zip                           	       0       13       12        1        0
18592 fuse2fs                            	       0       30       30        0        0
18593 fusecompress                       	       0        1        1        0        0
18594 fusedav                            	       0        3        3        0        0
18595 fuseext2                           	       0        7        6        0        1
18596 fusefat                            	       0       14       14        0        0
18597 fusefile                           	       0        3        2        1        0
18598 fuseiso9660                        	       0       17       17        0        0
18599 fusesmb                            	       0       10       10        0        0
18600 fusiondirectory                    	       0        2        2        0        0
18601 fusiondirectory-plugin-mail        	       0        1        0        0        1
18602 fusiondirectory-plugin-mail-schema 	       0        1        0        0        1
18603 fusiondirectory-schema             	       0        2        2        0        0
18604 fusiondirectory-smarty3-acl-render 	       0        2        2        0        0
18605 fusioninventory-agent-task-deploy  	       0        1        1        0        0
18606 fusioninventory-agent-task-esx     	       0        1        1        0        0
18607 fusioninventory-agent-task-network 	       0        1        1        0        0
18608 futatabi                           	       0        1        1        0        0
18609 fuzz                               	       0        3        3        0        0
18610 fuzzel                             	       0        3        3        0        0
18611 fuzzyocr                           	       0        1        1        0        0
18612 fvwm-crystal                       	       0        9        9        0        0
18613 fvwm-icons                         	       0       21        0        0       21
18614 fvwm1                              	       0        2        2        0        0
18615 fvwm3                              	       0        8        8        0        0
18616 fw4spl                             	       0        1        1        0        0
18617 fwbuilder-common                   	       0       14        0        0       14
18618 fwbuilder-doc                      	       0       14        0        0       14
18619 fweb                               	       0        2        2        0        0
18620 fweb-doc                           	       0        1        0        0        1
18621 fwknop-client                      	       0        2        2        0        0
18622 fwlogwatch                         	       0        1        1        0        0
18623 fwsnort                            	       0        2        2        0        0
18624 fwupd-amd64-signed                 	       0      805        7        0      798
18625 fwupd-amd64-signed-template        	       0        3        0        0        3
18626 fwupd-arm64-signed                 	       0        1        0        0        1
18627 fwupd-armhf-signed                 	       0        1        0        0        1
18628 fwupd-doc                          	       0        5        0        0        5
18629 fwupd-i386-signed                  	       0       14        0        0       14
18630 fwupd-tests                        	       0        1        0        0        1
18631 fwupd-unsigned                     	       0        2        0        0        2
18632 fx-cast-bridge                     	       0        1        1        0        0
18633 fxcyberjack                        	       0        2        2        0        0
18634 fyi                                	       0        2        2        0        0
18635 fyre                               	       0        3        3        0        0
18636 fyremc-kliens                      	       0        1        1        0        0
18637 g++-10-aarch64-linux-gnu           	       0        4        4        0        0
18638 g++-10-arm-linux-gnueabi           	       0        1        1        0        0
18639 g++-10-arm-linux-gnueabihf         	       0        1        1        0        0
18640 g++-10-i686-linux-gnu              	       0        2        2        0        0
18641 g++-10-multilib                    	       0       34        0        0       34
18642 g++-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
18643 g++-10-powerpc64-linux-gnu         	       0        1        1        0        0
18644 g++-10-riscv64-linux-gnu           	       0        1        1        0        0
18645 g++-11                             	       0       38       38        0        0
18646 g++-11-arm-linux-gnueabi           	       0        1        1        0        0
18647 g++-11-arm-linux-gnueabihf         	       0        1        1        0        0
18648 g++-11-multilib                    	       0        5        0        0        5
18649 g++-12-aarch64-linux-gnu           	       0        8        7        1        0
18650 g++-12-arm-linux-gnueabi           	       0        2        2        0        0
18651 g++-12-arm-linux-gnueabihf         	       0        5        5        0        0
18652 g++-12-mips-linux-gnu              	       0        2        2        0        0
18653 g++-12-mipsel-linux-gnu            	       0        2        2        0        0
18654 g++-12-multilib                    	       0       66        0        0       66
18655 g++-12-multilib-mips-linux-gnu     	       0        1        0        0        1
18656 g++-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
18657 g++-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
18658 g++-12-riscv64-linux-gnu           	       0        1        1        0        0
18659 g++-12-x86-64-linux-gnux32         	       0        1        1        0        0
18660 g++-13                             	       0       76       75        1        0
18661 g++-13-aarch64-linux-gnu           	       0        2        2        0        0
18662 g++-13-arm-linux-gnueabihf         	       0        1        1        0        0
18663 g++-13-i686-linux-gnu              	       0        2        2        0        0
18664 g++-13-multilib                    	       0        9        0        0        9
18665 g++-13-x86-64-linux-gnu            	       0       66       65        1        0
18666 g++-14-aarch64-linux-gnu           	       0        3        3        0        0
18667 g++-14-arm-linux-gnueabihf         	       0        3        3        0        0
18668 g++-14-i686-linux-gnu              	       0        4        4        0        0
18669 g++-14-multilib                    	       0       13        0        0       13
18670 g++-14-riscv64-linux-gnu           	       0        1        1        0        0
18671 g++-15                             	       0        1        1        0        0
18672 g++-15-x86-64-linux-gnu            	       0        1        1        0        0
18673 g++-3.3                            	       0        1        1        0        0
18674 g++-4.4                            	       0        6        6        0        0
18675 g++-4.6                            	       0        1        1        0        0
18676 g++-4.7                            	       0        1        1        0        0
18677 g++-4.8                            	       0        3        3        0        0
18678 g++-4.9-multilib                   	       0        2        0        0        2
18679 g++-5                              	       0        1        1        0        0
18680 g++-6-multilib                     	       0        2        0        0        2
18681 g++-7                              	       0        2        2        0        0
18682 g++-8-aarch64-linux-gnu            	       0        2        2        0        0
18683 g++-8-arm-linux-gnueabi            	       0        2        2        0        0
18684 g++-8-arm-linux-gnueabihf          	       0        2        2        0        0
18685 g++-8-i686-linux-gnu               	       0        1        1        0        0
18686 g++-8-mips-linux-gnu               	       0        1        1        0        0
18687 g++-8-mips64el-linux-gnuabi64      	       0        1        1        0        0
18688 g++-8-mipsel-linux-gnu             	       0        1        1        0        0
18689 g++-8-multilib                     	       0        5        0        0        5
18690 g++-9                              	       0        9        9        0        0
18691 g++-aarch64-linux-gnu              	       0       16       15        1        0
18692 g++-arm-linux-gnueabi              	       0        4        4        0        0
18693 g++-arm-linux-gnueabihf            	       0       13       13        0        0
18694 g++-i686-linux-gnu                 	       0        8        8        0        0
18695 g++-mingw-w64                      	       0       31        0        0       31
18696 g++-mingw-w64-i686                 	       0       34        2        0       32
18697 g++-mingw-w64-i686-posix           	       0       32       32        0        0
18698 g++-mingw-w64-i686-win32           	       0       32       32        0        0
18699 g++-mingw-w64-x86-64               	       0       33        2        0       31
18700 g++-mingw-w64-x86-64-posix         	       0       31       31        0        0
18701 g++-mingw-w64-x86-64-win32         	       0       31       31        0        0
18702 g++-mips-linux-gnu                 	       0        3        3        0        0
18703 g++-mips64el-linux-gnuabi64        	       0        1        1        0        0
18704 g++-mipsel-linux-gnu               	       0        3        3        0        0
18705 g++-multilib                       	       0       79        0        0       79
18706 g++-riscv64-linux-gnu              	       0        1        1        0        0
18707 g-wrap                             	       0        1        1        0        0
18708 g10k                               	       0        1        1        0        0
18709 g3data                             	       0        1        1        0        0
18710 g3dviewer                          	       0        3        3        0        0
18711 g77-2.95-doc                       	       0        1        0        0        1
18712 g810-led                           	       0        5        5        0        0
18713 gabedit                            	       0        1        1        0        0
18714 gadmin-openvpn-client              	       0        3        3        0        0
18715 gadmin-rsync                       	       0        1        1        0        0
18716 gadmin-samba                       	       0        3        3        0        0
18717 gaffitter                          	       0        2        2        0        0
18718 gaiasky                            	       0        1        0        0        1
18719 gaiksaurus                         	       0        2        2        0        0
18720 gajim-antispam                     	       0        3        0        0        3
18721 gajim-dev-keyring                  	       0        1        0        0        1
18722 gajim-omemo                        	       0       43        0        0       43
18723 gajim-openpgp                      	       0       40        0        0       40
18724 gajim-pgp                          	       0       15        0        0       15
18725 gajim-plugininstaller              	       0        1        0        0        1
18726 gajim-triggers                     	       0        3        0        0        3
18727 gajim-urlimagepreview              	       0        1        0        0        1
18728 galeon                             	       0        1        1        0        0
18729 galeon-common                      	       0        1        1        0        0
18730 galera-3                           	       0       75       75        0        0
18731 galileopress-actionscript          	       0        1        1        0        0
18732 galileopress-c                     	       0        1        1        0        0
18733 galileopress-csharp                	       0        1        1        0        0
18734 galileopress-java2                 	       0        1        1        0        0
18735 galileopress-javainsel             	       0        1        1        0        0
18736 galileopress-javascript            	       0        1        1        0        0
18737 galileopress-kit                   	       0        1        1        0        0
18738 galileopress-knoppix               	       0        1        1        0        0
18739 galileopress-pcnetzwerke           	       0        1        1        0        0
18740 galileopress-php4                  	       0        1        1        0        0
18741 galileopress-ubuntu                	       0        1        1        0        0
18742 galileopress-unix                  	       0        1        1        0        0
18743 gallery3                           	       0        1        1        0        0
18744 galois                             	       0        7        7        0        0
18745 galrey                             	       0        1        1        0        0
18746 gama                               	       0        1        1        0        0
18747 gamazons                           	       0        3        3        0        0
18748 gambas2-gb-compress                	       0        1        1        0        0
18749 gambas2-gb-compress-bzlib2         	       0        1        1        0        0
18750 gambas2-gb-compress-zlib           	       0        1        1        0        0
18751 gambas2-gb-db                      	       0        1        1        0        0
18752 gambas2-gb-db-postgresql           	       0        1        1        0        0
18753 gambas2-gb-form                    	       0        1        1        0        0
18754 gambas2-gb-gtk                     	       0        1        1        0        0
18755 gambas2-gb-gui                     	       0        1        1        0        0
18756 gambas2-gb-pdf                     	       0        1        1        0        0
18757 gambas2-gb-qt                      	       0        1        1        0        0
18758 gambas2-gb-qt-ext                  	       0        1        1        0        0
18759 gambas2-gb-qt-kde                  	       0        1        1        0        0
18760 gambas2-gb-qt-kde-html             	       0        1        1        0        0
18761 gambas2-gb-settings                	       0        1        1        0        0
18762 gambas2-gb-xml                     	       0        1        1        0        0
18763 gambas2-runtime                    	       0        1        1        0        0
18764 gambas3                            	       0       14        0        0       14
18765 gambas3-devel                      	       0       16       15        1        0
18766 gambas3-examples                   	       0       16        0        0       16
18767 gambas3-gb-args                    	       0       15       14        1        0
18768 gambas3-gb-cairo                   	       0       15       14        1        0
18769 gambas3-gb-chart                   	       0       15       14        1        0
18770 gambas3-gb-clipper                 	       0       16       15        1        0
18771 gambas3-gb-complex                 	       0       15       14        1        0
18772 gambas3-gb-compress                	       0       15       14        1        0
18773 gambas3-gb-compress-bzlib2         	       0       15       14        1        0
18774 gambas3-gb-compress-zlib           	       0       15       14        1        0
18775 gambas3-gb-compress-zstd           	       0       14       13        1        0
18776 gambas3-gb-crypt                   	       0       16       15        1        0
18777 gambas3-gb-data                    	       0       15       14        1        0
18778 gambas3-gb-db                      	       0       16       15        1        0
18779 gambas3-gb-db-form                 	       0       16       15        1        0
18780 gambas3-gb-db-mysql                	       0       15       14        1        0
18781 gambas3-gb-db-odbc                 	       0       15       14        1        0
18782 gambas3-gb-db-postgresql           	       0       15       14        1        0
18783 gambas3-gb-db-sqlite2              	       0        1        1        0        0
18784 gambas3-gb-db-sqlite3              	       0       15       14        1        0
18785 gambas3-gb-dbus                    	       0       15       14        1        0
18786 gambas3-gb-dbus-trayicon           	       0       15       14        1        0
18787 gambas3-gb-desktop                 	       0       18       17        1        0
18788 gambas3-gb-desktop-gnome-keyring   	       0        1        1        0        0
18789 gambas3-gb-desktop-x11             	       0       18       17        1        0
18790 gambas3-gb-eval-highlight          	       0       14       13        1        0
18791 gambas3-gb-form                    	       0       17       16        1        0
18792 gambas3-gb-form-dialog             	       0       16       15        1        0
18793 gambas3-gb-form-editor             	       0       16       15        1        0
18794 gambas3-gb-form-htmlview           	       0       15       14        1        0
18795 gambas3-gb-form-mdi                	       0       16       15        1        0
18796 gambas3-gb-form-print              	       0       16       15        1        0
18797 gambas3-gb-form-stock              	       0       16       15        1        0
18798 gambas3-gb-form-terminal           	       0       16       15        1        0
18799 gambas3-gb-gmp                     	       0       15       14        1        0
18800 gambas3-gb-gsl                     	       0       15       14        1        0
18801 gambas3-gb-gtk                     	       0        2        2        0        0
18802 gambas3-gb-gtk-opengl              	       0        1        1        0        0
18803 gambas3-gb-gtk3                    	       0       19       17        2        0
18804 gambas3-gb-gtk3-opengl             	       0       13       12        1        0
18805 gambas3-gb-gtk3-wayland            	       0        4        4        0        0
18806 gambas3-gb-gtk3-webview            	       0       16       15        1        0
18807 gambas3-gb-gtk3-x11                	       0       16       15        1        0
18808 gambas3-gb-gui                     	       0       18       16        2        0
18809 gambas3-gb-gui-opengl              	       0        2        0        0        2
18810 gambas3-gb-gui-qt                  	       0        1        0        0        1
18811 gambas3-gb-gui-qt-webkit           	       0        1        0        0        1
18812 gambas3-gb-gui-trayicon            	       0        1        0        0        1
18813 gambas3-gb-hash                    	       0       13       12        1        0
18814 gambas3-gb-highlight               	       0        3        3        0        0
18815 gambas3-gb-httpd                   	       0       15       14        1        0
18816 gambas3-gb-image                   	       0       22       20        2        0
18817 gambas3-gb-image-effect            	       0       15       14        1        0
18818 gambas3-gb-image-imlib             	       0       15       14        1        0
18819 gambas3-gb-image-io                	       0       16       15        1        0
18820 gambas3-gb-inotify                 	       0        3        3        0        0
18821 gambas3-gb-jit                     	       0       16       15        1        0
18822 gambas3-gb-libxml                  	       0        4        4        0        0
18823 gambas3-gb-logging                 	       0       15       14        1        0
18824 gambas3-gb-map                     	       0       15       14        1        0
18825 gambas3-gb-markdown                	       0       16       15        1        0
18826 gambas3-gb-media                   	       0       15       14        1        0
18827 gambas3-gb-media-form              	       0       15       14        1        0
18828 gambas3-gb-memcached               	       0       15       14        1        0
18829 gambas3-gb-mime                    	       0       15       14        1        0
18830 gambas3-gb-mysql                   	       0       15       14        1        0
18831 gambas3-gb-ncurses                 	       0       15       14        1        0
18832 gambas3-gb-net                     	       0       18       16        2        0
18833 gambas3-gb-net-curl                	       0       17       16        1        0
18834 gambas3-gb-net-pop3                	       0       15       14        1        0
18835 gambas3-gb-net-smtp                	       0       16       14        2        0
18836 gambas3-gb-openal                  	       0       16       15        1        0
18837 gambas3-gb-opengl                  	       0       17       16        1        0
18838 gambas3-gb-opengl-glsl             	       0       15       14        1        0
18839 gambas3-gb-opengl-glu              	       0       15       14        1        0
18840 gambas3-gb-opengl-sge              	       0       16       15        1        0
18841 gambas3-gb-openssl                 	       0       15       14        1        0
18842 gambas3-gb-option                  	       0        3        3        0        0
18843 gambas3-gb-pcre                    	       0       16       15        1        0
18844 gambas3-gb-pdf                     	       0        3        3        0        0
18845 gambas3-gb-poppler                 	       0       15       14        1        0
18846 gambas3-gb-qt4                     	       0        1        1        0        0
18847 gambas3-gb-qt5                     	       0        8        8        0        0
18848 gambas3-gb-qt5-ext                 	       0        6        6        0        0
18849 gambas3-gb-qt5-opengl              	       0        6        6        0        0
18850 gambas3-gb-qt5-wayland             	       0        2        2        0        0
18851 gambas3-gb-qt5-webkit              	       0        5        5        0        0
18852 gambas3-gb-qt5-webview             	       0        2        2        0        0
18853 gambas3-gb-qt5-x11                 	       0        3        3        0        0
18854 gambas3-gb-report                  	       0        3        3        0        0
18855 gambas3-gb-report2                 	       0       15       14        1        0
18856 gambas3-gb-scanner                 	       0       15       14        1        0
18857 gambas3-gb-sdl                     	       0        2        2        0        0
18858 gambas3-gb-sdl-sound               	       0        2        2        0        0
18859 gambas3-gb-sdl2                    	       0       16       15        1        0
18860 gambas3-gb-sdl2-audio              	       0       16       15        1        0
18861 gambas3-gb-settings                	       0       17       16        1        0
18862 gambas3-gb-signal                  	       0       16       15        1        0
18863 gambas3-gb-term                    	       0       16       15        1        0
18864 gambas3-gb-term-form               	       0       15       14        1        0
18865 gambas3-gb-util                    	       0       16       15        1        0
18866 gambas3-gb-util-web                	       0       16       15        1        0
18867 gambas3-gb-v4l                     	       0        4        4        0        0
18868 gambas3-gb-vb                      	       0       15       14        1        0
18869 gambas3-gb-web                     	       0       15       14        1        0
18870 gambas3-gb-web-feed                	       0       15       14        1        0
18871 gambas3-gb-web-form                	       0        4        4        0        0
18872 gambas3-gb-web-gui                 	       0       15       14        1        0
18873 gambas3-gb-xml                     	       0       15       14        1        0
18874 gambas3-gb-xml-html                	       0       15       14        1        0
18875 gambas3-gb-xml-rpc                 	       0       15       14        1        0
18876 gambas3-gb-xml-xslt                	       0       15       14        1        0
18877 gambas3-ide                        	       0       16       15        1        0
18878 gambas3-runtime                    	       0       22       20        2        0
18879 gambas3-scripter                   	       0       15       14        1        0
18880 gambas3-templates                  	       0        1        0        0        1
18881 gambc                              	       0        4        4        0        0
18882 gambc-doc                          	       0        4        4        0        0
18883 game-data-packager-build-deps      	       0        1        0        0        1
18884 gameclock                          	       0        1        1        0        0
18885 gameconqueror                      	       0        7        7        0        0
18886 gamedevtycoon                      	       0        1        0        0        1
18887 gamehub                            	       0        8        8        0        0
18888 gamemaker-beta                     	       0        1        1        0        0
18889 gamemode-dev                       	       0        1        1        0        0
18890 gamepadtool                        	       0        4        4        0        0
18891 games-adventure                    	       0        6        0        0        6
18892 games-all                          	       0        3        0        0        3
18893 games-arcade                       	       0        2        0        0        2
18894 games-board                        	       0        3        0        0        3
18895 games-c++-dev                      	       0        1        0        0        1
18896 games-card                         	       0       11        0        0       11
18897 games-chess                        	       0        4        0        0        4
18898 games-console                      	       0        4        0        0        4
18899 games-content-dev                  	       0        1        0        0        1
18900 games-education                    	       0        2        0        0        2
18901 games-emulator                     	       0        5        0        0        5
18902 games-finest                       	       0        5        0        0        5
18903 games-finest-light                 	       0        1        0        0        1
18904 games-fps                          	       0        3        0        0        3
18905 games-minesweeper                  	       0        9        0        0        9
18906 games-mud                          	       0        4        0        0        4
18907 games-perl-dev                     	       0        2        0        0        2
18908 games-platform                     	       0        1        0        0        1
18909 games-programming                  	       0        4        0        0        4
18910 games-puzzle                       	       0        7        0        0        7
18911 games-python3-dev                  	       0        2        0        0        2
18912 games-rogue                        	       0        3        0        0        3
18913 games-rpg                          	       0        3        0        0        3
18914 games-simulation                   	       0        2        0        0        2
18915 games-strategy                     	       0        4        0        0        4
18916 games-tasks                        	       0       40        0        0       40
18917 games-tetris                       	       0       10        0        0       10
18918 games-thumbnails                   	       0        5        0        0        5
18919 games-toys                         	       0        3        0        0        3
18920 games-typing                       	       0        3        0        0        3
18921 gamine                             	       0        7        7        0        0
18922 gamine-data                        	       0        7        0        0        7
18923 gaminggear-utils                   	       0        1        1        0        0
18924 gammu                              	       0       12       12        0        0
18925 gammu-doc                          	       0        4        0        0        4
18926 gammu-smsd                         	       0        3        3        0        0
18927 gandi-cli                          	       0        1        1        0        0
18928 ganeti-htools-3.0                  	       0        1        1        0        0
18929 ganeti-testsuite                   	       0        1        0        0        1
18930 ganglia-modules-linux              	       0        1        1        0        0
18931 ganglia-webfrontend                	       0        1        1        0        0
18932 gant                               	       0        1        1        0        0
18933 ganyremote                         	       0        4        4        0        0
18934 gap                                	       0       12        0        0       12
18935 gap-alnuth                         	       0       12        0        0       12
18936 gap-atlasrep                       	       0       12        0        0       12
18937 gap-autpgrp                        	       0       12        0        0       12
18938 gap-character-tables               	       0       12        0        0       12
18939 gap-core                           	       0       12       12        0        0
18940 gap-dev                            	       0       12       12        0        0
18941 gap-doc                            	       0       11        0        0       11
18942 gap-factint                        	       0       11        0        0       11
18943 gap-fga                            	       0       10        0        0       10
18944 gap-float                          	       0        1        0        0        1
18945 gap-gapdoc                         	       0       12        0        0       12
18946 gap-grape                          	       0        1        0        0        1
18947 gap-guava                          	       0        1        0        0        1
18948 gap-guava-bin                      	       0        1        1        0        0
18949 gap-io                             	       0       12        0        0       12
18950 gap-laguna                         	       0       10        0        0       10
18951 gap-libs                           	       0       12       12        0        0
18952 gap-online-help                    	       0       12        0        0       12
18953 gap-openmath                       	       0        1        0        0        1
18954 gap-polycyclic                     	       0       12        0        0       12
18955 gap-primgrp                        	       0       12        0        0       12
18956 gap-smallgrp                       	       0       11        0        0       11
18957 gap-table-of-marks                 	       0       12        0        0       12
18958 gap-transgrp                       	       0       11        0        0       11
18959 gap-utils                          	       0       11        0        0       11
18960 gapcmon                            	       0        1        1        0        0
18961 gappletviewer-4.3                  	       0        1        1        0        0
18962 garcon-build-deps                  	       0        1        0        0        1
18963 garden-of-coloured-lights          	       0        8        8        0        0
18964 garden-of-coloured-lights-data     	       0        8        0        0        8
18965 garli                              	       0        1        1        0        0
18966 garmin-forerunner-tools            	       0        4        4        0        0
18967 gatling                            	       0        1        1        0        0
18968 gato                               	       0        1        1        0        0
18969 gatos                              	       0        1        1        0        0
18970 gatotray                           	       0        1        1        0        0
18971 gauche                             	       0        1        1        0        0
18972 gaupol                             	       0       18       18        0        0
18973 gav                                	       0        4        4        0        0
18974 gav-themes                         	       0        4        0        0        4
18975 gaviotatb                          	       0       13        0        0       13
18976 gawk-doc                           	       0       30        0        0       30
18977 gbatnav                            	       0        3        3        0        0
18978 gbdfed                             	       0        5        5        0        0
18979 gbemol                             	       0        5        4        1        0
18980 gbrainy                            	       0       30       30        0        0
18981 gc                                 	       0        1        1        0        0
18982 gcab                               	       0        6        6        0        0
18983 gcad3d                             	       0        1        1        0        0
18984 gcal                               	       0       20       20        0        0
18985 gcal-common                        	       0       21        0        0       21
18986 gcalcli                            	       0        4        4        0        0
18987 gcalctool                          	       0        1        1        0        0
18988 gcap                               	       0        1        1        0        0
18989 gcc-10-aarch64-linux-gnu           	       0        6        6        0        0
18990 gcc-10-aarch64-linux-gnu-base      	       0        7        0        0        7
18991 gcc-10-alpha-linux-gnu             	       0        1        1        0        0
18992 gcc-10-alpha-linux-gnu-base        	       0        1        0        0        1
18993 gcc-10-arm-linux-gnueabi           	       0        5        5        0        0
18994 gcc-10-arm-linux-gnueabi-base      	       0        6        0        0        6
18995 gcc-10-arm-linux-gnueabihf         	       0        6        6        0        0
18996 gcc-10-arm-linux-gnueabihf-base    	       0        7        0        0        7
18997 gcc-10-base                        	       0     1463        0        0     1463
18998 gcc-10-cross-base                  	       0       18        0        0       18
18999 gcc-10-cross-base-mipsen           	       0        2        0        0        2
19000 gcc-10-cross-base-ports            	       0        4        0        0        4
19001 gcc-10-dbgsym                      	       0        1        1        0        0
19002 gcc-10-doc                         	       0       41        0        0       41
19003 gcc-10-hppa-linux-gnu              	       0        1        1        0        0
19004 gcc-10-hppa-linux-gnu-base         	       0        2        0        0        2
19005 gcc-10-hppa64-linux-gnu            	       0        1        1        0        0
19006 gcc-10-i686-linux-gnu              	       0        3        3        0        0
19007 gcc-10-i686-linux-gnu-base         	       0        3        0        0        3
19008 gcc-10-locales                     	       0        8        0        0        8
19009 gcc-10-mipsel-linux-gnu            	       0        1        1        0        0
19010 gcc-10-mipsel-linux-gnu-base       	       0        1        0        0        1
19011 gcc-10-multilib                    	       0       88        0        0       88
19012 gcc-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
19013 gcc-10-powerpc-linux-gnu           	       0        1        1        0        0
19014 gcc-10-powerpc-linux-gnu-base      	       0        1        0        0        1
19015 gcc-10-powerpc64-linux-gnu         	       0        2        2        0        0
19016 gcc-10-powerpc64-linux-gnu-base    	       0        2        0        0        2
19017 gcc-10-riscv64-linux-gnu           	       0        3        3        0        0
19018 gcc-10-riscv64-linux-gnu-base      	       0        3        0        0        3
19019 gcc-10-s390x-linux-gnu             	       0        1        1        0        0
19020 gcc-10-s390x-linux-gnu-base        	       0        1        0        0        1
19021 gcc-10-sparc64-linux-gnu           	       0        1        1        0        0
19022 gcc-10-sparc64-linux-gnu-base      	       0        1        0        0        1
19023 gcc-11-arm-linux-gnueabi           	       0        1        1        0        0
19024 gcc-11-arm-linux-gnueabi-base      	       0        1        0        0        1
19025 gcc-11-arm-linux-gnueabihf         	       0        1        1        0        0
19026 gcc-11-arm-linux-gnueabihf-base    	       0        1        0        0        1
19027 gcc-11-base                        	       0      670        0        0      670
19028 gcc-11-cross-base                  	       0       11        0        0       11
19029 gcc-11-doc                         	       0        3        0        0        3
19030 gcc-11-i686-linux-gnu              	       0        1        1        0        0
19031 gcc-11-i686-linux-gnu-base         	       0        1        0        0        1
19032 gcc-11-locales                     	       0        2        0        0        2
19033 gcc-11-multilib                    	       0        8        0        0        8
19034 gcc-11-multilib-i686-linux-gnu     	       0        1        0        0        1
19035 gcc-11-source                      	       0        1        0        0        1
19036 gcc-12-aarch64-linux-gnu-base      	       0       20        0        0       20
19037 gcc-12-alpha-linux-gnu             	       0        1        1        0        0
19038 gcc-12-alpha-linux-gnu-base        	       0        1        0        0        1
19039 gcc-12-arm-linux-gnueabi           	       0       12       11        1        0
19040 gcc-12-arm-linux-gnueabi-base      	       0       12        0        0       12
19041 gcc-12-arm-linux-gnueabihf-base    	       0       14        0        0       14
19042 gcc-12-base                        	       0     2975        0        0     2975
19043 gcc-12-cross-base                  	       0       51        0        0       51
19044 gcc-12-cross-base-mipsen           	       0        6        0        0        6
19045 gcc-12-cross-base-ports            	       0       14        0        0       14
19046 gcc-12-doc                         	       0       58        0        0       58
19047 gcc-12-hppa-linux-gnu              	       0        1        1        0        0
19048 gcc-12-hppa-linux-gnu-base         	       0        1        0        0        1
19049 gcc-12-i686-linux-gnu-base         	       0        5        0        0        5
19050 gcc-12-locales                     	       0        8        0        0        8
19051 gcc-12-m68k-linux-gnu              	       0        1        1        0        0
19052 gcc-12-m68k-linux-gnu-base         	       0        1        0        0        1
19053 gcc-12-mips-linux-gnu              	       0        4        4        0        0
19054 gcc-12-mips-linux-gnu-base         	       0        4        0        0        4
19055 gcc-12-mips64-linux-gnuabi64       	       0        1        1        0        0
19056 gcc-12-mips64-linux-gnuabi64-base  	       0        1        0        0        1
19057 gcc-12-mipsel-linux-gnu            	       0        3        3        0        0
19058 gcc-12-mipsel-linux-gnu-base       	       0        3        0        0        3
19059 gcc-12-multilib                    	       0      126        0        0      126
19060 gcc-12-multilib-i686-linux-gnu     	       0        2        0        0        2
19061 gcc-12-multilib-mips-linux-gnu     	       0        1        0        0        1
19062 gcc-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
19063 gcc-12-multilib-x86-64-linux-gnux32	       0        4        0        0        4
19064 gcc-12-offload-nvptx               	       0        1        1        0        0
19065 gcc-12-plugin-dev                  	       0        1        0        0        1
19066 gcc-12-plugin-dev-aarch64-linux-gnu	       0        1        0        0        1
19067 gcc-12-powerpc-linux-gnu           	       0        2        2        0        0
19068 gcc-12-powerpc-linux-gnu-base      	       0        2        0        0        2
19069 gcc-12-powerpc64-linux-gnu         	       0        1        1        0        0
19070 gcc-12-powerpc64-linux-gnu-base    	       0        1        0        0        1
19071 gcc-12-riscv64-linux-gnu-base      	       0        6        0        0        6
19072 gcc-12-s390x-linux-gnu             	       0        2        2        0        0
19073 gcc-12-s390x-linux-gnu-base        	       0        2        0        0        2
19074 gcc-12-source                      	       0        2        0        0        2
19075 gcc-12-sparc64-linux-gnu           	       0        1        1        0        0
19076 gcc-12-sparc64-linux-gnu-base      	       0        1        0        0        1
19077 gcc-12-x86-64-linux-gnux32         	       0        4        4        0        0
19078 gcc-12-x86-64-linux-gnux32-base    	       0        4        0        0        4
19079 gcc-13-aarch64-linux-gnu           	       0        2        2        0        0
19080 gcc-13-aarch64-linux-gnu-base      	       0        2        0        0        2
19081 gcc-13-arm-linux-gnueabihf         	       0        1        1        0        0
19082 gcc-13-arm-linux-gnueabihf-base    	       0        1        0        0        1
19083 gcc-13-base                        	       0      175        0        0      175
19084 gcc-13-cross-base                  	       0        3        0        0        3
19085 gcc-13-doc                         	       0       11        0        0       11
19086 gcc-13-i686-linux-gnu              	       0        4        4        0        0
19087 gcc-13-locales                     	       0        4        0        0        4
19088 gcc-13-multilib                    	       0       18        0        0       18
19089 gcc-13-powerpc64le-linux-gnu       	       0        1        1        0        0
19090 gcc-13-powerpc64le-linux-gnu-base  	       0        1        0        0        1
19091 gcc-13-riscv64-linux-gnu           	       0        1        1        0        0
19092 gcc-13-source                      	       0        1        0        0        1
19093 gcc-13-test-results                	       0        1        0        0        1
19094 gcc-14-aarch64-linux-gnu           	       0        6        6        0        0
19095 gcc-14-aarch64-linux-gnu-base      	       0        6        0        0        6
19096 gcc-14-alpha-linux-gnu             	       0        1        1        0        0
19097 gcc-14-alpha-linux-gnu-base        	       0        1        0        0        1
19098 gcc-14-arm-linux-gnueabi           	       0        2        2        0        0
19099 gcc-14-arm-linux-gnueabi-base      	       0        2        0        0        2
19100 gcc-14-arm-linux-gnueabihf         	       0        5        5        0        0
19101 gcc-14-arm-linux-gnueabihf-base    	       0        5        0        0        5
19102 gcc-14-base                        	       0      303        0        0      303
19103 gcc-14-cross-base                  	       0       12        0        0       12
19104 gcc-14-cross-base-ports            	       0        4        0        0        4
19105 gcc-14-doc                         	       0        7        0        0        7
19106 gcc-14-for-build                   	       0        1        0        0        1
19107 gcc-14-hppa-linux-gnu              	       0        1        1        0        0
19108 gcc-14-hppa-linux-gnu-base         	       0        1        0        0        1
19109 gcc-14-i686-linux-gnu              	       0        6        6        0        0
19110 gcc-14-i686-linux-gnu-base         	       0        2        0        0        2
19111 gcc-14-locales                     	       0        1        0        0        1
19112 gcc-14-multilib                    	       0       21        0        0       21
19113 gcc-14-multilib-i686-linux-gnu     	       0        1        0        0        1
19114 gcc-14-plugin-dev                  	       0        1        0        0        1
19115 gcc-14-powerpc-linux-gnu           	       0        2        2        0        0
19116 gcc-14-powerpc-linux-gnu-base      	       0        2        0        0        2
19117 gcc-14-powerpc64-linux-gnu         	       0        3        3        0        0
19118 gcc-14-powerpc64-linux-gnu-base    	       0        3        0        0        3
19119 gcc-14-powerpc64le-linux-gnu       	       0        1        1        0        0
19120 gcc-14-powerpc64le-linux-gnu-base  	       0        1        0        0        1
19121 gcc-14-riscv64-linux-gnu           	       0        3        3        0        0
19122 gcc-14-riscv64-linux-gnu-base      	       0        2        0        0        2
19123 gcc-14-s390x-linux-gnu             	       0        1        1        0        0
19124 gcc-14-s390x-linux-gnu-base        	       0        1        0        0        1
19125 gcc-14-source                      	       0        1        0        0        1
19126 gcc-14-sparc64-linux-gnu           	       0        1        1        0        0
19127 gcc-14-sparc64-linux-gnu-base      	       0        1        0        0        1
19128 gcc-14-test-results                	       0        1        0        0        1
19129 gcc-15                             	       0        2        2        0        0
19130 gcc-15-base                        	       0        2        0        0        2
19131 gcc-15-x86-64-linux-gnu            	       0        2        2        0        0
19132 gcc-3.3                            	       0        3        3        0        0
19133 gcc-3.3-base                       	       0        3        0        0        3
19134 gcc-3.4-base                       	       0        1        0        0        1
19135 gcc-4.0-base                       	       0        1        0        0        1
19136 gcc-4.0-doc                        	       0        1        0        0        1
19137 gcc-4.1-base                       	       0        4        0        0        4
19138 gcc-4.1-locales                    	       0        1        0        0        1
19139 gcc-4.2                            	       0        2        2        0        0
19140 gcc-4.2-base                       	       0        8        0        0        8
19141 gcc-4.3                            	       0        1        1        0        0
19142 gcc-4.3-arm-linux-gnueabi          	       0        1        1        0        0
19143 gcc-4.3-arm-linux-gnueabi-base     	       0        1        0        0        1
19144 gcc-4.3-base                       	       0       12        0        0       12
19145 gcc-4.3-doc                        	       0        2        0        0        2
19146 gcc-4.3-locales                    	       0        1        0        0        1
19147 gcc-4.4                            	       0       16       16        0        0
19148 gcc-4.4-base                       	       0       28        0        0       28
19149 gcc-4.4-doc                        	       0        3        0        0        3
19150 gcc-4.4-locales                    	       0        1        0        0        1
19151 gcc-4.5                            	       0        1        1        0        0
19152 gcc-4.5-base                       	       0        4        0        0        4
19153 gcc-4.6                            	       0       28       28        0        0
19154 gcc-4.6-base                       	       0       34        0        0       34
19155 gcc-4.6-locales                    	       0        1        0        0        1
19156 gcc-4.6-multilib                   	       0        1        0        0        1
19157 gcc-4.7                            	       0        7        7        0        0
19158 gcc-4.7-base                       	       0       13        0        0       13
19159 gcc-4.7-doc                        	       0        5        0        0        5
19160 gcc-4.7-locales                    	       0        1        0        0        1
19161 gcc-4.7-multilib                   	       0        1        0        0        1
19162 gcc-4.8                            	       0       62       62        0        0
19163 gcc-4.8-base                       	       0      235        0        0      235
19164 gcc-4.8-doc                        	       0        1        0        0        1
19165 gcc-4.8-locales                    	       0        1        0        0        1
19166 gcc-4.8-plugin-dev                 	       0        1        0        0        1
19167 gcc-4.9-base                       	       0      297        0        0      297
19168 gcc-4.9-doc                        	       0        9        0        0        9
19169 gcc-4.9-locales                    	       0        2        0        0        2
19170 gcc-4.9-multilib                   	       0        5        0        0        5
19171 gcc-4.9-plugin-dev                 	       0        1        0        0        1
19172 gcc-5                              	       0        5        5        0        0
19173 gcc-5-base                         	       0       12        0        0       12
19174 gcc-6-aarch64-linux-gnu            	       0        2        2        0        0
19175 gcc-6-aarch64-linux-gnu-base       	       0        2        0        0        2
19176 gcc-6-arm-linux-gnueabihf          	       0        1        1        0        0
19177 gcc-6-arm-linux-gnueabihf-base     	       0        1        0        0        1
19178 gcc-6-base                         	       0      669        0        0      669
19179 gcc-6-cross-base                   	       0        2        0        0        2
19180 gcc-6-doc                          	       0       21        0        0       21
19181 gcc-6-locales                      	       0        3        0        0        3
19182 gcc-6-multilib                     	       0        7        0        0        7
19183 gcc-7                              	       0        4        4        0        0
19184 gcc-7-base                         	       0      316        0        0      316
19185 gcc-7-doc                          	       0        1        0        0        1
19186 gcc-7-locales                      	       0        1        0        0        1
19187 gcc-7-source                       	       0        1        0        0        1
19188 gcc-8-aarch64-linux-gnu            	       0        7        7        0        0
19189 gcc-8-aarch64-linux-gnu-base       	       0        7        0        0        7
19190 gcc-8-arm-linux-gnueabi            	       0        2        2        0        0
19191 gcc-8-arm-linux-gnueabi-base       	       0        2        0        0        2
19192 gcc-8-arm-linux-gnueabihf          	       0        5        5        0        0
19193 gcc-8-arm-linux-gnueabihf-base     	       0        5        0        0        5
19194 gcc-8-base                         	       0      928        0        0      928
19195 gcc-8-cross-base                   	       0       14        0        0       14
19196 gcc-8-cross-base-ports             	       0        2        0        0        2
19197 gcc-8-doc                          	       0        4        0        0        4
19198 gcc-8-i686-linux-gnu               	       0        2        2        0        0
19199 gcc-8-i686-linux-gnu-base          	       0        2        0        0        2
19200 gcc-8-locales                      	       0        1        0        0        1
19201 gcc-8-mips-linux-gnu               	       0        1        1        0        0
19202 gcc-8-mips-linux-gnu-base          	       0        1        0        0        1
19203 gcc-8-mips64el-linux-gnuabi64      	       0        1        1        0        0
19204 gcc-8-mips64el-linux-gnuabi64-base 	       0        1        0        0        1
19205 gcc-8-mipsel-linux-gnu             	       0        1        1        0        0
19206 gcc-8-mipsel-linux-gnu-base        	       0        1        0        0        1
19207 gcc-8-multilib                     	       0       10        0        0       10
19208 gcc-8-multilib-i686-linux-gnu      	       0        1        0        0        1
19209 gcc-8-multilib-x86-64-linux-gnux32 	       0        1        0        0        1
19210 gcc-8-source                       	       0        1        0        0        1
19211 gcc-8-x86-64-linux-gnux32          	       0        2        2        0        0
19212 gcc-8-x86-64-linux-gnux32-base     	       0        2        0        0        2
19213 gcc-9                              	       0       33       33        0        0
19214 gcc-9-aarch64-linux-gnu            	       0        1        1        0        0
19215 gcc-9-aarch64-linux-gnu-base       	       0        1        0        0        1
19216 gcc-9-arm-linux-gnueabi            	       0        1        1        0        0
19217 gcc-9-arm-linux-gnueabi-base       	       0        1        0        0        1
19218 gcc-9-arm-linux-gnueabihf          	       0        2        2        0        0
19219 gcc-9-arm-linux-gnueabihf-base     	       0        2        0        0        2
19220 gcc-9-base                         	       0     1186        0        0     1186
19221 gcc-9-build-deps                   	       0        1        0        0        1
19222 gcc-9-cross-base                   	       0        9        0        0        9
19223 gcc-9-cross-base-ports             	       0        1        0        0        1
19224 gcc-9-doc                          	       0        6        0        0        6
19225 gcc-9-locales                      	       0        2        0        0        2
19226 gcc-9-multilib                     	       0        3        0        0        3
19227 gcc-alpha-linux-gnu                	       0        3        3        0        0
19228 gcc-arm-linux-gnueabi              	       0       18       17        1        0
19229 gcc-arm-none-eabi-dbgsym           	       0        1        1        0        0
19230 gcc-arm-none-eabi-source           	       0        1        0        0        1
19231 gcc-doc                            	       0       62        0        0       62
19232 gcc-doc-base                       	       0       91        0        0       91
19233 gcc-hppa-linux-gnu                 	       0        3        3        0        0
19234 gcc-ia16-elf                       	       0        1        1        0        0
19235 gcc-m68k-linux-gnu                 	       0        1        1        0        0
19236 gcc-mingw-w64                      	       0       35        0        0       35
19237 gcc-mingw-w64-base                 	       0       46        0        0       46
19238 gcc-mingw-w64-i686                 	       0       40        4        0       36
19239 gcc-mingw-w64-i686-posix           	       0       38       38        0        0
19240 gcc-mingw-w64-i686-posix-runtime   	       0       38        0        0       38
19241 gcc-mingw-w64-i686-win32           	       0       38       38        0        0
19242 gcc-mingw-w64-i686-win32-runtime   	       0       38        0        0       38
19243 gcc-mingw-w64-x86-64               	       0       41        4        0       37
19244 gcc-mingw-w64-x86-64-posix         	       0       39       39        0        0
19245 gcc-mingw-w64-x86-64-posix-runtime 	       0       39        0        0       39
19246 gcc-mingw-w64-x86-64-win32         	       0       41       41        0        0
19247 gcc-mingw-w64-x86-64-win32-runtime 	       0       41        0        0       41
19248 gcc-mips-linux-gnu                 	       0        5        5        0        0
19249 gcc-mips64-linux-gnuabi64          	       0        1        1        0        0
19250 gcc-mips64el-linux-gnuabi64        	       0        1        1        0        0
19251 gcc-mipsel-linux-gnu               	       0        5        5        0        0
19252 gcc-msp430                         	       0        2        2        0        0
19253 gcc-multilib                       	       0      158        0        0      158
19254 gcc-multilib-i686-linux-gnu        	       0        3        0        0        3
19255 gcc-multilib-x86-64-linux-gnux32   	       0        1        0        0        1
19256 gcc-offload-nvptx                  	       0        1        1        0        0
19257 gcc-or1k-elf                       	       0        2        2        0        0
19258 gcc-powerpc-linux-gnu              	       0        5        5        0        0
19259 gcc-powerpc64-linux-gnu            	       0        5        5        0        0
19260 gcc-powerpc64le-linux-gnu          	       0        1        1        0        0
19261 gcc-riscv64-unknown-elf            	       0        3        3        0        0
19262 gcc-s390x-linux-gnu                	       0        4        4        0        0
19263 gcc-sparc64-linux-gnu              	       0        3        3        0        0
19264 gcc-x86-64-linux-gnux32            	       0        1        1        0        0
19265 gcc-xtensa-lx106                   	       0        1        1        0        0
19266 gccgo                              	       0        9        9        0        0
19267 gccgo-10                           	       0        2        2        0        0
19268 gccgo-10-doc                       	       0        1        0        0        1
19269 gccgo-11                           	       0        1        1        0        0
19270 gccgo-11-i686-linux-gnu            	       0        1        1        0        0
19271 gccgo-11-multilib-i686-linux-gnu   	       0        1        0        0        1
19272 gccgo-13                           	       0        1        1        0        0
19273 gccgo-14                           	       0        2        2        0        0
19274 gccgo-14-x86-64-linux-gnu          	       0        2        2        0        0
19275 gccgo-6                            	       0        1        1        0        0
19276 gccgo-6-doc                        	       0        1        0        0        1
19277 gccgo-8                            	       0        1        1        0        0
19278 gccgo-8-doc                        	       0        1        0        0        1
19279 gccgo-doc                          	       0        1        0        0        1
19280 gccgo-go                           	       0        1        1        0        0
19281 gccgo-x86-64-linux-gnu             	       0        2        2        0        0
19282 gccintro                           	       0        7        0        0        7
19283 gccrs-14                           	       0        1        1        0        0
19284 gccrs-14-for-build                 	       0        1        0        0        1
19285 gccrs-14-x86-64-linux-gnu          	       0        1        1        0        0
19286 gccxml                             	       0        5        5        0        0
19287 gcdmaster                          	       0        2        2        0        0
19288 gchempaint                         	       0        6        6        0        0
19289 gcin-data                          	       0        4        0        0        4
19290 gcin-gtk2-immodule                 	       0        4        0        0        4
19291 gcin-tables                        	       0        4        0        0        4
19292 gcipher                            	       0        1        1        0        0
19293 gcj-4.0-base                       	       0        1        0        0        1
19294 gcj-4.1-base                       	       0        3        0        0        3
19295 gcj-4.2-base                       	       0        2        0        0        2
19296 gcj-4.3-base                       	       0        1        0        0        1
19297 gcj-4.4-base                       	       0        1        0        0        1
19298 gcj-4.4-jre                        	       0        1        0        0        1
19299 gcj-4.4-jre-headless               	       0        1        1        0        0
19300 gcj-4.4-jre-lib                    	       0        1        0        0        1
19301 gcj-4.6-base                       	       0        5        0        0        5
19302 gcj-4.6-jre-headless               	       0        2        2        0        0
19303 gcj-4.6-jre-lib                    	       0        3        0        0        3
19304 gcj-4.7-base                       	       0       10        0        0       10
19305 gcj-4.7-jre                        	       0        1        0        0        1
19306 gcj-4.7-jre-headless               	       0        3        3        0        0
19307 gcj-4.7-jre-lib                    	       0        3        0        0        3
19308 gcj-4.8-jre-headless               	       0        1        1        0        0
19309 gcj-4.8-jre-lib                    	       0        1        0        0        1
19310 gcj-4.9                            	       0        1        1        0        0
19311 gcj-4.9-jdk                        	       0        1        1        0        0
19312 gcj-4.9-jre                        	       0        1        0        0        1
19313 gcj-4.9-jre-lib                    	       0        8        0        0        8
19314 gcj-4.9-source                     	       0        1        0        0        1
19315 gcj-6                              	       0        2        2        0        0
19316 gcj-6-doc                          	       0        1        0        0        1
19317 gcj-6-jdk                          	       0        2        2        0        0
19318 gcj-6-jre                          	       0        6        0        0        6
19319 gcj-6-jre-lib                      	       0       55        0        0       55
19320 gcj-doc                            	       0        1        0        0        1
19321 gcj-jdk                            	       0        2        2        0        0
19322 gcj-jre                            	       0        5        0        0        5
19323 gcl                                	       0        9        9        0        0
19324 gcl-doc                            	       0        6        0        0        6
19325 gcli                               	       0        2        2        0        0
19326 gcm                                	       0        4        4        0        0
19327 gcobol-15                          	       0        1        1        0        0
19328 gcobol-15-x86-64-linux-gnu         	       0        1        1        0        0
19329 gcodeworkshop                      	       0        1        1        0        0
19330 gcolor2                            	       0        8        8        0        0
19331 gcolor3                            	       0       15       15        0        0
19332 gcompris                           	       0       15        3        0       12
19333 gcompris-data                      	       0        4        0        0        4
19334 gcompris-qt                        	       0       27       26        1        0
19335 gcompris-qt-data                   	       0       27        0        0       27
19336 gcompris-sound-en                  	       0        3        0        0        3
19337 gcompris-sound-ru                  	       0        1        0        0        1
19338 gconf-cleaner                      	       0        1        1        0        0
19339 gconf-defaults-service             	       0       26        0        0       26
19340 gconf-editor                       	       0        9        9        0        0
19341 gconf-gsettings-backend            	       0       21        0        0       21
19342 gcovr                              	       0        3        3        0        0
19343 gcpegg                             	       0        1        1        0        0
19344 gcr4                               	       0      187      168       19        0
19345 gcrontab                           	       0        1        1        0        0
19346 gcrystal                           	       0        1        1        0        0
19347 gcstar                             	       0        4        4        0        0
19348 gcu-bin                            	       0        1        1        0        0
19349 gcx                                	       0        1        1        0        0
19350 gda2-postgres                      	       0        1        1        0        0
19351 gdal-data                          	       0      654        0        0      654
19352 gdal-plugins                       	       0      518        0        0      518
19353 gdb-arm-none-eabi                  	       0        2        2        0        0
19354 gdb-avr                            	       0       30       30        0        0
19355 gdb-doc                            	       0       55        0        0       55
19356 gdb-mingw-w64                      	       0        4        4        0        0
19357 gdb-mingw-w64-target               	       0        5        0        0        5
19358 gdb-msp430                         	       0        2        2        0        0
19359 gdb-multiarch                      	       0       22       22        0        0
19360 gdb-source                         	       0        2        0        0        2
19361 gdbm-l10n                          	       0      458        0        0      458
19362 gdbmtool                           	       0        2        2        0        0
19363 gdc                                	       0       14       13        1        0
19364 gdc-10                             	       0        3        3        0        0
19365 gdc-10-aarch64-linux-gnu           	       0        1        1        0        0
19366 gdc-12                             	       0       11       10        1        0
19367 gdc-13                             	       0        1        1        0        0
19368 gdc-14                             	       0        1        1        0        0
19369 gdc-14-x86-64-linux-gnu            	       0        1        1        0        0
19370 gdc-4.9                            	       0        2        2        0        0
19371 gdc-aarch64-linux-gnu              	       0        1        1        0        0
19372 gdc-x86-64-linux-gnu               	       0        1        1        0        0
19373 gdevilspie                         	       0        1        1        0        0
19374 gdf-tools                          	       0        2        2        0        0
19375 gdis                               	       0        6        6        0        0
19376 gdis-data                          	       0        6        0        0        6
19377 gdiskdump                          	       0        1        1        0        0
19378 gdk-imlib11                        	       0        1        1        0        0
19379 gdk-pixbuf-tests                   	       0        3        0        0        3
19380 gdl-astrolib                       	       0        1        0        0        1
19381 gdl-coyote                         	       0        2        0        0        2
19382 gdl-mpfit                          	       0        1        0        0        1
19383 gdmap                              	       0       28       28        0        0
19384 gdmd                               	       0        1        1        0        0
19385 gdnsd                              	       0        3        3        0        0
19386 gdpc                               	       0        1        1        0        0
19387 gds-tools-11-7                     	       0        1        1        0        0
19388 gds-tools-12-4                     	       0        2        0        0        2
19389 gds-tools-12-6                     	       0        3        0        0        3
19390 gds-tools-12-8                     	       0        1        0        0        1
19391 gdu                                	       0        8        8        0        0
19392 geany-kvirc                        	       0        1        1        0        0
19393 geany-lsp                          	       0        1        1        0        0
19394 geany-plugin-addons                	       0       88        0        0       88
19395 geany-plugin-autoclose             	       0       73        0        0       73
19396 geany-plugin-automark              	       0       78        0        0       78
19397 geany-plugin-codenav               	       0       74        0        0       74
19398 geany-plugin-commander             	       0       72        0        0       72
19399 geany-plugin-ctags                 	       0       69        0        0       69
19400 geany-plugin-debugger              	       0       68        0        0       68
19401 geany-plugin-defineformat          	       0       68        0        0       68
19402 geany-plugin-devhelp               	       0        2        0        0        2
19403 geany-plugin-doc                   	       0       71        0        0       71
19404 geany-plugin-extrasel              	       0       70        0        0       70
19405 geany-plugin-gendoc                	       0       69        0        0       69
19406 geany-plugin-geniuspaste           	       0       69        0        0       69
19407 geany-plugin-git-changebar         	       0       73        0        0       73
19408 geany-plugin-gproject              	       0       12        0        0       12
19409 geany-plugin-insertnum             	       0       74        0        0       74
19410 geany-plugin-keyrecord             	       0       67        0        0       67
19411 geany-plugin-latex                 	       0       74        0        0       74
19412 geany-plugin-lineoperations        	       0       77        0        0       77
19413 geany-plugin-lipsum                	       0       71        0        0       71
19414 geany-plugin-lua                   	       0       69        0        0       69
19415 geany-plugin-macro                 	       0       72        0        0       72
19416 geany-plugin-markdown              	       0       77        0        0       77
19417 geany-plugin-miniscript            	       0       71        0        0       71
19418 geany-plugin-multiterm             	       0        2        0        0        2
19419 geany-plugin-numberedbookmarks     	       0       69        0        0       69
19420 geany-plugin-overview              	       0       70        0        0       70
19421 geany-plugin-pairtaghighlighter    	       0       71        0        0       71
19422 geany-plugin-pg                    	       0       70        0        0       70
19423 geany-plugin-pohelper              	       0       69        0        0       69
19424 geany-plugin-prettyprinter         	       0       70        0        0       70
19425 geany-plugin-prj                   	       0       70        0        0       70
19426 geany-plugin-projectorganizer      	       0       70        0        0       70
19427 geany-plugin-py                    	       0        2        0        0        2
19428 geany-plugin-scope                 	       0       67        0        0       67
19429 geany-plugin-sendmail              	       0       68        0        0       68
19430 geany-plugin-shiftcolumn           	       0       70        0        0       70
19431 geany-plugin-spellcheck            	       0       87        0        0       87
19432 geany-plugin-tableconvert          	       0       72        0        0       72
19433 geany-plugin-treebrowser           	       0       78        0        0       78
19434 geany-plugin-updatechecker         	       0       70        0        0       70
19435 geany-plugin-vc                    	       0       69        0        0       69
19436 geany-plugin-vimode                	       0       71        0        0       71
19437 geany-plugin-webhelper             	       0        3        0        0        3
19438 geany-plugin-workbench             	       0       68        0        0       68
19439 geany-plugin-xmlsnippets           	       0       69        0        0       69
19440 geany-plugins                      	       0       64        1        0       63
19441 geany-plugins-common               	       0      111        0        0      111
19442 gearhead-data                      	       0        9        0        0        9
19443 gearhead-sdl                       	       0        3        3        0        0
19444 gearhead2                          	       0        4        4        0        0
19445 gearhead2-data                     	       0        5        0        0        5
19446 gearhead2-sdl                      	       0        3        3        0        0
19447 geary                              	       0        7        7        0        0
19448 gecko-mediaplayer                  	       0        1        1        0        0
19449 geda                               	       0       12        0        0       12
19450 geda-doc                           	       0       16        0        0       16
19451 geda-examples                      	       0       12        0        0       12
19452 geda-gattrib                       	       0       11       11        0        0
19453 geda-gnetlist                      	       0       12       12        0        0
19454 geda-gschem                        	       0       12       12        0        0
19455 geda-gsymcheck                     	       0       12       12        0        0
19456 geda-symbols                       	       0       15        0        0       15
19457 geda-utils                         	       0        6        6        0        0
19458 geda-xgsch2pcb                     	       0        4        4        0        0
19459 gedit-common                       	       0      387        2        0      385
19460 gedit-latex-plugin                 	       0        2        2        0        0
19461 gedit-plugin-bookmarks             	       0      116        0        0      116
19462 gedit-plugin-bracket-completion    	       0      116        0        0      116
19463 gedit-plugin-character-map         	       0      118        0        0      118
19464 gedit-plugin-code-comment          	       0      116        0        0      116
19465 gedit-plugin-color-picker          	       0      121        0        0      121
19466 gedit-plugin-color-schemer         	       0      112        0        0      112
19467 gedit-plugin-commander             	       0       55        0        0       55
19468 gedit-plugin-draw-spaces           	       0      116        1        0      115
19469 gedit-plugin-find-in-files         	       0       55        1        0       54
19470 gedit-plugin-git                   	       0      116        0        0      116
19471 gedit-plugin-join-lines            	       0      118        0        0      118
19472 gedit-plugin-multi-edit            	       0      118        0        0      118
19473 gedit-plugin-session-saver         	       0      107        0        0      107
19474 gedit-plugin-smart-spaces          	       0      116        0        0      116
19475 gedit-plugin-synctex               	       0      112        0        0      112
19476 gedit-plugin-terminal              	       0      116        0        0      116
19477 gedit-plugin-text-size             	       0      106        0        0      106
19478 gedit-plugin-translate             	       0       55        0        0       55
19479 gedit-plugin-word-completion       	       0      116        0        0      116
19480 gedit-plugin-zeitgeist             	       0       11        0        0       11
19481 gedit-plugins                      	       0      126        0        0      126
19482 gedit-plugins-common               	       0      124        0        0      124
19483 gedit-source-code-browser-plugin   	       0        4        4        0        0
19484 geekcode                           	       0        6        6        0        0
19485 geeqie-common                      	       0      195      191        4        0
19486 geg                                	       0        4        4        0        0
19487 gegl                               	       0        7        7        0        0
19488 geiser                             	       0        1        0        0        1
19489 geki2                              	       0        3        3        0        0
19490 geki3                              	       0        3        3        0        0
19491 gelemental                         	       0        7        7        0        0
19492 gem                                	       0       16       16        0        0
19493 gem-doc                            	       0       15        0        0       15
19494 gem-extra                          	       0       16       16        0        0
19495 gem-plugin-assimp                  	       0       15       15        0        0
19496 gem-plugin-dv4l                    	       0        2        2        0        0
19497 gem-plugin-glfw3                   	       0        1        1        0        0
19498 gem-plugin-gmerlin                 	       0       15       15        0        0
19499 gem-plugin-jpeg                    	       0        2        2        0        0
19500 gem-plugin-lqt                     	       0       13       13        0        0
19501 gem-plugin-magick                  	       0       15       15        0        0
19502 gem-plugin-mpeg3                   	       0        1        1        0        0
19503 gem-plugin-sdl                     	       0        1        1        0        0
19504 gem-plugin-tiff                    	       0        1        1        0        0
19505 gem-plugin-v4l2                    	       0       14       14        0        0
19506 gem-plugin-vlc                     	       0        2        2        0        0
19507 gem2deb                            	       0        9        9        0        0
19508 gem2deb-test-runner                	       0       10       10        0        0
19509 gemdropx                           	       0       11       11        0        0
19510 gemrb                              	       0        1        1        0        0
19511 gemrb-data                         	       0        1        0        0        1
19512 gendarme                           	       0        4        4        0        0
19513 genders                            	       0        3        3        0        0
19514 geneagrapher                       	       0        2        2        0        0
19515 generate-ninja                     	       0        9        9        0        0
19516 generator-scripting-language       	       0        2        2        0        0
19517 generator-scripting-language-examples	       0        2        2        0        0
19518 geneweb                            	       0        5        5        0        0
19519 geneweb-gui                        	       0        2        2        0        0
19520 gengetopt                          	       0       11       11        0        0
19521 genimage                           	       0        2        2        0        0
19522 genius                             	       0       15       15        0        0
19523 genius-common                      	       0       25        0        0       25
19524 genometools-common                 	       0        1        0        0        1
19525 genparse                           	       0        1        1        0        0
19526 gentle                             	       0        2        2        0        0
19527 gentoo                             	       0        7        7        0        0
19528 geoclue                            	       0        4        4        0        0
19529 geoclue-2-demo                     	       0        4        0        0        4
19530 geoclue-doc                        	       0        2        0        0        2
19531 geoclue-examples                   	       0        1        1        0        0
19532 geoclue-hostip                     	       0        4        4        0        0
19533 geoclue-localnet                   	       0        4        4        0        0
19534 geoclue-manual                     	       0        4        4        0        0
19535 geoclue-nominatim                  	       0        2        2        0        0
19536 geoclue-yahoo                      	       0        3        3        0        0
19537 geocode-glib-common                	       0      422        0        0      422
19538 geoeasy                            	       0        1        1        0        0
19539 geoeasy-doc                        	       0        1        0        0        1
19540 geogebra                           	       0       31       31        0        0
19541 geogebra-classic                   	       0        2        2        0        0
19542 geogebra-gnome                     	       0        2        2        0        0
19543 geogebra-kde                       	       0        1        1        0        0
19544 geogebra5                          	       0        2        2        0        0
19545 geographiclib-tools                	       0        4        4        0        0
19546 geoip-database                     	       0     1842        0        0     1842
19547 geoip-database-extra               	       0       22        0        0       22
19548 geoipupdate                        	       0       18       18        0        0
19549 geole-keyring                      	       0        1        0        0        1
19550 geomview                           	       0       19       19        0        0
19551 geonkick                           	       0        1        1        0        0
19552 geophar                            	       0        1        1        0        0
19553 geos-bin                           	       0        1        1        0        0
19554 geotiff-bin                        	       0       20       19        1        0
19555 geotranz                           	       0        4        4        0        0
19556 geotranz-doc                       	       0        2        0        0        2
19557 geotranz-help                      	       0        4        0        0        4
19558 gerbv                              	       0       34       34        0        0
19559 gerris                             	       0        2        2        0        0
19560 gertty                             	       0        1        1        0        0
19561 ges1.0-tools                       	       0        2        2        0        0
19562 gespeaker                          	       0        3        3        0        0
19563 get-flash-videos                   	       0        5        5        0        0
19564 get-iplayer                        	       0        8        8        0        0
19565 getdns-utils                       	       0        2        2        0        0
19566 getdp                              	       0        1        1        0        0
19567 getenvoy-envoy                     	       0        1        1        0        0
19568 gethwake                           	       0        1        1        0        0
19569 getlibs                            	       0        1        1        0        0
19570 getmail                            	       0       16        9        0        7
19571 getmail4                           	       0       19        7        0       12
19572 gettext-base-dbgsym                	       0        1        1        0        0
19573 gettext-build-deps                 	       0        1        0        0        1
19574 gettext-dbgsym                     	       0        1        1        0        0
19575 gettext-doc                        	       0       29       27        1        1
19576 gettext-kde                        	       0        1        1        0        0
19577 getty-run                          	       0      280        0        0      280
19578 geximon                            	       0        2        2        0        0
19579 gextractwinicons                   	       0        2        2        0        0
19580 gfan                               	       0       11       11        0        0
19581 gfax                               	       0        1        1        0        0
19582 gff2aplot                          	       0        1        1        0        0
19583 gff2ps                             	       0        1        1        0        0
19584 gfio                               	       0        5        5        0        0
19585 gfm                                	       0        5        5        0        0
19586 gfontview                          	       0        1        1        0        0
19587 gforth                             	       0       23       23        0        0
19588 gforth-common                      	       0       23        0        0       23
19589 gforth-lib                         	       0       23        0        0       23
19590 gfortran-10-doc                    	       0       10        0        0       10
19591 gfortran-10-multilib               	       0       13        0        0       13
19592 gfortran-11                        	       0       13       13        0        0
19593 gfortran-11-doc                    	       0        2        0        0        2
19594 gfortran-11-multilib               	       0        2        0        0        2
19595 gfortran-12-doc                    	       0       13        0        0       13
19596 gfortran-12-multilib               	       0       16        0        0       16
19597 gfortran-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
19598 gfortran-12-x86-64-linux-gnux32    	       0        1        1        0        0
19599 gfortran-13                        	       0       25       25        0        0
19600 gfortran-13-doc                    	       0        5        0        0        5
19601 gfortran-13-i686-linux-gnu         	       0        1        1        0        0
19602 gfortran-13-multilib               	       0        2        0        0        2
19603 gfortran-13-x86-64-linux-gnu       	       0       22       22        0        0
19604 gfortran-14                        	       0       30       29        1        0
19605 gfortran-14-doc                    	       0        2        0        0        2
19606 gfortran-14-i686-linux-gnu         	       0        1        1        0        0
19607 gfortran-14-multilib               	       0        2        0        0        2
19608 gfortran-14-x86-64-linux-gnu       	       0       29       28        1        0
19609 gfortran-15                        	       0        1        1        0        0
19610 gfortran-15-x86-64-linux-gnu       	       0        1        1        0        0
19611 gfortran-4.3-doc                   	       0        1        0        0        1
19612 gfortran-4.4                       	       0        1        1        0        0
19613 gfortran-4.9                       	       0        9        9        0        0
19614 gfortran-4.9-doc                   	       0        1        0        0        1
19615 gfortran-4.9-multilib              	       0        1        0        0        1
19616 gfortran-5                         	       0        1        1        0        0
19617 gfortran-6                         	       0       31       31        0        0
19618 gfortran-6-doc                     	       0        4        0        0        4
19619 gfortran-6-multilib                	       0        1        0        0        1
19620 gfortran-8-multilib                	       0        1        0        0        1
19621 gfortran-9                         	       0        5        5        0        0
19622 gfortran-9-doc                     	       0        1        0        0        1
19623 gfortran-doc                       	       0       19        0        0       19
19624 gfortran-i686-linux-gnu            	       0        1        1        0        0
19625 gfortran-mingw-w64                 	       0        3        0        0        3
19626 gfortran-mingw-w64-i686            	       0        3        0        0        3
19627 gfortran-mingw-w64-i686-posix      	       0        3        3        0        0
19628 gfortran-mingw-w64-i686-win32      	       0        3        3        0        0
19629 gfortran-mingw-w64-x86-64          	       0        3        0        0        3
19630 gfortran-mingw-w64-x86-64-posix    	       0        3        3        0        0
19631 gfortran-mingw-w64-x86-64-win32    	       0        5        5        0        0
19632 gfortran-multilib                  	       0       17        0        0       17
19633 gfortran-x86-64-linux-gnu          	       0       30       29        1        0
19634 gfpoken                            	       0        7        7        0        0
19635 gfs2-utils                         	       0        1        1        0        0
19636 gfsecret                           	       0        2        2        0        0
19637 gftp                               	       0       42        0        0       42
19638 gftp-common                        	       0       56       54        2        0
19639 gftp-text                          	       0       47       46        1        0
19640 gfxboot                            	       0        5        5        0        0
19641 gfxboot-dev                        	       0        3        3        0        0
19642 gfxboot-themes                     	       0        4        4        0        0
19643 ggcov                              	       0        1        1        0        0
19644 ggobi                              	       0        3        3        0        0
19645 ggz                                	       0        1        0        0        1
19646 ggz-game-servers                   	       0        1        1        0        0
19647 ggz-gnome-client                   	       0        1        1        0        0
19648 ggz-gtk-client                     	       0        1        1        0        0
19649 ggz-gtk-games                      	       0        1        1        0        0
19650 ggz-gtk-games-data                 	       0        1        0        0        1
19651 ggz-kde-games                      	       0        1        1        0        0
19652 ggz-kde-games-data                 	       0        1        0        0        1
19653 ggz-sdl-games                      	       0        1        1        0        0
19654 ggz-sdl-games-data                 	       0        1        0        0        1
19655 ggz-txt-client                     	       0        1        1        0        0
19656 ggzcore-bin                        	       0        2        2        0        0
19657 ggzd                               	       0        1        1        0        0
19658 ghc-8.4.4                          	       0        1        1        0        0
19659 ghc-prof                           	       0       23       18        2        3
19660 ghdl                               	       0       12        1        0       11
19661 ghdl-common                        	       0       11       11        0        0
19662 ghdl-gcc                           	       0        4        4        0        0
19663 ghdl-llvm                          	       0        3        3        0        0
19664 ghdl-mcode                         	       0       11       11        0        0
19665 ghdl-tools                         	       0        3        3        0        0
19666 ghemical                           	       0        3        3        0        0
19667 ghex                               	       0       51       50        1        0
19668 ghextris                           	       0       11       11        0        0
19669 ghfaxviewer                        	       0        1        1        0        0
19670 ghi                                	       0        1        1        0        0
19671 ghidra                             	       0        1        1        0        0
19672 ghmm                               	       0        1        1        0        0
19673 ghostess                           	       0        7        7        0        0
19674 ghostscript-build-deps             	       0        1        0        0        1
19675 ghostscript-cups                   	       0        2        2        0        0
19676 ghostscript-dbgsym                 	       0        1        1        0        0
19677 ghostscript-doc                    	       0       19        0        0       19
19678 ghostscript-x-dbgsym               	       0        1        1        0        0
19679 gi-docgen                          	       0        2        2        0        0
19680 giac-doc                           	       0        1        0        0        1
19681 giada                              	       0        5        5        0        0
19682 giara                              	       0        2        2        0        0
19683 giblib1                            	       0      111        0        0      111
19684 gif2apng                           	       0        2        2        0        0
19685 gif2png                            	       0        3        3        0        0
19686 gifsicle                           	       0       22       22        0        0
19687 gifski                             	       0        2        2        0        0
19688 giftrans                           	       0       10       10        0        0
19689 gig-uiems                          	       0        1        0        0        1
19690 gigalomania                        	       0        4        4        0        0
19691 gigalomania-data                   	       0        4        0        0        4
19692 gigatribe                          	       0        1        1        0        0
19693 gigedit                            	       0        3        3        0        0
19694 giggle                             	       0       16       16        0        0
19695 giggle-personal-details-plugin     	       0        1        1        0        0
19696 giggle-terminal-view-plugin        	       0        1        1        0        0
19697 gigolo                             	       0       38       36        2        0
19698 gigtools                           	       0        5        5        0        0
19699 gij-4.0                            	       0        1        1        0        0
19700 gij-4.1                            	       0        1        1        0        0
19701 gij-4.2                            	       0        1        1        0        0
19702 gij-4.3                            	       0        1        1        0        0
19703 gimagereader                       	       0       39       39        0        0
19704 gimagereader-common                	       0       34        0        0       34
19705 gimagereader-qt5                   	       0        8        8        0        0
19706 gimmix                             	       0        1        1        0        0
19707 gimp-cbmplugs                      	       0       17       16        1        0
19708 gimp-data-extras                   	       0      139        0        0      139
19709 gimp-dcraw                         	       0       12       12        0        0
19710 gimp-dds                           	       0        5        5        0        0
19711 gimp-dimage-color                  	       0        2        2        0        0
19712 gimp-flegita                       	       0        1        1        0        0
19713 gimp-gap                           	       0       32       32        0        0
19714 gimp-gluas                         	       0       23       22        1        0
19715 gimp-gmic                          	       0       71       68        3        0
19716 gimp-gutenprint                    	       0       95       90        5        0
19717 gimp-help-common                   	       0      179        0        0      179
19718 gimp-help-de                       	       0       38        0        0       38
19719 gimp-help-el                       	       0        3        0        0        3
19720 gimp-help-en                       	       0       76        0        0       76
19721 gimp-help-en-gb                    	       0       10        0        0       10
19722 gimp-help-es                       	       0       14        0        0       14
19723 gimp-help-fr                       	       0       11        0        0       11
19724 gimp-help-hu                       	       0        2        0        0        2
19725 gimp-help-it                       	       0        3        0        0        3
19726 gimp-help-ko                       	       0        1        0        0        1
19727 gimp-help-lt                       	       0        1        0        0        1
19728 gimp-help-nl                       	       0        3        0        0        3
19729 gimp-help-ru                       	       0       26        0        0       26
19730 gimp-help-sl                       	       0        1        0        0        1
19731 gimp-help-sv                       	       0       12        0        0       12
19732 gimp-help-uk                       	       0        2        0        0        2
19733 gimp-lensfun                       	       0       33       32        1        0
19734 gimp-manual                        	       0        1        0        0        1
19735 gimp-plugin-file-jxl               	       0        1        1        0        0
19736 gimp-plugin-registry               	       0       72       69        3        0
19737 gimp-plugin-ris                    	       0        1        1        0        0
19738 gimp-plugin-scaler-biakima         	       0        1        1        0        0
19739 gimp-python                        	       0        4        4        0        0
19740 gimp-resynthesizer                 	       0        2        0        0        2
19741 gimp-texturize                     	       0       43       41        2        0
19742 gimp-ufraw                         	       0       15       15        0        0
19743 gimp2.0-quiteinsane                	       0        1        1        0        0
19744 gimpprint-doc                      	       0        1        0        0        1
19745 ginac-tools                        	       0        1        1        0        0
19746 ginkgocadx                         	       0        6        6        0        0
19747 gip                                	       0        4        4        0        0
19748 gir-rust-code-generator            	       0        1        1        0        0
19749 gir-to-d                           	       0        1        1        0        0
19750 gir1.2-abi-3.0                     	       0        1        0        0        1
19751 gir1.2-accounts-1.0                	       0        1        1        0        0
19752 gir1.2-ags-6.0                     	       0        1        0        0        1
19753 gir1.2-agsaudio-6.0                	       0        1        0        0        1
19754 gir1.2-amtk-5                      	       0       85        1        0       84
19755 gir1.2-appindicator-0.1            	       0        3        0        0        3
19756 gir1.2-appindicator3-0.1           	       0       73        4        0       69
19757 gir1.2-appstreamcompose-1.0        	       0        1        0        0        1
19758 gir1.2-appstreamglib-1.0           	       0        2        0        0        2
19759 gir1.2-avahi-0.6                   	       0        1        0        0        1
19760 gir1.2-ayatanaappindicator-0.1     	       0        2        0        0        2
19761 gir1.2-ayatanaido3-0.4             	       0        9        0        0        9
19762 gir1.2-bamf-3                      	       0       57        0        0       57
19763 gir1.2-blockdev-2.0                	       0        3        0        0        3
19764 gir1.2-brasero-3.1                 	       0        1        0        0        1
19765 gir1.2-caja                        	       0        6        0        0        6
19766 gir1.2-champlain-0.12              	       0       22        0        0       22
19767 gir1.2-cheese-3.0                  	       0       25        0        0       25
19768 gir1.2-cloudproviders-0.3.0        	       0       33        0        0       33
19769 gir1.2-clutter-1.0                 	       0      239        0        0      239
19770 gir1.2-clutter-gst-1.0             	       0        2        2        0        0
19771 gir1.2-clutter-gst-2.0             	       0        7        7        0        0
19772 gir1.2-clutter-gst-3.0             	       0       29        0        0       29
19773 gir1.2-cogl-1.0                    	       0      241        0        0      241
19774 gir1.2-coglpango-1.0               	       0      241        0        0      241
19775 gir1.2-colord-1.0                  	       0       20        0        0       20
19776 gir1.2-colordgtk-1.0               	       0       14        0        0       14
19777 gir1.2-cryptui-0.0                 	       0        1        0        0        1
19778 gir1.2-dazzle-1.0                  	       0       15        0        0       15
19779 gir1.2-dbusmenu-glib-0.4           	       0       25        0        0       25
19780 gir1.2-dbusmenu-gtk-0.4            	       0        5        0        0        5
19781 gir1.2-dbusmenu-gtk3-0.4           	       0        7        0        0        7
19782 gir1.2-dee-1.0                     	       0        1        1        0        0
19783 gir1.2-dex-1                       	       0        1        0        0        1
19784 gir1.2-ebackend-1.2                	       0        4        0        0        4
19785 gir1.2-ebook-1.2                   	       0        6        0        0        6
19786 gir1.2-ecal-2.0                    	       0      210        0        0      210
19787 gir1.2-edatabook-1.2               	       0        4        0        0        4
19788 gir1.2-edataserverui-1.2           	       0        1        0        0        1
19789 gir1.2-entangle-0.1                	       0        7        7        0        0
19790 gir1.2-evince-3.0                  	       0      497        0        0      497
19791 gir1.2-farstream-0.2               	       0       58        9        0       49
19792 gir1.2-fcitx-1.0                   	       0        1        0        0        1
19793 gir1.2-flatpak-1.0                 	       0       17        0        0       17
19794 gir1.2-folks-0.6                   	       0        5        3        0        2
19795 gir1.2-folks-0.7                   	       0        4        0        0        4
19796 gir1.2-freedesktop-dev             	       0       58        0        0       58
19797 gir1.2-fwupd-2.0                   	       0        5        0        0        5
19798 gir1.2-ganv-1.0                    	       0        1        0        0        1
19799 gir1.2-garcon-1.0                  	       0        8        0        0        8
19800 gir1.2-garcongtk-1.0               	       0        5        0        0        5
19801 gir1.2-gcab-1.0                    	       0        1        0        0        1
19802 gir1.2-gdata-0.0                   	       0       35        0        0       35
19803 gir1.2-gdl-3                       	       0        1        0        0        1
19804 gir1.2-gdm3                        	       0        1        1        0        0
19805 gir1.2-gee-0.8                     	       0       23        0        0       23
19806 gir1.2-gee-1.0                     	       0        4        1        0        3
19807 gir1.2-geocodeglib-1.0             	       0       37        0        0       37
19808 gir1.2-geocodeglib-2.0             	       0      109        0        0      109
19809 gir1.2-gepub-0.4                   	       0        4        0        0        4
19810 gir1.2-gepub-0.6                   	       0        5        0        0        5
19811 gir1.2-ges-1.0                     	       0       36        1        0       35
19812 gir1.2-gfbgraph-0.2                	       0       15        0        0       15
19813 gir1.2-girepository-2.0-dev        	       0       10        0        0       10
19814 gir1.2-girepository-3.0            	       0        1        0        0        1
19815 gir1.2-girepository-3.0-dev        	       0        1        0        0        1
19816 gir1.2-git2-glib-1.0               	       0       11       11        0        0
19817 gir1.2-gkbd-3.0                    	       0      292        0        0      292
19818 gir1.2-gladeui-2.0                 	       0        3        0        0        3
19819 gir1.2-glib-2.0-dev                	       0       69        0        0       69
19820 gir1.2-gmime-2.6                   	       0       11        0        0       11
19821 gir1.2-gmime-3.0                   	       0        6        0        0        6
19822 gir1.2-gnomeautoar-0.1             	       0        2        2        0        0
19823 gir1.2-gnomebluetooth-1.0          	       0       60        6        0       54
19824 gir1.2-goa-1.0                     	       0      408        0        0      408
19825 gir1.2-goffice-0.10                	       0        2        0        0        2
19826 gir1.2-goocanvas-2.0               	       0       84        1        0       83
19827 gir1.2-gpaste-1.0                  	       0        1        0        0        1
19828 gir1.2-gpaste-2                    	       0        2        2        0        0
19829 gir1.2-granite-1.0                 	       0        4        0        0        4
19830 gir1.2-grilo-0.3                   	       0      118        0        0      118
19831 gir1.2-gsf-1                       	       0       16        0        0       16
19832 gir1.2-gspell-1                    	       0       93        9        0       84
19833 gir1.2-gssdp-1.6                   	       0        5        0        0        5
19834 gir1.2-gst-plugins-bad-1.0         	       0      404        1        0      403
19835 gir1.2-gst-plugins-base-0.10       	       0        7        7        0        0
19836 gir1.2-gst-rtsp-server-1.0         	       0        3        0        0        3
19837 gir1.2-gstreamer-0.10              	       0       11       11        0        0
19838 gir1.2-gtkchamplain-0.12           	       0       22        0        0       22
19839 gir1.2-gtkclutter-1.0              	       0      223        0        0      223
19840 gir1.2-gtklayershell-0.1           	       0        4        0        0        4
19841 gir1.2-gtksource-300               	       0       31        0        0       31
19842 gir1.2-gtksource-5                 	       0        5        0        0        5
19843 gir1.2-gtkspell3-3.0               	       0       88        3        0       85
19844 gir1.2-gucharmap-2.90              	       0      132        0        0      132
19845 gir1.2-gupnp-1.6                   	       0        5        0        0        5
19846 gir1.2-gupnp-av-1.0                	       0        1        0        0        1
19847 gir1.2-gupnpdlna-2.0               	       0        1        0        0        1
19848 gir1.2-gupnpigd-1.0                	       0       46        3        0       43
19849 gir1.2-gupnpigd-1.6                	       0        3        0        0        3
19850 gir1.2-gusb-1.0                    	       0        3        2        0        1
19851 gir1.2-gweather-3.0                	       0       49        6        0       43
19852 gir1.2-gxps-0.1                    	       0        1        0        0        1
19853 gir1.2-handy-0.0                   	       0       30        0        0       30
19854 gir1.2-ical-3.0                    	       0      228        0        0      228
19855 gir1.2-inputpad-1.1                	       0        1        0        0        1
19856 gir1.2-javascriptcoregtk-3.0       	       0       21        0        0       21
19857 gir1.2-javascriptcoregtk-6.0       	       0       14        0        0       14
19858 gir1.2-jcat-1.0                    	       0        2        0        0        2
19859 gir1.2-jsonrpc-1.0                 	       0        5        0        0        5
19860 gir1.2-keybinder-0.0               	       0        1        0        0        1
19861 gir1.2-langtag-0.6                 	       0        2        0        0        2
19862 gir1.2-libinsane-1.0               	       0       15        0        0       15
19863 gir1.2-libvirt-sandbox-1.0         	       0        1        0        0        1
19864 gir1.2-libxfce4panel-2.0           	       0       18        0        0       18
19865 gir1.2-libxfce4ui-2.0              	       0       48        0        0       48
19866 gir1.2-libxfce4util-1.0            	       0       65        0        0       65
19867 gir1.2-lightdm-1                   	       0       10        9        0        1
19868 gir1.2-lokdocview-0.1              	       0       62        0        0       62
19869 gir1.2-malcontentui-1              	       0        1        0        0        1
19870 gir1.2-manette-0.2                 	       0        1        0        0        1
19871 gir1.2-mate-desktop                	       0        1        0        0        1
19872 gir1.2-mate-menu                   	       0        2        0        0        2
19873 gir1.2-mate-panel                  	       0       28        0        0       28
19874 gir1.2-matekbd-1.0                 	       0        2        0        0        2
19875 gir1.2-mediaart-1.0                	       0        1        0        0        1
19876 gir1.2-mediaart-2.0                	       0      117        0        0      117
19877 gir1.2-messagingmenu-1.0           	       0        2        0        0        2
19878 gir1.2-modemmanager-1.0            	       0        3        0        0        3
19879 gir1.2-mutter-12                   	       0        1        0        0        1
19880 gir1.2-mutter-14                   	       0        4        3        0        1
19881 gir1.2-mutter-3                    	       0        4        1        0        3
19882 gir1.2-mutter-3.0                  	       0        3        0        0        3
19883 gir1.2-mutter-7                    	       0       31        5        0       26
19884 gir1.2-mutter-9                    	       0        1        0        0        1
19885 gir1.2-mypaint-1.5                 	       0        1        0        0        1
19886 gir1.2-nautilus-3.0                	       0       18        0        0       18
19887 gir1.2-nautilus-4.0                	       0       35        0        0       35
19888 gir1.2-nemo-3.0                    	       0      261        6        5      250
19889 gir1.2-networkmanager-1.0          	       0       14        0        0       14
19890 gir1.2-nice-0.1                    	       0        4        0        0        4
19891 gir1.2-nmgtk-1.0                   	       0       11        0        0       11
19892 gir1.2-osmgpsmap-1.0               	       0       44        0        0       44
19893 gir1.2-ostree-1.0                  	       0        6        0        0        6
19894 gir1.2-panel-1                     	       0        2        0        0        2
19895 gir1.2-panelapplet-4.0             	       0        4        1        0        3
19896 gir1.2-panelapplet-5.0             	       0        3        0        0        3
19897 gir1.2-peas-2                      	       0        1        0        0        1
19898 gir1.2-playerctl-2.0               	       0        1        0        0        1
19899 gir1.2-poppler-0.18                	       0      170        0        0      170
19900 gir1.2-rb-3.0                      	       0      391        3        0      388
19901 gir1.2-rda-1.0                     	       0        1        0        0        1
19902 gir1.2-rest-0.7                    	       0       30       30        0        0
19903 gir1.2-rest-1.0                    	       0      106        1        0      105
19904 gir1.2-restextras-1.0              	       0        1        0        0        1
19905 gir1.2-retro-1                     	       0        3        0        0        3
19906 gir1.2-shumate-1.0                 	       0      105        0        0      105
19907 gir1.2-signon-2.0                  	       0        2        2        0        0
19908 gir1.2-snapd-1                     	       0        2        0        0        2
19909 gir1.2-snapd-2                     	       0        1        0        0        1
19910 gir1.2-spelling-1                  	       0        2        0        0        2
19911 gir1.2-sugarext-1.0                	       0      303        0        0      303
19912 gir1.2-template-1.0                	       0        4        0        0        4
19913 gir1.2-tepl-6                      	       0       85        1        0       84
19914 gir1.2-thunarx-3.0                 	       0        5        0        0        5
19915 gir1.2-timezonemap-1.0             	       0      252        4        5      243
19916 gir1.2-totem-1.0                   	       0      389        5        0      384
19917 gir1.2-totem-plparser-1.0          	       0       15        1        0       14
19918 gir1.2-totemplparser-1.0           	       0      383        4        0      379
19919 gir1.2-tracker-0.14                	       0        2        2        0        0
19920 gir1.2-tracker-1.0                 	       0        8        0        0        8
19921 gir1.2-tracker-2.0                 	       0       29        0        0       29
19922 gir1.2-tracker-3.0                 	       0      107        0        0      107
19923 gir1.2-udisks-2.0                  	       0       47        0        0       47
19924 gir1.2-urfkill-0.5                 	       0        2        0        0        2
19925 gir1.2-urfkill-glib0               	       0        1        0        0        1
19926 gir1.2-vips-8.0                    	       0        5        4        0        1
19927 gir1.2-vte-2.90                    	       0       17       17        0        0
19928 gir1.2-vte-3.91                    	       0        2        0        0        2
19929 gir1.2-webkit-3.0                  	       0       10        0        0       10
19930 gir1.2-webkit-6.0                  	       0       14        0        0       14
19931 gir1.2-wnck-1.0                    	       0        8        0        0        8
19932 gir1.2-wp-0.4                      	       0        1        0        0        1
19933 gir1.2-wp-0.5                      	       0        2        0        0        2
19934 gir1.2-xdp-1.0                     	       0       11        0        0       11
19935 gir1.2-xdpgtk3-1.0                 	       0        3        0        0        3
19936 gir1.2-xdpgtk4-1.0                 	       0        3        0        0        3
19937 gir1.2-xfconf-0                    	       0       96        0        0       96
19938 gir1.2-xkl-1.0                     	       0      304        0        0      304
19939 gir1.2-xmlb-2.0                    	       0       21        0        0       21
19940 gir1.2-zbar-1.0                    	       0        7        0        0        7
19941 gir1.2-zeitgeist-2.0               	       0       16        0        0       16
19942 gir1.2-zpj-0.0                     	       0       28        0        0       28
19943 girepository-tools                 	       0       65       51       14        0
19944 gis-data                           	       0        1        0        0        1
19945 gis-devel                          	       0        1        0        0        1
19946 gis-gps                            	       0        3        0        0        3
19947 gis-remotesensing                  	       0        3        0        0        3
19948 gis-statistics                     	       0        3        0        0        3
19949 gis-tasks                          	       0        7        0        0        7
19950 gis-weather                        	       0        1        1        0        0
19951 gis-web                            	       0        2        0        0        2
19952 gis-workstation                    	       0        4        0        0        4
19953 git-absorb                         	       0        2        2        0        0
19954 git-all                            	       0       26        0        0       26
19955 git-annex                          	       0       12       12        0        0
19956 git-annex-remote-rclone            	       0        5        5        0        0
19957 git-annex-standalone               	       0        1        1        0        0
19958 git-arch                           	       0        1        1        0        0
19959 git-autofixup                      	       0        2        2        0        0
19960 git-big-picture                    	       0        9        9        0        0
19961 git-big-picture-build-deps         	       0        1        0        0        1
19962 git-build-recipe                   	       0        2        2        0        0
19963 git-buildpackage                   	       0       70       70        0        0
19964 git-buildpackage-rpm               	       0        2        2        0        0
19965 git-bump                           	       0        1        1        0        0
19966 git-cinnabar                       	       0        1        1        0        0
19967 git-cola                           	       0       25       25        0        0
19968 git-core                           	       0       41        0        0       41
19969 git-crecord                        	       0        3        3        0        0
19970 git-credential-oauth               	       0        2        2        0        0
19971 git-crypt                          	       0        6        6        0        0
19972 git-cvs                            	       0       46       44        2        0
19973 git-daemon-run                     	       0        7        0        0        7
19974 git-daemon-sysvinit                	       0        6        0        0        6
19975 git-debpush                        	       0        1        1        0        0
19976 git-debrebase                      	       0        2        2        0        0
19977 git-delete-merged-branches         	       0        2        2        0        0
19978 git-delta                          	       0        1        1        0        0
19979 git-doc                            	       0      117        0        0      117
19980 git-dpm                            	       0        2        2        0        0
19981 git-el                             	       0        7        7        0        0
19982 git-email                          	       0       70       67        3        0
19983 git-extras                         	       0       14       14        0        0
19984 git-filter-repo                    	       0       16       16        0        0
19985 git-flow                           	       0       19       19        0        0
19986 git-ftp                            	       0        4        4        0        0
19987 git-hub                            	       0        7        7        0        0
19988 git-imerge                         	       0        3        3        0        0
19989 git-lfs                            	       0       76       71        5        0
19990 git-load-dirs                      	       0        1        1        0        0
19991 git-man                            	       0     2178        0        0     2178
19992 git-mediate                        	       0        2        2        0        0
19993 git-mediawiki                      	       0       36       35        1        0
19994 git-merge-changelog                	       0        2        2        0        0
19995 git-merge-changelog-dbgsym         	       0        1        1        0        0
19996 git-notifier                       	       0        1        1        0        0
19997 git-publish                        	       0        3        3        0        0
19998 git-quick-stats                    	       0        1        1        0        0
19999 git-reintegrate                    	       0        2        2        0        0
20000 git-remote-gcrypt                  	       0       13       13        0        0
20001 git-remote-hg                      	       0        2        2        0        0
20002 git-repair                         	       0        6        6        0        0
20003 git-restore-mtime                  	       0        2        2        0        0
20004 git-review                         	       0        7        7        0        0
20005 git-revise                         	       0        1        1        0        0
20006 git-secret                         	       0        2        2        0        0
20007 git-secrets                        	       0        3        3        0        0
20008 git-sh                             	       0        1        1        0        0
20009 git-sizer                          	       0        2        2        0        0
20010 git-stuff                          	       0        1        1        0        0
20011 git-subrepo                        	       0        2        2        0        0
20012 git-svn                            	       0       82       80        2        0
20013 git-tui                            	       0        1        1        0        0
20014 git2cl                             	       0        2        2        0        0
20015 gita                               	       0        3        3        0        0
20016 gitbatch                           	       0        2        2        0        0
20017 gitbrute                           	       0        1        1        0        0
20018 gitea                              	       0        1        1        0        0
20019 gitfiend                           	       0        1        0        0        1
20020 gitg                               	       0       31       31        0        0
20021 github-desktop                     	       0        2        2        0        0
20022 gitinspector                       	       0        6        6        0        0
20023 gitit                              	       0        2        2        0        0
20024 gitkraken                          	       0        3        2        1        0
20025 gitlab-ce                          	       0        3        3        0        0
20026 gitlab-ci-local                    	       0        1        1        0        0
20027 gitlab-cli                         	       0        5        5        0        0
20028 gitlab-ee                          	       0        3        3        0        0
20029 gitlab-runner-helper-images        	       0        2        0        0        2
20030 gitlab-shell                       	       0        1        1        0        0
20031 gitless                            	       0        4        4        0        0
20032 gitlint                            	       0        3        3        0        0
20033 gitmagic                           	       0       23        0        0       23
20034 gitolite                           	       0        1        1        0        0
20035 gitpkg                             	       0        4        4        0        0
20036 gitso                              	       0        1        1        0        0
20037 gitsome                            	       0        2        2        0        0
20038 gitstats                           	       0        1        1        0        0
20039 gittown                            	       0        1        1        0        0
20040 giza-dev                           	       0        6        6        0        0
20041 gjacktransport                     	       0       10       10        0        0
20042 gjdoc                              	       0        1        1        0        0
20043 gjiten                             	       0        7        7        0        0
20044 gkermit                            	       0       10       10        0        0
20045 gkrellm-gkrellmpc                  	       0        5        5        0        0
20046 gkrellm-hdplop                     	       0       20       20        0        0
20047 gkrellm-ibam                       	       0        8        8        0        0
20048 gkrellm-mailwatch                  	       0        8        8        0        0
20049 gkrellm-mldonkey                   	       0        3        3        0        0
20050 gkrellm-radio                      	       0        7        7        0        0
20051 gkrellm-tz                         	       0       10       10        0        0
20052 gkrellm-x86info                    	       0       12       12        0        0
20053 gkrellm-xkb                        	       0       13       13        0        0
20054 gkrellmitime                       	       0        6        6        0        0
20055 gkrellmwireless                    	       0       16       16        0        0
20056 gkrellshoot                        	       0       11       11        0        0
20057 gkrelluim                          	       0        6        6        0        0
20058 gkrellxmms2                        	       0        6        6        0        0
20059 gl-117                             	       0        6        6        0        0
20060 gl-117-data                        	       0        6        0        0        6
20061 glab                               	       0        2        2        0        0
20062 glabels-data                       	       0       32        0        0       32
20063 glade                              	       0       37       36        1        0
20064 glade-common                       	       0        1        1        0        0
20065 glade-gtk2                         	       0        1        1        0        0
20066 glade2script                       	       0        3        0        0        3
20067 glade2script-python3               	       0        3        3        0        0
20068 gladish                            	       0        1        1        0        0
20069 gladtex                            	       0        1        1        0        0
20070 glam2                              	       0        1        1        0        0
20071 glance                             	       0        1        0        0        1
20072 glance-api                         	       0        1        1        0        0
20073 glance-common                      	       0        1        1        0        0
20074 glance-registry                    	       0        1        1        0        0
20075 glance-store-common                	       0        1        0        0        1
20076 glances-doc                        	       0        7        0        0        7
20077 glasscoder                         	       0        1        1        0        0
20078 glassfish-javaee                   	       0        3        0        0        3
20079 glassfish-jmac-api                 	       0        1        0        0        1
20080 glassgui                           	       0        1        1        0        0
20081 glaurung                           	       0        7        7        0        0
20082 glava                              	       0        1        1        0        0
20083 glbsp                              	       0        3        3        0        0
20084 glchess                            	       0        2        0        0        2
20085 gle-graphics                       	       0        2        2        0        0
20086 gle-graphics-manual                	       0        2        0        0        2
20087 glee-dev                           	       0        1        1        0        0
20088 glew-utils                         	       0       30       28        2        0
20089 glewlwyd                           	       0        1        1        0        0
20090 glewlwyd-common                    	       0        1        0        0        1
20091 glgrib-data                        	       0        1        0        0        1
20092 glgrib-egl                         	       0        1        1        0        0
20093 glgrib-shaders                     	       0        1        0        0        1
20094 glhack                             	       0        8        8        0        0
20095 glibc-doc                          	       0       57        0        0       57
20096 glibc-doc-reference                	       0       25        0        0       25
20097 glibc-source                       	       0       20        0        0       20
20098 gliese                             	       0        4        0        0        4
20099 glines                             	       0        2        0        0        2
20100 gliv                               	       0        2        2        0        0
20101 glmark2-data                       	       0       21        0        0       21
20102 glmark2-drm                        	       0        1        1        0        0
20103 glmark2-es2-drm                    	       0        1        1        0        0
20104 glmark2-es2-wayland                	       0        3        3        0        0
20105 glmark2-es2-x11                    	       0        4        4        0        0
20106 glmark2-wayland                    	       0        3        3        0        0
20107 glob2                              	       0        9        9        0        0
20108 glob2-data                         	       0        9        0        0        9
20109 globalprotect                      	       0        1        1        0        0
20110 globalprotect-openconnect          	       0        1        1        0        0
20111 globs                              	       0        2        2        0        0
20112 globus-gass-copy-progs             	       0        2        2        0        0
20113 globus-gridftp-server-progs        	       0        1        1        0        0
20114 glogg                              	       0        8        8        0        0
20115 glogic                             	       0       12       12        0        0
20116 glom-doc                           	       0        2        0        0        2
20117 glosstex                           	       0        3        3        0        0
20118 glotski                            	       0        1        1        0        0
20119 glow                               	       0        2        2        0        0
20120 glpeces                            	       0       11       11        0        0
20121 glpeces-data                       	       0       12        0        0       12
20122 glpi                               	       0        1        1        0        0
20123 glpi-agent                         	       0        2        2        0        0
20124 glpk-doc                           	       0        1        0        0        1
20125 glpk-utils                         	       0       13       13        0        0
20126 glslang-dev                        	       0       14       14        0        0
20127 glslc                              	       0        3        3        0        0
20128 gltfpack                           	       0        3        3        0        0
20129 gltron                             	       0        8        8        0        0
20130 glunarclock                        	       0        1        1        0        0
20131 gluqlo                             	       0        2        2        0        0
20132 glurp                              	       0        5        4        1        0
20133 glusterfs-cli                      	       0        1        0        0        1
20134 glusterfs-client                   	       0        2        2        0        0
20135 glusterfs-common                   	       0        9        9        0        0
20136 glusterfs-server                   	       0        1        1        0        0
20137 glutg3                             	       0        1        0        0        1
20138 glx-alternative-mesa               	       0      263        0        0      263
20139 glx-alternative-nvidia             	       0      224        0        0      224
20140 glx-diversions                     	       0      245        0        0      245
20141 glycin-loaders                     	       0        1        0        0        1
20142 glymur-bin                         	       0        1        1        0        0
20143 glyphsinfo                         	       0        3        0        0        3
20144 glyrc                              	       0       91       89        2        0
20145 gm2                                	       0        3        3        0        0
20146 gm2-12                             	       0        1        1        0        0
20147 gm2-13                             	       0        1        1        0        0
20148 gm2-13-doc                         	       0        1        0        0        1
20149 gm2-13-x86-64-linux-gnu            	       0        1        1        0        0
20150 gm2-14                             	       0        3        3        0        0
20151 gm2-14-x86-64-linux-gnu            	       0        3        3        0        0
20152 gm2-x86-64-linux-gnu               	       0        2        2        0        0
20153 gmameui                            	       0        1        1        0        0
20154 gman                               	       0       12       11        1        0
20155 gmanedit                           	       0        3        3        0        0
20156 gmap                               	       0        1        1        0        0
20157 gmchess                            	       0        1        1        0        0
20158 gmediarender                       	       0        1        1        0        0
20159 gmemusage                          	       0        5        5        0        0
20160 gmerlin                            	       0        9        9        0        0
20161 gmerlin-data                       	       0        9        0        0        9
20162 gmerlin-doc                        	       0        1        0        0        1
20163 gmerlin-encoders                   	       0        1        1        0        0
20164 gmerlin-encoders-extra             	       0        3        0        0        3
20165 gmerlin-encoders-ffmpeg            	       0        8        0        0        8
20166 gmerlin-encoders-good              	       0        7        0        0        7
20167 gmerlin-plugins-avdecoder          	       0        7        0        0        7
20168 gmerlin-plugins-base               	       0        9        0        0        9
20169 gmidimonitor                       	       0       10       10        0        0
20170 gmime-bin                          	       0        1        1        0        0
20171 gmlive                             	       0        2        2        0        0
20172 gmm                                	       0        1        1        0        0
20173 gmobilemedia                       	       0        1        1        0        0
20174 gmp-doc                            	       0       14        0        0       14
20175 gmp-ecm                            	       0       14       14        0        0
20176 gmpc                               	       0       15       14        1        0
20177 gmpc-data                          	       0       15        0        0       15
20178 gmpc-plugins                       	       0        3        0        0        3
20179 gmsh                               	       0       10       10        0        0
20180 gmsh-doc                           	       0       11       10        0        1
20181 gmsl                               	       0        3        0        0        3
20182 gmt                                	       0        8        8        0        0
20183 gmt-common                         	       0        9        0        0        9
20184 gmt-dcw                            	       0        9        0        0        9
20185 gmt-examples                       	       0        1        0        0        1
20186 gmt-gshhg                          	       0        1        0        0        1
20187 gmt-gshhg-full                     	       0        2        0        0        2
20188 gmt-gshhg-high                     	       0        8        0        0        8
20189 gmt-gshhg-low                      	       0        2        0        0        2
20190 gmtkbabel                          	       0        1        1        0        0
20191 gmult                              	       0        8        8        0        0
20192 gmusicbrowser                      	       0        2        2        0        0
20193 gnash                              	       0       21       21        0        0
20194 gnash-common                       	       0       21       21        0        0
20195 gnash-cygnal                       	       0        3        3        0        0
20196 gnash-doc                          	       0        1        0        0        1
20197 gnash-tools                        	       0        3        3        0        0
20198 gnat                               	       0       38        0        0       38
20199 gnat-10                            	       0        9        9        0        0
20200 gnat-10-doc                        	       0        1        0        0        1
20201 gnat-12-doc                        	       0        4        0        0        4
20202 gnat-13                            	       0        3        3        0        0
20203 gnat-13-doc                        	       0        3        0        0        3
20204 gnat-13-i686-linux-gnu             	       0        1        1        0        0
20205 gnat-13-x86-64-linux-gnu           	       0        2        2        0        0
20206 gnat-14                            	       0        1        1        0        0
20207 gnat-14-x86-64-linux-gnu           	       0        1        1        0        0
20208 gnat-15                            	       0        1        1        0        0
20209 gnat-15-x86-64-linux-gnu           	       0        1        1        0        0
20210 gnat-4.3-base                      	       0        1        0        0        1
20211 gnat-4.6-base                      	       0        1        0        0        1
20212 gnat-6                             	       0        1        1        0        0
20213 gnat-6-doc                         	       0        1        0        0        1
20214 gnat-8                             	       0        1        1        0        0
20215 gnat-9-doc                         	       0        1        0        0        1
20216 gnat-doc                           	       0        4        0        0        4
20217 gnat-gps                           	       0        2        2        0        0
20218 gnat-gps-common                    	       0        3        0        0        3
20219 gnat-gps-doc                       	       0        3        3        0        0
20220 gnats                              	       0        1        1        0        0
20221 gnats-user                         	       0        1        1        0        0
20222 gnaural                            	       0        1        1        0        0
20223 gnect                              	       0        2        0        0        2
20224 gnee                               	       0        1        1        0        0
20225 gngb                               	       0        5        4        1        0
20226 gniall                             	       0        2        2        0        0
20227 gnibbles                           	       0        1        0        0        1
20228 gnobots2                           	       0        1        0        0        1
20229 gnokii                             	       0        5        0        0        5
20230 gnokii-cli                         	       0        6        6        0        0
20231 gnokii-common                      	       0       12        0        0       12
20232 gnokii-smsd                        	       0        1        1        0        0
20233 gnome                              	       0       77        0        0       77
20234 gnome-accessibility-themes         	       0     1403        0        0     1403
20235 gnome-activity-journal             	       0        3        3        0        0
20236 gnome-alsamixer                    	       0       11       11        0        0
20237 gnome-api-docs                     	       0        1        0        0        1
20238 gnome-applets-data                 	       0       63        0        0       63
20239 gnome-audio                        	       0       12        0        0       12
20240 gnome-authenticator                	       0        4        4        0        0
20241 gnome-backgrounds                  	       0      428        0        0      428
20242 gnome-bin                          	       0        1        1        0        0
20243 gnome-bluetooth                    	       0       54       29        0       25
20244 gnome-bluetooth-3-common           	       0      328        0        0      328
20245 gnome-bluetooth-common             	       0       19        0        0       19
20246 gnome-books                        	       0        3        3        0        0
20247 gnome-boxes                        	       0       23       22        1        0
20248 gnome-brave-icon-theme             	       0      169        0        0      169
20249 gnome-breakout                     	       0        7        7        0        0
20250 gnome-btdownload                   	       0        1        1        0        0
20251 gnome-builder                      	       0        3        3        0        0
20252 gnome-calls-doc                    	       0        1        0        0        1
20253 gnome-cards-data                   	       0       42        0        0       42
20254 gnome-classic                      	       0        1        1        0        0
20255 gnome-classic-xsession             	       0        1        0        0        1
20256 gnome-codec-install                	       0        1        1        0        0
20257 gnome-color-chooser                	       0        3        3        0        0
20258 gnome-colors                       	       0      161        0        0      161
20259 gnome-colors-common                	       0      198        0        0      198
20260 gnome-commander                    	       0       16       16        0        0
20261 gnome-commander-data               	       0       17        1        0       16
20262 gnome-common                       	       0       18       18        0        0
20263 gnome-connection-manager           	       0        1        0        0        1
20264 gnome-connections                  	       0        4        3        1        0
20265 gnome-console                      	       0        3        3        0        0
20266 gnome-control-center-data          	       0      416        5        3      408
20267 gnome-core                         	       0       87        0        0       87
20268 gnome-desktop-data                 	       0        4        0        0        4
20269 gnome-desktop-testing              	       0        7        7        0        0
20270 gnome-devel                        	       0        1        0        0        1
20271 gnome-devel-docs                   	       0        1        0        0        1
20272 gnome-dictionary                   	       0       21       20        1        0
20273 gnome-disk-utility-build-deps      	       0        1        0        0        1
20274 gnome-disk-utility-dbgsym          	       0        1        1        0        0
20275 gnome-do                           	       0        1        1        0        0
20276 gnome-do-plugins                   	       0        1        1        0        0
20277 gnome-doc-tools                    	       0        1        1        0        0
20278 gnome-doc-utils                    	       0        2        2        0        0
20279 gnome-documents                    	       0       24       24        0        0
20280 gnome-dust-icon-theme              	       0      169        0        0      169
20281 gnome-exe-thumbnailer              	       0        3        1        0        2
20282 gnome-extra-icons                  	       0     2954        0        0     2954
20283 gnome-feeds                        	       0        3        3        0        0
20284 gnome-firmware                     	       0       16       16        0        0
20285 gnome-games                        	       0      345        0        0      345
20286 gnome-games-app                    	       0        3        3        0        0
20287 gnome-games-data                   	       0        3        0        0        3
20288 gnome-games-extra-data             	       0        5        0        0        5
20289 gnome-genius                       	       0       14       13        1        0
20290 gnome-getting-started-docs         	       0       27        0        0       27
20291 gnome-gmail                        	       0        2        0        0        2
20292 gnome-gpg                          	       0        1        1        0        0
20293 gnome-hearts                       	       0        1        1        0        0
20294 gnome-human-icon-theme             	       0      184        0        0      184
20295 gnome-icon-theme                   	       0     3142        0        0     3142
20296 gnome-icon-theme-blankon           	       0        1        0        0        1
20297 gnome-icon-theme-dlg-neu           	       0        1        0        0        1
20298 gnome-icon-theme-extras            	       0      100        0        0      100
20299 gnome-icon-theme-gartoon           	       0       21        0        0       21
20300 gnome-icon-theme-nuovo             	       0       29        0        0       29
20301 gnome-icon-theme-suede             	       0       24        0        0       24
20302 gnome-icon-theme-symbolic          	       0       44        0        0       44
20303 gnome-icon-theme-yasis             	       0       16        0        0       16
20304 gnome-illustrious-icon-theme       	       0      168        0        0      168
20305 gnome-initial-setup                	       0       91       75       16        0
20306 gnome-js-common                    	       0       10        2        0        8
20307 gnome-keyring-manager              	       0        1        1        0        0
20308 gnome-keyring-pkcs11               	       0     2625        0        0     2625
20309 gnome-keysign                      	       0        1        1        0        0
20310 gnome-libs-data                    	       0        1        0        0        1
20311 gnome-mag                          	       0        1        1        0        0
20312 gnome-mastermind                   	       0        2        2        0        0
20313 gnome-media                        	       0        2        2        0        0
20314 gnome-media-common                 	       0        1        0        0        1
20315 gnome-metronome                    	       0        5        5        0        0
20316 gnome-mime-data                    	       0      190        0        0      190
20317 gnome-mplayer                      	       0       19       19        0        0
20318 gnome-mpv                          	       0        7        1        0        6
20319 gnome-mud                          	       0        1        1        0        0
20320 gnome-multi-writer                 	       0       17       17        0        0
20321 gnome-noble-icon-theme             	       0      170        0        0      170
20322 gnome-office                       	       0        1        0        0        1
20323 gnome-online-accounts-gtk          	       0       21       18        3        0
20324 gnome-online-miners                	       0       45        0        0       45
20325 gnome-package-updater              	       0       26       23        3        0
20326 gnome-packagekit                   	       0       18       18        0        0
20327 gnome-packagekit-common            	       0       27        0        0       27
20328 gnome-packagekit-data              	       0       17        1        0       16
20329 gnome-paint                        	       0       13       12        1        0
20330 gnome-panel-control                	       0        1        1        0        0
20331 gnome-panel-data                   	       0       64        4        0       60
20332 gnome-peercast                     	       0        1        1        0        0
20333 gnome-phone-manager                	       0        1        1        0        0
20334 gnome-photo-printer                	       0        1        1        0        0
20335 gnome-pie                          	       0        2        2        0        0
20336 gnome-pkg-tools                    	       0       30       30        0        0
20337 gnome-pkgview                      	       0        1        1        0        0
20338 gnome-platform-devel               	       0        1        0        0        1
20339 gnome-power-manager                	       0       70       61        9        0
20340 gnome-rdp                          	       0        1        1        0        0
20341 gnome-recipes                      	       0        2        2        0        0
20342 gnome-recipes-data                 	       0        2        0        0        2
20343 gnome-remote-desktop               	       0      312      282       30        0
20344 gnome-scan-common                  	       0        1        0        0        1
20345 gnome-screensaver-flags            	       0        3        0        0        3
20346 gnome-search-tool                  	       0        7        7        0        0
20347 gnome-session                      	       0      124      104       16        4
20348 gnome-session-flashback            	       0       47        2        0       45
20349 gnome-session-xsession             	       0        6        0        0        6
20350 gnome-settings-daemon-dev          	       0        2        2        0        0
20351 gnome-shell-extension-appindicator 	       0        7        0        0        7
20352 gnome-shell-extension-apps-menu    	       0        1        0        0        1
20353 gnome-shell-extension-arc-menu     	       0        1        0        0        1
20354 gnome-shell-extension-auto-move-windows	       0        1        0        0        1
20355 gnome-shell-extension-bluetooth-quick-connect	       0        1        0        0        1
20356 gnome-shell-extension-caffeine     	       0        3        0        0        3
20357 gnome-shell-extension-dash-to-panel	       0        6        2        0        4
20358 gnome-shell-extension-dashtodock   	       0        4        0        0        4
20359 gnome-shell-extension-desktop-icons	       0        3        0        0        3
20360 gnome-shell-extension-desktop-icons-ng	       0        2        2        0        0
20361 gnome-shell-extension-disconnect-wifi	       0        1        0        0        1
20362 gnome-shell-extension-draw-on-your-screen	       0        1        0        0        1
20363 gnome-shell-extension-drive-menu   	       0        1        0        0        1
20364 gnome-shell-extension-easyscreencast	       0        2        0        0        2
20365 gnome-shell-extension-freon        	       0        3        1        0        2
20366 gnome-shell-extension-gpaste       	       0        2        0        0        2
20367 gnome-shell-extension-gsconnect    	       0        4        4        0        0
20368 gnome-shell-extension-gsconnect-browsers	       0        3        0        0        3
20369 gnome-shell-extension-hamster      	       0        3        0        0        3
20370 gnome-shell-extension-hide-activities	       0        7        0        0        7
20371 gnome-shell-extension-impatience   	       0        3        2        0        1
20372 gnome-shell-extension-launch-new-instance	       0        1        0        0        1
20373 gnome-shell-extension-light-style  	       0        1        0        0        1
20374 gnome-shell-extension-manager      	       0        5        3        2        0
20375 gnome-shell-extension-move-clock   	       0        1        0        0        1
20376 gnome-shell-extension-multi-monitors	       0        1        0        0        1
20377 gnome-shell-extension-native-window-placement	       0        1        0        0        1
20378 gnome-shell-extension-panel-osd    	       0        3        0        0        3
20379 gnome-shell-extension-places-menu  	       0        1        0        0        1
20380 gnome-shell-extension-screenshot-window-sizer	       0        1        0        0        1
20381 gnome-shell-extension-shortcuts    	       0        1        0        0        1
20382 gnome-shell-extension-sound-device-chooser	       0        1        0        0        1
20383 gnome-shell-extension-top-icons-plus	       0        5        0        0        5
20384 gnome-shell-extension-trash        	       0        1        0        0        1
20385 gnome-shell-extension-user-theme   	       0        1        0        0        1
20386 gnome-shell-extension-vertical-overview	       0        1        0        0        1
20387 gnome-shell-extension-volume-mixer 	       0        1        1        0        0
20388 gnome-shell-extension-weather      	       0        5        2        0        3
20389 gnome-shell-extension-window-list  	       0        1        0        0        1
20390 gnome-shell-extension-windows-navigator	       0        1        0        0        1
20391 gnome-shell-extension-workspace-indicator	       0        1        0        0        1
20392 gnome-shell-extension-workspaces-to-dock	       0        1        0        0        1
20393 gnome-shell-extensions-common      	       0        1        0        0        1
20394 gnome-shell-extensions-extra       	       0        5        0        0        5
20395 gnome-shell-pomodoro               	       0        1        1        0        0
20396 gnome-shell-pomodoro-data          	       0        1        0        0        1
20397 gnome-snapshot                     	       0        3        3        0        0
20398 gnome-software-doc                 	       0        1        0        0        1
20399 gnome-spell                        	       0        1        1        0        0
20400 gnome-split                        	       0        2        2        0        0
20401 gnome-system-log                   	       0       11       11        0        0
20402 gnome-theme-gilouche               	       0       13        0        0       13
20403 gnome-themes                       	       0       10        0        0       10
20404 gnome-themes-extra-data            	       0     1405        0        0     1405
20405 gnome-themes-extras                	       0        9        0        0        9
20406 gnome-themes-more                  	       0        2        0        0        2
20407 gnome-themes-standard              	       0       77        0        0       77
20408 gnome-themes-standard-data         	       0       29        0        0       29
20409 gnome-todo                         	       0       21       11        0       10
20410 gnome-todo-common                  	       0       12        0        0       12
20411 gnome-tour                         	       0        2        2        0        0
20412 gnome-tweak-tool                   	       0       10        3        0        7
20413 gnome-u2ps                         	       0        1        1        0        0
20414 gnome-usage                        	       0        9        9        0        0
20415 gnome-user-docs                    	       0      417        0        0      417
20416 gnome-user-guide                   	       0       60        0        0       60
20417 gnome-user-share                   	       0      396      362       34        0
20418 gnome-vfs-extfs                    	       0        1        1        0        0
20419 gnome-vfs-obexftp                  	       0        1        0        0        1
20420 gnome-video-arcade                 	       0       11       11        0        0
20421 gnome-video-effects                	       0      491        0        0      491
20422 gnome-video-effects-dev            	       0        1        0        0        1
20423 gnome-video-effects-frei0r         	       0        4        0        0        4
20424 gnome-video-trimmer                	       0        1        1        0        0
20425 gnome-web-photo                    	       0        1        1        0        0
20426 gnome-wine-icon-theme              	       0      171        0        0      171
20427 gnome-wise-icon-theme              	       0      170        0        0      170
20428 gnome-xcf-thumbnailer              	       0        2        2        0        0
20429 gnomecatalog                       	       0        1        1        0        0
20430 gnomekiss                          	       0        3        3        0        0
20431 gnomermind                         	       0        1        1        0        0
20432 gnomine                            	       0        3        0        0        3
20433 gnomp3                             	       0        1        1        0        0
20434 gnotime                            	       0        1        1        0        0
20435 gnotravex                          	       0        2        0        0        2
20436 gnotski                            	       0        3        0        0        3
20437 gnss-sdr                           	       0        6        6        0        0
20438 gnu-fdisk                          	       0        1        1        0        0
20439 gnu-smalltalk                      	       0        5        5        0        0
20440 gnu-smalltalk-browser              	       0        1        1        0        0
20441 gnu-smalltalk-common               	       0        5        0        0        5
20442 gnu-smalltalk-doc                  	       0        2        0        0        2
20443 gnu-standards                      	       0       57        0        0       57
20444 gnuais                             	       0        5        5        0        0
20445 gnuaisgui                          	       0        4        4        0        0
20446 gnuastro                           	       0        4        4        0        0
20447 gnubg-data                         	       0       19        1        0       18
20448 gnubiff                            	       0        1        1        0        0
20449 gnubik                             	       0        6        6        0        0
20450 gnuboy-sdl                         	       0        1        1        0        0
20451 gnuboy-x                           	       0        1        1        0        0
20452 gnucap                             	       0       26       26        0        0
20453 gnucap-common                      	       0       19       19        0        0
20454 gnucap-default-plugins0            	       0       21        0        0       21
20455 gnucap-python                      	       0        1        0        0        1
20456 gnucash-build-deps                 	       0        1        0        0        1
20457 gnucash-dbgsym                     	       0        1        1        0        0
20458 gnucash-docs                       	       0       89        0        0       89
20459 gnuchess-book                      	       0     1042        0        0     1042
20460 gnucobol                           	       0       10        0        0       10
20461 gnucobol3                          	       0       10       10        0        0
20462 gnucobol4                          	       0        3        3        0        0
20463 gnudatalanguage                    	       0        2        2        0        0
20464 gnudoku                            	       0        1        1        0        0
20465 gnudoq                             	       0        2        2        0        0
20466 gnuhtml2latex                      	       0       11       10        1        0
20467 gnuift                             	       0        5        5        0        0
20468 gnuift-perl                        	       0        5        5        0        0
20469 gnuinos-keyring                    	       0        1        0        0        1
20470 gnujump                            	       0        4        4        0        0
20471 gnujump-data                       	       0        4        0        0        4
20472 gnulib                             	       0       15       15        0        0
20473 gnulib-build-deps                  	       0        1        0        0        1
20474 gnumach-common                     	       0        1        0        0        1
20475 gnumach-dev                        	       0        2        2        0        0
20476 gnumail.app                        	       0        4        3        1        0
20477 gnumail.app-common                 	       0        4        0        0        4
20478 gnumed-client                      	       0        2        2        0        0
20479 gnumed-client-de                   	       0        1        1        0        0
20480 gnumed-common                      	       0        2        1        0        1
20481 gnumed-doc                         	       0        1        0        0        1
20482 gnumed-server                      	       0        1        1        0        0
20483 gnumeric-common                    	       0      137        0        0      137
20484 gnumeric-doc                       	       0      113        0        0      113
20485 gnumeric-plugins-extra             	       0       25       25        0        0
20486 gnunet                             	       0       14       14        0        0
20487 gnunet-dev                         	       0        2        2        0        0
20488 gnunet-fuse                        	       0        3        3        0        0
20489 gnunet-gtk                         	       0        8        8        0        0
20490 gnupg-curl                         	       0       17       17        0        0
20491 gnupg-doc                          	       0        7        0        0        7
20492 gnupg-idea                         	       0        1        1        0        0
20493 gnupg-pkcs11-scd                   	       0        7        7        0        0
20494 gnupg-pkcs11-scd-proxy             	       0        1        1        0        0
20495 gnupg-utils-dbgsym                 	       0        1        1        0        0
20496 gnupg1-curl                        	       0        7        7        0        0
20497 gnupg1-l10n                        	       0       43        0        0       43
20498 gnuplot                            	       0      215        0        0      215
20499 gnuplot-data                       	       0      367        0        0      367
20500 gnuplot-mode                       	       0        2        0        0        2
20501 gnuplot-tex                        	       0        4        0        0        4
20502 gnuplot5                           	       0        1        0        0        1
20503 gnuplot5-doc                       	       0        1        1        0        0
20504 gnuplot5-x11                       	       0        2        0        0        2
20505 gnupod-tools                       	       0        5        5        0        0
20506 gnuradio                           	       0       43       42        1        0
20507 gnuradio-dev                       	       0       41       40        1        0
20508 gnuradio-doc                       	       0        5        0        0        5
20509 gnurobbo                           	       0        8        8        0        0
20510 gnurobbo-data                      	       0        8        0        0        8
20511 gnuserv                            	       0        4        4        0        0
20512 gnushogi                           	       0        8        8        0        0
20513 gnusim8085                         	       0        8        8        0        0
20514 gnustep                            	       0        6        0        0        6
20515 gnustep-back0.12                   	       0        1        0        0        1
20516 gnustep-back0.12-art               	       0        1        0        0        1
20517 gnustep-back0.20                   	       0        1        0        0        1
20518 gnustep-back0.20-art               	       0        1        0        0        1
20519 gnustep-back0.24                   	       0        1        0        0        1
20520 gnustep-back0.24-cairo             	       0        1        0        0        1
20521 gnustep-back0.25                   	       0        3        0        0        3
20522 gnustep-back0.25-cairo             	       0        3        0        0        3
20523 gnustep-back0.27                   	       0        1        0        0        1
20524 gnustep-back0.27-cairo             	       0        1        0        0        1
20525 gnustep-back0.28                   	       0        3        0        0        3
20526 gnustep-back0.28-cairo             	       0        3        0        0        3
20527 gnustep-back0.29                   	       0       21        0        0       21
20528 gnustep-back0.30                   	       0        1        0        0        1
20529 gnustep-back0.30-cairo             	       0        1        0        0        1
20530 gnustep-base-common                	       0      797        0        0      797
20531 gnustep-base-doc                   	       0        3        2        0        1
20532 gnustep-core-doc                   	       0        1        0        0        1
20533 gnustep-dl2-postgresql-adaptor     	       0        1        0        1        0
20534 gnustep-examples                   	       0        1        1        0        0
20535 gnustep-games                      	       0        2        0        0        2
20536 gnustep-gui-common                 	       0       32        0        0       32
20537 gnustep-gui-doc                    	       0        2        0        0        2
20538 gnustep-icons                      	       0        8        0        0        8
20539 gnustep-make                       	       0       10        9        1        0
20540 gnustep-make-doc                   	       0        3        0        0        3
20541 gnustep-multiarch                  	       0        6        0        0        6
20542 gnutls26-doc                       	       0        1        0        0        1
20543 go-bindata                         	       0        1        1        0        0
20544 go-bluetooth                       	       0        1        1        0        0
20545 go-md2man                          	       0        4        4        0        0
20546 go-qrcode                          	       0        2        2        0        0
20547 go2                                	       0        1        1        0        0
20548 goattracker                        	       0        9        9        0        0
20549 goban-original-games               	       0        4        0        0        4
20550 goban-ss                           	       0        4        4        0        0
20551 gobby                              	       0        4        4        0        0
20552 gobby-infinote                     	       0        1        0        0        1
20553 gobjc                              	       0       26        0        0       26
20554 gobjc++                            	       0        9        0        0        9
20555 gobjc++-10                         	       0        1        0        0        1
20556 gobjc++-12                         	       0        3        0        0        3
20557 gobjc++-12-arm-linux-gnueabi       	       0        1        0        0        1
20558 gobjc++-12-arm-linux-gnueabihf     	       0        1        0        0        1
20559 gobjc++-12-multilib                	       0        1        0        0        1
20560 gobjc++-13                         	       0        1        0        0        1
20561 gobjc++-14                         	       0        3        0        0        3
20562 gobjc++-14-x86-64-linux-gnu        	       0        3        0        0        3
20563 gobjc++-6                          	       0        1        0        0        1
20564 gobjc++-8                          	       0        1        0        0        1
20565 gobjc++-arm-linux-gnueabi          	       0        1        0        0        1
20566 gobjc++-arm-linux-gnueabihf        	       0        1        0        0        1
20567 gobjc++-multilib                   	       0        1        0        0        1
20568 gobjc++-x86-64-linux-gnu           	       0        2        0        0        2
20569 gobjc-10                           	       0        1        0        0        1
20570 gobjc-12                           	       0       13        0        0       13
20571 gobjc-12-arm-linux-gnueabi         	       0        1        0        0        1
20572 gobjc-12-arm-linux-gnueabihf       	       0        1        0        0        1
20573 gobjc-12-multilib                  	       0        1        0        0        1
20574 gobjc-13                           	       0        1        0        0        1
20575 gobjc-14                           	       0        3        0        0        3
20576 gobjc-14-x86-64-linux-gnu          	       0        3        0        0        3
20577 gobjc-4.9                          	       0        1        0        0        1
20578 gobjc-4.9-multilib                 	       0        1        0        0        1
20579 gobjc-6                            	       0        4        0        0        4
20580 gobjc-6-multilib                   	       0        1        0        0        1
20581 gobjc-8                            	       0        5        0        0        5
20582 gobjc-arm-linux-gnueabi            	       0        1        0        0        1
20583 gobjc-arm-linux-gnueabihf          	       0        1        0        0        1
20584 gobjc-multilib                     	       0        2        0        0        2
20585 gobjc-x86-64-linux-gnu             	       0        2        0        0        2
20586 gobject-introspection              	       0      165      161        4        0
20587 gobject-introspection-bin          	       0       15       13        2        0
20588 gobliiins-demo-en-data             	       0        1        0        0        1
20589 gobuster                           	       0        6        6        0        0
20590 goby                               	       0        1        1        0        0
20591 gocode                             	       0        1        1        0        0
20592 gocr-doc                           	       0        2        0        0        2
20593 gocr-tk                            	       0       16       15        1        0
20594 gocryptfs                          	       0       27       27        0        0
20595 godot3                             	       0       13       13        0        0
20596 godot3-dbgsym                      	       0        1        1        0        0
20597 godot3-runner                      	       0        3        3        0        0
20598 godot3-server                      	       0        1        1        0        0
20599 gogglesmm                          	       0        1        1        0        0
20600 gojq                               	       0        3        2        1        0
20601 golang                             	       0      124        0        0      124
20602 golang-1.10                        	       0        1        0        0        1
20603 golang-1.10-doc                    	       0        1        1        0        0
20604 golang-1.10-go                     	       0        2        2        0        0
20605 golang-1.10-src                    	       0        2        2        0        0
20606 golang-1.11                        	       0        9        0        0        9
20607 golang-1.11-doc                    	       0       10       10        0        0
20608 golang-1.11-go                     	       0       10       10        0        0
20609 golang-1.11-src                    	       0       10       10        0        0
20610 golang-1.14                        	       0        1        0        0        1
20611 golang-1.14-doc                    	       0        1        1        0        0
20612 golang-1.14-go                     	       0        1        1        0        0
20613 golang-1.14-src                    	       0        1        1        0        0
20614 golang-1.15                        	       0       14        0        0       14
20615 golang-1.15-doc                    	       0       17       17        0        0
20616 golang-1.15-go                     	       0       22       22        0        0
20617 golang-1.15-src                    	       0       22       22        0        0
20618 golang-1.16                        	       0        1        0        0        1
20619 golang-1.16-doc                    	       0        1        1        0        0
20620 golang-1.16-go                     	       0        1        1        0        0
20621 golang-1.16-src                    	       0        1        1        0        0
20622 golang-1.17                        	       0        1        0        0        1
20623 golang-1.17-doc                    	       0        1        0        0        1
20624 golang-1.17-go                     	       0        1        1        0        0
20625 golang-1.17-src                    	       0        1        1        0        0
20626 golang-1.18                        	       0        3        0        0        3
20627 golang-1.18-doc                    	       0        4        0        0        4
20628 golang-1.18-go                     	       0        3        3        0        0
20629 golang-1.18-src                    	       0        3        3        0        0
20630 golang-1.19                        	       0       89        0        0       89
20631 golang-1.19-doc                    	       0       93        0        0       93
20632 golang-1.20                        	       0        2        0        0        2
20633 golang-1.20-doc                    	       0        2        0        0        2
20634 golang-1.20-go                     	       0        2        2        0        0
20635 golang-1.20-src                    	       0        2        2        0        0
20636 golang-1.21                        	       0        4        0        0        4
20637 golang-1.21-doc                    	       0        5        0        0        5
20638 golang-1.21-go                     	       0        6        6        0        0
20639 golang-1.21-src                    	       0        6        6        0        0
20640 golang-1.22                        	       0       17        0        0       17
20641 golang-1.22-doc                    	       0       18        0        0       18
20642 golang-1.22-go                     	       0       20       16        4        0
20643 golang-1.22-src                    	       0       20       16        4        0
20644 golang-1.23                        	       0       14        0        0       14
20645 golang-1.23-doc                    	       0       16        0        0       16
20646 golang-1.24-doc                    	       0        1        0        0        1
20647 golang-1.24-go                     	       0        1        0        1        0
20648 golang-1.24-src                    	       0        1        0        1        0
20649 golang-1.6                         	       0        1        0        0        1
20650 golang-1.6-doc                     	       0        1        1        0        0
20651 golang-1.6-go                      	       0        2        2        0        0
20652 golang-1.6-src                     	       0        2        2        0        0
20653 golang-1.7                         	       0        4        0        0        4
20654 golang-1.7-doc                     	       0        5        5        0        0
20655 golang-1.7-go                      	       0        7        7        0        0
20656 golang-1.7-src                     	       0        7        7        0        0
20657 golang-1.8                         	       0        4        0        0        4
20658 golang-1.8-doc                     	       0        4        4        0        0
20659 golang-1.8-go                      	       0        4        4        0        0
20660 golang-1.8-src                     	       0        4        4        0        0
20661 golang-any                         	       0       25        0        0       25
20662 golang-barcode-dev                 	       0        1        0        0        1
20663 golang-chroma                      	       0        2        2        0        0
20664 golang-doc                         	       0      137        0        0      137
20665 golang-docker-credential-helpers   	       0        5        5        0        0
20666 golang-ed25519-dev                 	       0        1        0        0        1
20667 golang-filippo-edwards25519-dev    	       0        1        0        0        1
20668 golang-gir-gio-2.0-dev             	       0        1        1        0        0
20669 golang-gir-glib-2.0-dev            	       0        2        2        0        0
20670 golang-github-0xax-notificator-dev 	       0        1        0        0        1
20671 golang-github-14rcole-gopopulate-dev	       0        2        0        0        2
20672 golang-github-aead-poly1305-dev    	       0        1        0        0        1
20673 golang-github-alecthomas-assert-dev	       0        1        0        0        1
20674 golang-github-alecthomas-chroma-dev	       0        1        0        0        1
20675 golang-github-alecthomas-kong-dev  	       0        1        0        0        1
20676 golang-github-alecthomas-kong-hcl-dev	       0        1        0        0        1
20677 golang-github-alecthomas-repr-dev  	       0        1        0        0        1
20678 golang-github-alecthomas-units-dev 	       0        1        0        0        1
20679 golang-github-allegro-bigcache-dev 	       0        1        0        0        1
20680 golang-github-anacrolix-envpprof-dev	       0        1        0        0        1
20681 golang-github-anacrolix-ffprobe-dev	       0        1        0        0        1
20682 golang-github-anacrolix-log-dev    	       0        1        0        0        1
20683 golang-github-anacrolix-missinggo-dev	       0        1        0        0        1
20684 golang-github-anacrolix-tagflag-dev	       0        1        0        0        1
20685 golang-github-andybalholm-cascadia-dev	       0        1        0        0        1
20686 golang-github-apptainer-container-key-client-dev	       0        1        0        0        1
20687 golang-github-asaskevich-govalidator-dev	       0        2        0        0        2
20688 golang-github-aws-aws-sdk-go-dev   	       0        2        0        0        2
20689 golang-github-aymanbagabas-go-osc52-dev	       0        1        0        0        1
20690 golang-github-aymerick-douceur-dev 	       0        1        0        0        1
20691 golang-github-benbjohnson-clock-dev	       0        1        0        0        1
20692 golang-github-beorn7-perks-dev     	       0        1        0        0        1
20693 golang-github-bits-and-blooms-bitset-dev	       0        1        0        0        1
20694 golang-github-bradfitz-iter-dev    	       0        1        0        0        1
20695 golang-github-cespare-xxhash-dev   	       0        4        0        0        4
20696 golang-github-charmbracelet-glamour-dev	       0        1        0        0        1
20697 golang-github-cilium-ebpf-dev      	       0        1        1        0        0
20698 golang-github-cli-browser-dev      	       0        1        0        0        1
20699 golang-github-cli-go-gh-dev        	       0        1        0        0        1
20700 golang-github-cli-safeexec-dev     	       0        1        0        0        1
20701 golang-github-cli-shurcool-graphql-dev	       0        1        0        0        1
20702 golang-github-containers-common    	       0       64        0        0       64
20703 golang-github-containers-image     	       0       64        0        0       64
20704 golang-github-creack-pty-dev       	       0        3        0        0        3
20705 golang-github-d2r2-go-i2c-dev      	       0        1        0        0        1
20706 golang-github-danwakefield-fnmatch-dev	       0        1        0        0        1
20707 golang-github-davecgh-go-spew-dev  	       0        6        0        0        6
20708 golang-github-dcso-bloom-cli       	       0        1        1        0        0
20709 golang-github-dgrijalva-jwt-go-dev 	       0        1        0        0        1
20710 golang-github-dlclark-regexp2-dev  	       0        1        0        0        1
20711 golang-github-docopt-docopt-go-dev 	       0        1        0        0        1
20712 golang-github-dustin-go-humanize-dev	       0        1        0        0        1
20713 golang-github-form3tech-oss-jwt-go-dev	       0        1        0        0        1
20714 golang-github-getlantern-hex-dev   	       0        1        0        0        1
20715 golang-github-glycerine-go-unsnap-stream-dev	       0        1        0        0        1
20716 golang-github-go-kit-log-dev       	       0        1        0        0        1
20717 golang-github-go-logfmt-logfmt-dev 	       0        2        0        0        2
20718 golang-github-go-macaron-inject-dev	       0        1        0        0        1
20719 golang-github-go-macaron-toolbox-dev	       0        1        0        0        1
20720 golang-github-go-openapi-analysis-dev	       0        1        0        0        1
20721 golang-github-go-openapi-errors-dev	       0        2        0        0        2
20722 golang-github-go-openapi-jsonpointer-dev	       0        1        0        0        1
20723 golang-github-go-openapi-jsonreference-dev	       0        1        0        0        1
20724 golang-github-go-openapi-loads-dev 	       0        1        0        0        1
20725 golang-github-go-openapi-spec-dev  	       0        1        0        0        1
20726 golang-github-go-openapi-strfmt-dev	       0        2        0        0        2
20727 golang-github-go-openapi-swag-dev  	       0        1        0        0        1
20728 golang-github-go-openapi-validate-dev	       0        1        0        0        1
20729 golang-github-go-sql-driver-mysql-dev	       0        1        0        0        1
20730 golang-github-go-stack-stack-dev   	       0        3        0        0        3
20731 golang-github-gofrs-flock-dev      	       0        1        0        0        1
20732 golang-github-golang-groupcache-dev	       0        1        0        0        1
20733 golang-github-golang-protobuf-1-3-dev	       0        2        0        0        2
20734 golang-github-golang-snappy-dev    	       0        4        0        0        4
20735 golang-github-google-btree-dev     	       0        1        0        0        1
20736 golang-github-google-go-cmp-dev    	       0        7        0        0        7
20737 golang-github-google-shlex-dev     	       0        1        0        0        1
20738 golang-github-google-uuid-dev      	       0        2        0        0        2
20739 golang-github-gorilla-csrf-dev     	       0        1        0        0        1
20740 golang-github-gorilla-css-dev      	       0        1        0        0        1
20741 golang-github-gorilla-handlers-dev 	       0        1        0        0        1
20742 golang-github-gorilla-mux-dev      	       0        2        0        0        2
20743 golang-github-gorilla-securecookie-dev	       0        1        0        0        1
20744 golang-github-h2non-parth-dev      	       0        1        0        0        1
20745 golang-github-hashicorp-hcl-dev    	       0        1        0        0        1
20746 golang-github-henvic-httpretty-dev 	       0        1        0        0        1
20747 golang-github-hexops-gotextdiff-dev	       0        1        0        0        1
20748 golang-github-huandu-xstrings-dev  	       0        1        0        0        1
20749 golang-github-insomniacslk-dhcp-dev	       0        1        0        0        1
20750 golang-github-itchyny-gojq-dev     	       0        1        0        0        1
20751 golang-github-itchyny-timefmt-go-dev	       0        1        0        0        1
20752 golang-github-jacobsa-oglematchers-dev	       0        1        0        0        1
20753 golang-github-jessevdk-go-flags-dev	       0        1        0        0        1
20754 golang-github-jkeiser-iter-dev     	       0        1        0        0        1
20755 golang-github-jmespath-go-jmespath-dev	       0        2        0        0        2
20756 golang-github-jochenvg-go-udev-dev 	       0        1        0        0        1
20757 golang-github-josharian-intern-dev 	       0        1        0        0        1
20758 golang-github-josharian-native-dev 	       0        1        0        0        1
20759 golang-github-jpillora-backoff-dev 	       0        1        0        0        1
20760 golang-github-jsimonetti-rtnetlink-dev	       0        1        0        0        1
20761 golang-github-json-iterator-go-dev 	       0        1        0        0        1
20762 golang-github-jsternberg-zap-logfmt-dev	       0        1        0        0        1
20763 golang-github-jtolds-gls-dev       	       0        1        0        0        1
20764 golang-github-julienschmidt-httprouter-dev	       0        1        0        0        1
20765 golang-github-klauspost-compress-dev	       0        3        0        0        3
20766 golang-github-kr-fs-dev            	       0        1        0        0        1
20767 golang-github-kr-pretty-dev        	       0        3        0        0        3
20768 golang-github-kr-text-dev          	       0        3        0        0        3
20769 golang-github-labstack-echo-dev    	       0        1        0        0        1
20770 golang-github-labstack-gommon-dev  	       0        1        0        0        1
20771 golang-github-lucasb-eyer-go-colorful-dev	       0        1        0        0        1
20772 golang-github-mailru-easyjson-dev  	       0        1        0        0        1
20773 golang-github-makenowjust-heredoc-dev	       0        1        0        0        1
20774 golang-github-mattn-go-colorable-dev	       0        2        0        0        2
20775 golang-github-mattn-go-isatty-dev  	       0        2        0        0        2
20776 golang-github-mattn-go-runewidth-dev	       0        1        0        0        1
20777 golang-github-mdlayher-ethernet-dev	       0        1        0        0        1
20778 golang-github-mdlayher-netlink-dev 	       0        1        0        0        1
20779 golang-github-mdlayher-packet-dev  	       0        1        0        0        1
20780 golang-github-mdlayher-raw-dev     	       0        1        0        0        1
20781 golang-github-mdlayher-socket-dev  	       0        1        0        0        1
20782 golang-github-mgutz-ansi-dev       	       0        1        0        0        1
20783 golang-github-microcosm-cc-bluemonday-dev	       0        1        0        0        1
20784 golang-github-mitchellh-go-homedir-dev	       0        1        0        0        1
20785 golang-github-mitchellh-mapstructure-dev	       0        2        0        0        2
20786 golang-github-moby-sys-dev         	       0        1        0        0        1
20787 golang-github-modern-go-concurrent-dev	       0        1        0        0        1
20788 golang-github-modern-go-reflect2-dev	       0        1        0        0        1
20789 golang-github-montanaflynn-stats-dev	       0        3        0        0        3
20790 golang-github-muesli-reflow-dev    	       0        1        0        0        1
20791 golang-github-muesli-sasquatch-dev 	       0        1        0        0        1
20792 golang-github-muesli-termenv-dev   	       0        1        0        0        1
20793 golang-github-mwitkow-go-conntrack-dev	       0        1        0        0        1
20794 golang-github-nfnt-resize-dev      	       0        1        0        0        1
20795 golang-github-oklog-ulid-dev       	       0        2        0        0        2
20796 golang-github-olekukonko-tablewriter-dev	       0        1        0        0        1
20797 golang-github-opennota-urlesc-dev  	       0        1        0        0        1
20798 golang-github-philhofer-fwd-dev    	       0        1        0        0        1
20799 golang-github-pkg-diff-dev         	       0        2        1        1        0
20800 golang-github-pkg-errors-dev       	       0        6        0        0        6
20801 golang-github-pmezard-go-difflib-dev	       0        6        0        0        6
20802 golang-github-proglottis-gpgme-dev 	       0        1        1        0        0
20803 golang-github-prometheus-client-golang-dev	       0        1        0        0        1
20804 golang-github-prometheus-client-model-dev	       0        1        0        0        1
20805 golang-github-prometheus-common-dev	       0        1        0        0        1
20806 golang-github-prometheus-procfs-dev	       0        1        0        0        1
20807 golang-github-puerkitobio-goquery-dev	       0        1        0        0        1
20808 golang-github-puerkitobio-purell-dev	       0        1        0        0        1
20809 golang-github-rivo-uniseg-dev      	       0        1        0        0        1
20810 golang-github-roaringbitmap-roaring-dev	       0        1        0        0        1
20811 golang-github-rogpeppe-go-internal-dev	       0        3        0        0        3
20812 golang-github-rootless-containers-rootlesskit-dev	       0        1        0        0        1
20813 golang-github-ryszard-goskiplist-dev	       0        1        0        0        1
20814 golang-github-sirupsen-logrus-dev  	       0        1        0        0        1
20815 golang-github-sjoerdsimons-ostree-go-dev	       0        2        2        0        0
20816 golang-github-smartystreets-assertions-dev	       0        1        0        0        1
20817 golang-github-smartystreets-goconvey-dev	       0        1        0        0        1
20818 golang-github-snapcore-snapd-dev   	       0        1        1        0        0
20819 golang-github-stretchr-objx-dev    	       0        6        0        0        6
20820 golang-github-stretchr-testify-dev 	       0        5        0        0        5
20821 golang-github-sylabs-json-resp-dev 	       0        1        0        0        1
20822 golang-github-thlib-go-timezone-local-dev	       0        1        0        0        1
20823 golang-github-tidwall-pretty-dev   	       0        3        0        0        3
20824 golang-github-tinylib-msgp-dev     	       0        1        0        0        1
20825 golang-github-u-root-uio-dev       	       0        1        0        0        1
20826 golang-github-unknwon-com-dev      	       0        1        0        0        1
20827 golang-github-valyala-bytebufferpool-dev	       0        1        0        0        1
20828 golang-github-valyala-fasttemplate-dev	       0        1        0        0        1
20829 golang-github-voxelbrain-goptions-dev	       0        1        0        0        1
20830 golang-github-xdg-go-pbkdf2-dev    	       0        3        0        0        3
20831 golang-github-xdg-go-scram-dev     	       0        3        0        0        3
20832 golang-github-xdg-go-stringprep-dev	       0        3        0        0        3
20833 golang-github-youmark-pkcs8-dev    	       0        3        0        0        3
20834 golang-github-yuin-goldmark-dev    	       0        7        0        0        7
20835 golang-github-yuin-goldmark-emoji-dev	       0        1        0        0        1
20836 golang-glog-dev                    	       0        2        0        0        2
20837 golang-go-flags-dev                	       0        1        0        0        1
20838 golang-go.opencensus-dev           	       0        1        0        0        1
20839 golang-go.tools                    	       0        1        0        0        1
20840 golang-go.uber-atomic-dev          	       0        1        0        0        1
20841 golang-go.uber-multierr-dev        	       0        1        0        0        1
20842 golang-go.uber-zap-dev             	       0        1        0        0        1
20843 golang-gocapability-dev            	       0        1        0        0        1
20844 golang-golang-x-crypto-dev         	       0        8        0        0        8
20845 golang-golang-x-mod-dev            	       0        5        0        0        5
20846 golang-golang-x-net-dev            	       0       11        0        0       11
20847 golang-golang-x-oauth2-dev         	       0        2        0        0        2
20848 golang-golang-x-oauth2-google-dev  	       0        2        0        0        2
20849 golang-golang-x-sync-dev           	       0        6        0        0        6
20850 golang-golang-x-sys-dev            	       0       14        0        0       14
20851 golang-golang-x-term-dev           	       0        9        0        0        9
20852 golang-golang-x-text-dev           	       0       13        0        0       13
20853 golang-golang-x-time-dev           	       0        1        0        0        1
20854 golang-golang-x-tools              	       0       15       14        1        0
20855 golang-golang-x-tools-dev          	       0        5        4        1        0
20856 golang-google-cloud-compute-metadata-dev	       0        2        0        0        2
20857 golang-google-genproto-dev         	       0        2        0        0        2
20858 golang-google-grpc-dev             	       0        2        0        0        2
20859 golang-google-protobuf-dev         	       0        2        0        0        2
20860 golang-gopkg-alecthomas-kingpin.v2-dev	       0        1        0        0        1
20861 golang-gopkg-bufio.v1-dev          	       0        1        0        0        1
20862 golang-gopkg-h2non-gock.v1-dev     	       0        1        0        0        1
20863 golang-gopkg-ini.v1-dev            	       0        1        0        0        1
20864 golang-gopkg-macaron.v1-dev        	       0        1        0        0        1
20865 golang-gopkg-yaml.v2-dev           	       0        3        0        0        3
20866 golang-gopkg-yaml.v3-dev           	       0        5        0        0        5
20867 golang-goptlib-dev                 	       0        1        0        0        1
20868 golang-grpc-gateway                	       0        1        1        0        0
20869 golang-mode                        	       0        4        0        0        4
20870 golang-mongodb-mongo-driver-dev    	       0        3        2        1        0
20871 golang-notabug-themusicgod1-cp-dev 	       0        1        0        0        1
20872 golang-pault-go-macchanger-dev     	       0        2        0        0        2
20873 golang-protobuf-extensions-dev     	       0        1        0        0        1
20874 golang-snappy-go-dev               	       0        1        0        0        1
20875 golang-src                         	       0      172        0        0      172
20876 golang-uber-goleak-dev             	       0        1        0        0        1
20877 goldencheetah                      	       0        1        1        0        0
20878 goldendict                         	       0       29       27        1        1
20879 goldendict-ng                      	       0        2        2        0        0
20880 goldendict-wordnet                 	       0        8        0        0        8
20881 goldeneye                          	       0        3        3        0        0
20882 golint                             	       0        2        2        0        0
20883 gom                                	       0        1        1        0        0
20884 gomoku.app                         	       0        4        3        1        0
20885 goobook                            	       0        3        3        0        0
20886 goobox                             	       0        5        5        0        0
20887 goodvibes                          	       0       20       19        1        0
20888 google-android-build-tools-17-installer	       0        1        0        0        1
20889 google-android-build-tools-23-installer	       0        1        0        0        1
20890 google-android-build-tools-35.0.0-installer	       0        1        0        0        1
20891 google-android-emulator-installer  	       0        3        3        0        0
20892 google-android-licenses            	       0       12       12        0        0
20893 google-android-ndk-installer       	       0        2        0        0        2
20894 google-android-ndk-r25c-installer  	       0        1        1        0        0
20895 google-android-ndk-r27-installer   	       0        1        1        0        0
20896 google-android-platform-23-installer	       0        2        0        0        2
20897 google-android-platform-33-installer	       0        1        0        0        1
20898 google-android-platform-35-installer	       0        1        0        0        1
20899 google-android-sdk-docs-installer  	       0        1        0        0        1
20900 google-android-sources-35-installer	       0        1        0        0        1
20901 google-android-tools-installer     	       0        1        1        0        0
20902 google-chrome-beta                 	       0        7        7        0        0
20903 google-chrome-unstable             	       0        3        3        0        0
20904 google-cloud-cli                   	       0        4        4        0        0
20905 google-cloud-cli-anthoscli         	       0        3        3        0        0
20906 google-cloud-cli-config-connector  	       0        1        1        0        0
20907 google-cloud-print-connector       	       0        1        1        0        0
20908 google-cloud-sdk                   	       0        1        0        0        1
20909 google-cloud-sdk-config-connector  	       0        1        0        0        1
20910 google-earth-ec-stable             	       0        1        1        0        0
20911 google-earth-stable                	       0        1        1        0        0
20912 google-gadgets-common              	       0        1        0        0        1
20913 google-gadgets-gst                 	       0        1        0        0        1
20914 google-gadgets-qt                  	       0        1        1        0        0
20915 google-mock                        	       0        4        0        0        4
20916 google-perftools                   	       0        7        7        0        0
20917 google-talkplugin                  	       0        5        4        0        1
20918 google-wire                        	       0        1        1        0        0
20919 googleearth-package                	       0        6        6        0        0
20920 googler                            	       0        4        4        0        0
20921 googletest-tools                   	       0        3        3        0        0
20922 gopass                             	       0        1        1        0        0
20923 gopass-archive-keyring             	       0        1        0        0        1
20924 gopass-jsonapi                     	       0        1        1        0        0
20925 gopchop                            	       0        7        7        0        0
20926 gopher                             	       0       25       25        0        0
20927 gophernicus                        	       0        1        1        0        0
20928 goplay                             	       0        2        2        0        0
20929 gopls                              	       0        3        3        0        0
20930 goreleaser                         	       0        1        1        0        0
20931 gorm.app                           	       0        2        1        1        0
20932 gosigndesktop                      	       0        2        2        0        0
20933 gosmore                            	       0        2        2        0        0
20934 gossip                             	       0        2        2        0        0
20935 gostsum                            	       0        1        1        0        0
20936 got                                	       0        2        2        0        0
20937 gource                             	       0       12       12        0        0
20938 gourmand                           	       0        2        2        0        0
20939 gourmet                            	       0        3        2        0        1
20940 goverlay                           	       0       11       11        0        0
20941 goxel                              	       0        4        4        0        0
20942 goxkcdpwgen                        	       0        2        2        0        0
20943 gozer                              	       0        3        3        0        0
20944 gp-saml-gui                        	       0        2        2        0        0
20945 gpa                                	       0       38       37        1        0
20946 gpac-modules-base                  	       0       31        0        0       31
20947 gpaint                             	       0        7        7        0        0
20948 gparted-common                     	       0      823        4        0      819
20949 gpass                              	       0        1        1        0        0
20950 gpaste                             	       0        1        1        0        0
20951 gpaw-data                          	       0        1        0        0        1
20952 gpdf                               	       0        1        1        0        0
20953 gpdftext                           	       0        3        3        0        0
20954 gpe-icons                          	       0        1        0        0        1
20955 gperf-ace                          	       0        1        0        0        1
20956 gperiodic                          	       0       13       13        0        0
20957 gpg-agent-dbgsym                   	       0        1        1        0        0
20958 gpg-dbgsym                         	       0        1        1        0        0
20959 gpg-remailer                       	       0        1        1        0        0
20960 gpg-wks-client-dbgsym              	       0        1        1        0        0
20961 gpg-wks-server-dbgsym              	       0        1        1        0        0
20962 gpgconf-dbgsym                     	       0        1        1        0        0
20963 gpgkeys                            	       0        1        1        0        0
20964 gpgmngr                            	       0        1        1        0        0
20965 gpgp                               	       0        1        1        0        0
20966 gpgrt-tools                        	       0        4        4        0        0
20967 gpgrt-tools-dbgsym                 	       0        1        1        0        0
20968 gpgsm-dbgsym                       	       0        1        1        0        0
20969 gpgv-dbgsym                        	       0        1        1        0        0
20970 gpgv-static                        	       0        3        3        0        0
20971 gpgv-win32                         	       0        3        0        0        3
20972 gpib-modules-source                	       0        1        0        0        1
20973 gpick                              	       0       38       38        0        0
20974 gping                              	       0        4        3        1        0
20975 gpiod                              	       0        4        4        0        0
20976 gplanarity                         	       0       12       12        0        0
20977 gplcver                            	       0        4        4        0        0
20978 gplhost-archive-keyring            	       0        1        0        0        1
20979 gpointing-device-settings          	       0        1        1        0        0
20980 gpomme                             	       0        2        2        0        0
20981 gpp                                	       0        5        5        0        0
20982 gpr                                	       0        6        6        0        0
20983 gpr-build-deps                     	       0        1        0        0        1
20984 gprbuild                           	       0        8        8        0        0
20985 gprbuild-doc                       	       0        1        1        0        0
20986 gprconfig-kb                       	       0        6        0        0        6
20987 gpredict                           	       0       30       30        0        0
20988 gpredict-doc                       	       0        3        0        0        3
20989 gpro                               	       0        1        0        0        1
20990 gprolog                            	       0       10        9        1        0
20991 gprolog-doc                        	       0       11        0        0       11
20992 gpsbabel                           	       0       62       59        3        0
20993 gpsbabel-doc                       	       0       62        0        0       62
20994 gpsbabel-gui                       	       0       10       10        0        0
20995 gpscorrelate                       	       0        6        6        0        0
20996 gpscorrelate-gui                   	       0        5        5        0        0
20997 gpsd-dbg                           	       0        1        1        0        0
20998 gpsdrive                           	       0        1        1        0        0
20999 gpsdrive-data                      	       0        1        0        0        1
21000 gpsdrive-scripts                   	       0        1        1        0        0
21001 gpsim                              	       0       30       30        0        0
21002 gpsim-doc                          	       0        3        0        0        3
21003 gpsk31                             	       0        1        1        0        0
21004 gpsman                             	       0        7        7        0        0
21005 gpsmanshp                          	       0        5        5        0        0
21006 gpsprune                           	       0       16       15        1        0
21007 gpsshogi                           	       0        2        2        0        0
21008 gpsshogi-data                      	       0        2        0        0        2
21009 gpsshogi-viewer                    	       0        1        1        0        0
21010 gpstrans                           	       0       12       12        0        0
21011 gptsync                            	       0        1        1        0        0
21012 gpu-burn                           	       0        1        1        0        0
21013 gpustat                            	       0        5        5        0        0
21014 gputils                            	       0       56       56        0        0
21015 gputils-common                     	       0       56        0        0       56
21016 gputils-doc                        	       0       50        0        0       50
21017 gpw                                	       0        9        9        0        0
21018 gpx                                	       0        4        4        0        0
21019 gpx2shp                            	       0        2        2        0        0
21020 gpxinfo                            	       0        6        6        0        0
21021 gpxsee                             	       0        3        3        0        0
21022 gpxviewer                          	       0       13       13        0        0
21023 gqcam                              	       0        1        1        0        0
21024 gqrx-sdr                           	       0       30       30        0        0
21025 gqview                             	       0        4        4        0        0
21026 gr-air-modes                       	       0        5        5        0        0
21027 gr-dab                             	       0        2        2        0        0
21028 gr-fcdproplus                      	       0        4        4        0        0
21029 gr-fosphor                         	       0       27       27        0        0
21030 gr-funcube                         	       0       31       31        0        0
21031 gr-gsm                             	       0        3        3        0        0
21032 gr-hpsdr                           	       0        5        5        0        0
21033 gr-iqbal                           	       0       36       36        0        0
21034 gr-limesdr                         	       0        3        3        0        0
21035 gr-osmosdr                         	       0       38       38        0        0
21036 gr-rds                             	       0        2        2        0        0
21037 gr-satellites                      	       0        5        5        0        0
21038 grabc                              	       0        5        5        0        0
21039 grabserial                         	       0        2        2        0        0
21040 gradio                             	       0        1        1        0        0
21041 gradle-7.4.2                       	       0        1        1        0        0
21042 gradle-apt-plugin                  	       0        1        0        0        1
21043 gradle-completion                  	       0        1        0        0        1
21044 gradle-debian-helper               	       0        3        3        0        0
21045 gradle-doc                         	       0        4        0        0        4
21046 gradle-ice-builder-plugin          	       0        1        0        0        1
21047 gradle-plugin-protobuf             	       0        1        0        0        1
21048 gradle-propdeps-plugin             	       0        1        0        0        1
21049 gradm2                             	       0        1        1        0        0
21050 grads                              	       0        5        5        0        0
21051 grafx2                             	       0        7        7        0        0
21052 gramadoir                          	       0        1        1        0        0
21053 gramofile                          	       0        4        4        0        0
21054 granatier                          	       0       58       55        3        0
21055 granule                            	       0        2        2        0        0
21056 grap                               	       0       12       11        1        0
21057 grapejuice                         	       0        2        2        0        0
21058 graphdb-desktop                    	       0        1        1        0        0
21059 graphicsmagick-dbg                 	       0        3        3        0        0
21060 graphicsmagick-imagemagick-compat  	       0       40       40        0        0
21061 graphicsmagick-libmagick-dev-compat	       0        4        4        0        0
21062 graphlan                           	       0        1        1        0        0
21063 graphmonkey                        	       0        8        8        0        0
21064 graphql-playground-electron        	       0        1        0        0        1
21065 graphthing                         	       0        1        1        0        0
21066 graphviz-dev                       	       0        5        0        0        5
21067 graphviz-doc                       	       0       37       11        0       26
21068 grass-dev                          	       0        9        8        1        0
21069 grass-dev-doc                      	       0        5        0        0        5
21070 grass-doc                          	       0       56        0        0       56
21071 grass-gui                          	       0       21       20        1        0
21072 gravit                             	       0        4        4        0        0
21073 gravit-data                        	       0        4        0        0        4
21074 gravitation                        	       0        2        2        0        0
21075 gravitywars                        	       0        5        5        0        0
21076 graywolf                           	       0        6        6        0        0
21077 grc                                	       0       16       16        0        0
21078 grcompiler                         	       0        4        4        0        0
21079 grdesktop                          	       0       10       10        0        0
21080 greaseweazle-firmware-build-deps   	       0        1        0        0        1
21081 greenbone-security-assistant       	       0        2        1        0        1
21082 greenbone-security-assistant-common	       0        1        0        0        1
21083 greenfoot                          	       0        1        1        0        0
21084 greenwich                          	       0        1        1        0        0
21085 greetd                             	       0        2        2        0        0
21086 grepcidr                           	       0        5        5        0        0
21087 gretl                              	       0        5        4        1        0
21088 gretl-common                       	       0        6        0        0        6
21089 gretl-data                         	       0        4        0        0        4
21090 gretl-doc                          	       0        5        0        0        5
21091 grfcodec                           	       0        3        3        0        0
21092 grhino                             	       0       10       10        0        0
21093 grhino-data                        	       0       10        0        0       10
21094 gridcoinresearch-qt                	       0        3        2        1        0
21095 gridcoinresearchd                  	       0        1        0        1        0
21096 gridengine-client                  	       0        1        1        0        0
21097 gridengine-common                  	       0        2        2        0        0
21098 gridengine-drmaa1.0                	       0        1        1        0        0
21099 gridengine-master                  	       0        1        1        0        0
21100 gridengine-qmon                    	       0        1        1        0        0
21101 gridlock.app                       	       0        3        2        1        0
21102 gridsite-clients                   	       0        2        2        0        0
21103 gridtracker                        	       0        1        1        0        0
21104 grig                               	       0        5        5        0        0
21105 grilo-plugins-0.2                  	       0        1        0        0        1
21106 grilo-plugins-0.3                  	       0      411        5        0      406
21107 grimrock                           	       0        1        1        0        0
21108 grimshot                           	       0        9        9        0        0
21109 gringo                             	       0        7        7        0        0
21110 gringotts                          	       0        4        4        0        0
21111 grip                               	       0        6        6        0        0
21112 grisbi                             	       0        9        9        0        0
21113 grisbi-common                      	       0        9        0        0        9
21114 grml-debian-keyring                	       0        1        0        0        1
21115 grml-debootstrap                   	       0        4        4        0        0
21116 grml-etc-core                      	       0        2        0        0        2
21117 grml-hwinfo                        	       0        1        1        0        0
21118 grml-keyring                       	       0        1        0        0        1
21119 grml-live                          	       0        1        1        0        0
21120 grml-live-addons                   	       0        1        0        0        1
21121 grml-network                       	       0        1        1        0        0
21122 grml-rescueboot                    	       0       15       15        0        0
21123 grml-scripts                       	       0        2        2        0        0
21124 grml-scripts-core                  	       0        2        2        0        0
21125 grml2usb                           	       0        5        5        0        0
21126 groff-base-dbgsym                  	       0        1        1        0        0
21127 groff-dbgsym                       	       0        1        1        0        0
21128 grok                               	       0        2        2        0        0
21129 grokj2k-tools                      	       0        2        2        0        0
21130 grokking-the-gimp                  	       0        1        0        0        1
21131 gromacs                            	       0        1        1        0        0
21132 gromacs-data                       	       0        1        0        0        1
21133 gromacs-openmpi                    	       0        1        1        0        0
21134 gromit                             	       0        1        1        0        0
21135 gromit-mpx                         	       0       17       17        0        0
21136 gron                               	       0        8        8        0        0
21137 groovy-doc                         	       0        7        0        0        7
21138 grop                               	       0        2        2        0        0
21139 groundhog                          	       0        8        8        0        0
21140 group-service                      	       0       10        7        3        0
21141 growl-for-linux                    	       0        1        1        0        0
21142 grpc-proto                         	       0        1        0        0        1
21143 grpn                               	       0       18       18        0        0
21144 grr.app                            	       0        4        3        1        0
21145 grub                               	       0        8        0        0        8
21146 grub-cloud-amd64                   	       0        1        0        0        1
21147 grub-coreboot-bin                  	       0        2        2        0        0
21148 grub-disk                          	       0        1        0        0        1
21149 grub-doc                           	       0        5        0        0        5
21150 grub-efi                           	       0       79        0        0       79
21151 grub-efi-amd64                     	       0     1492        0        0     1492
21152 grub-efi-amd64-bin-dummy           	       0        1        0        0        1
21153 grub-efi-amd64-dbg                 	       0        1        1        0        0
21154 grub-efi-amd64-signed              	       0     1503        0        0     1503
21155 grub-efi-amd64-signed-template     	       0        4        0        0        4
21156 grub-efi-arm64                     	       0        3        0        0        3
21157 grub-efi-arm64-bin                 	       0        3        3        0        0
21158 grub-efi-arm64-signed              	       0        2        0        0        2
21159 grub-efi-ia32                      	       0        3        0        0        3
21160 grub-efi-ia32-bin                  	       0      139      137        2        0
21161 grub-efi-ia32-signed               	       0        2        0        0        2
21162 grub-efi-ia32-unsigned             	       0       23       22        1        0
21163 grub-emu                           	       0        7        7        0        0
21164 grub-firmware-qemu                 	       0       12        0        0       12
21165 grub-ieee1275                      	       0        4        0        0        4
21166 grub-ieee1275-bin                  	       0        5        5        0        0
21167 grub-imageboot                     	       0       14        0        0       14
21168 grub-invaders                      	       0        3        0        0        3
21169 grub-legacy-doc                    	       0        8        0        0        8
21170 grub-reboot-poweroff               	       0        1        0        0        1
21171 grub-splashimages                  	       0        9        0        0        9
21172 grub-theme-breeze                  	       0       13        0        0       13
21173 grub-theme-starfield               	       0       13        0        0       13
21174 grub-themes-vimix                  	       0        1        0        0        1
21175 grub-xen                           	       0        1        0        0        1
21176 grub-xen-bin                       	       0       16       15        1        0
21177 grub-xen-host                      	       0       14       13        1        0
21178 grub2                              	       0       39        0        0       39
21179 grub2-splashimages                 	       0       14        0        0       14
21180 grun                               	       0       15       14        1        0
21181 grunt                              	       0        3        3        0        0
21182 gs                                 	       0        2        0        0        2
21183 gs-common                          	       0        6        0        0        6
21184 gs-esp                             	       0        3        0        0        3
21185 gs-gpl                             	       0        1        0        0        1
21186 gsad                               	       0        1        1        0        0
21187 gsasl                              	       0        4        4        0        0
21188 gsasl-common                       	       0     1728        0        0     1728
21189 gsasl-dbgsym                       	       0        1        1        0        0
21190 gsasl-doc                          	       0        3        0        0        3
21191 gscanbus                           	       0        3        3        0        0
21192 gsender                            	       0        1        1        0        0
21193 gsequencer                         	       0        2        2        0        0
21194 gsequencer-data                    	       0        3        0        0        3
21195 gsetroot                           	       0        3        3        0        0
21196 gsfonts-other                      	       0       15        0        0       15
21197 gsfonts-wadalab-common             	       0        1        1        0        0
21198 gsfonts-wadalab-gothic             	       0        1        0        0        1
21199 gsfonts-wadalab-mincho             	       0        1        1        0        0
21200 gsfonts-x11                        	       0      401        0        0      401
21201 gsimplecal                         	       0       14       14        0        0
21202 gskcrypt64                         	       0        1        0        0        1
21203 gskssl64                           	       0        1        1        0        0
21204 gsl-bin                            	       0       13       13        0        0
21205 gsl-doc-info                       	       0        4        0        0        4
21206 gsl-doc-pdf                        	       0        5        0        0        5
21207 gsl-ref-html                       	       0        4        0        0        4
21208 gsl-ref-psdoc                      	       0        7        0        0        7
21209 gsm-utils                          	       0        3        3        0        0
21210 gsmc                               	       0        4        4        0        0
21211 gsoap                              	       0        9        9        0        0
21212 gsound-tools                       	       0        5        5        0        0
21213 gspca-source                       	       0        2        0        0        2
21214 gspiceui                           	       0        3        3        0        0
21215 gsplus                             	       0        1        1        0        0
21216 gssdp-tools                        	       0        1        1        0        0
21217 gssproxy                           	       0        1        1        0        0
21218 gst-omx-amdgpu                     	       0        9        0        0        9
21219 gst123                             	       0       19       19        0        0
21220 gstreamer-qapt                     	       0       12        0        0       12
21221 gstreamer0.10-alsa                 	       0       30        3        0       27
21222 gstreamer0.10-chromaprint          	       0        1        1        0        0
21223 gstreamer0.10-doc                  	       0        1        0        0        1
21224 gstreamer0.10-esd                  	       0        2        2        0        0
21225 gstreamer0.10-ffmpeg               	       0       12        1        0       11
21226 gstreamer0.10-fluendo-mp3          	       0        2        1        0        1
21227 gstreamer0.10-gconf                	       0       21        1        0       20
21228 gstreamer0.10-gnomevfs             	       0        2        0        0        2
21229 gstreamer0.10-gnonlin              	       0        4        4        0        0
21230 gstreamer0.10-gnonlin-doc          	       0        1        0        0        1
21231 gstreamer0.10-nice                 	       0       10        0        0       10
21232 gstreamer0.10-plugins-bad          	       0       19        3        0       16
21233 gstreamer0.10-plugins-bad-doc      	       0        1        0        0        1
21234 gstreamer0.10-plugins-base         	       0       56        4        0       52
21235 gstreamer0.10-plugins-base-apps    	       0        1        1        0        0
21236 gstreamer0.10-plugins-base-doc     	       0        1        0        0        1
21237 gstreamer0.10-plugins-gl-doc       	       0        1        0        0        1
21238 gstreamer0.10-plugins-good         	       0        8        2        0        6
21239 gstreamer0.10-plugins-good-doc     	       0        1        0        0        1
21240 gstreamer0.10-plugins-really-bad   	       0        1        0        0        1
21241 gstreamer0.10-plugins-ugly         	       0        3        1        0        2
21242 gstreamer0.10-plugins-ugly-doc     	       0        1        0        0        1
21243 gstreamer0.10-pulseaudio           	       0       10        2        0        8
21244 gstreamer0.10-qapt                 	       0        1        0        0        1
21245 gstreamer0.10-sdl                  	       0        1        1        0        0
21246 gstreamer0.10-x                    	       0       23        1        0       22
21247 gstreamer0.8-swfdec                	       0        1        1        0        0
21248 gstreamer1.0-clutter               	       0        8        0        0        8
21249 gstreamer1.0-clutter-3.0           	       0      545        0        0      545
21250 gstreamer1.0-crystalhd             	       0        4        0        0        4
21251 gstreamer1.0-doc                   	       0        4        0        0        4
21252 gstreamer1.0-espeak                	       0      284        0        0      284
21253 gstreamer1.0-fdkaac                	       0        8        0        0        8
21254 gstreamer1.0-fluendo-mp3           	       0        4        0        0        4
21255 gstreamer1.0-gnonlin               	       0        3        0        0        3
21256 gstreamer1.0-gtk3                  	       0     2144        8        0     2136
21257 gstreamer1.0-gtk4                  	       0        4        0        0        4
21258 gstreamer1.0-libcamera             	       0       10        0        0       10
21259 gstreamer1.0-nice                  	       0      461        0        0      461
21260 gstreamer1.0-omx-bellagio-config   	       0        1        0        0        1
21261 gstreamer1.0-omx-generic           	       0        2        0        0        2
21262 gstreamer1.0-omx-generic-config    	       0        1        0        0        1
21263 gstreamer1.0-opencv                	       0        5        0        0        5
21264 gstreamer1.0-packagekit            	       0      122        2        0      120
21265 gstreamer1.0-packagekit-dbgsym     	       0        3        3        0        0
21266 gstreamer1.0-plugins-bad-apps      	       0        8        8        0        0
21267 gstreamer1.0-plugins-bad-apps-dbgsym	       0        1        1        0        0
21268 gstreamer1.0-plugins-bad-dbgsym    	       0        1        1        0        0
21269 gstreamer1.0-plugins-bad-doc       	       0        3        0        0        3
21270 gstreamer1.0-plugins-base-apps     	       0       16       16        0        0
21271 gstreamer1.0-plugins-base-doc      	       0        3        0        0        3
21272 gstreamer1.0-plugins-good-dbgsym   	       0        1        1        0        0
21273 gstreamer1.0-plugins-good-doc      	       0        2        0        0        2
21274 gstreamer1.0-plugins-rtp           	       0       12        0        0       12
21275 gstreamer1.0-plugins-rtp-dbgsym    	       0        1        1        0        0
21276 gstreamer1.0-plugins-ugly          	       0     2896       12        0     2884
21277 gstreamer1.0-plugins-ugly-dbgsym   	       0        1        1        0        0
21278 gstreamer1.0-plugins-ugly-doc      	       0        3        0        0        3
21279 gstreamer1.0-pocketsphinx          	       0        6        0        0        6
21280 gstreamer1.0-pulseaudio            	       0      815        1        0      814
21281 gstreamer1.0-python3-plugin-loader 	       0        1        0        0        1
21282 gstreamer1.0-qt5                   	       0       25        0        0       25
21283 gstreamer1.0-qt6                   	       0        4        0        0        4
21284 gstreamer1.0-rtsp                  	       0       13        0        0       13
21285 gstreamer1.0-vaapi                 	       0       36        1        0       35
21286 gstreamer1.0-vaapi-doc             	       0        1        0        0        1
21287 gstreamer1.0-wpe                   	       0        2        0        0        2
21288 gt5                                	       0        5        5        0        0
21289 gtali                              	       0        3        0        0        3
21290 gtamsanalyzer.app                  	       0        1        0        1        0
21291 gtans                              	       0       13       13        0        0
21292 gtetrinet                          	       0       13       13        0        0
21293 gthumb-data                        	       0      137        1        0      136
21294 gtick                              	       0       20       19        1        0
21295 gtimelog                           	       0        1        1        0        0
21296 gtimer                             	       0        6        6        0        0
21297 gtk-3-examples                     	       0       12       12        0        0
21298 gtk-4-examples                     	       0        2        2        0        0
21299 gtk-4-tests                        	       0        1        0        0        1
21300 gtk-chtheme                        	       0       28       27        1        0
21301 gtk-doc-tools                      	       0       56       54        2        0
21302 gtk-engines-begtk                  	       0        1        1        0        0
21303 gtk-engines-eazel                  	       0        1        1        0        0
21304 gtk-engines-geramik                	       0        1        0        0        1
21305 gtk-engines-geramik-data           	       0        1        0        0        1
21306 gtk-engines-lighthouseblue         	       0        1        1        0        0
21307 gtk-engines-metal                  	       0        1        1        0        0
21308 gtk-engines-notif                  	       0        1        1        0        0
21309 gtk-engines-pixmap                 	       0        1        1        0        0
21310 gtk-engines-plastig                	       0        1        0        0        1
21311 gtk-engines-qtpixmap               	       0        1        1        0        0
21312 gtk-engines-raleigh                	       0        1        1        0        0
21313 gtk-engines-redmond95              	       0        1        1        0        0
21314 gtk-engines-thingeramik            	       0        1        0        0        1
21315 gtk-engines-thingeramik-data       	       0        1        0        0        1
21316 gtk-engines-thinice                	       0        1        1        0        0
21317 gtk-engines-xenophilia             	       0        1        1        0        0
21318 gtk-gnutella                       	       0        3        3        0        0
21319 gtk-gnutella-utils                 	       0        1        1        0        0
21320 gtk-imonc                          	       0        1        1        0        0
21321 gtk-qt-engine                      	       0        1        1        0        0
21322 gtk-recordmydesktop                	       0        6        6        0        0
21323 gtk-redshift                       	       0        2        0        0        2
21324 gtk-sharp2                         	       0       10        0        0       10
21325 gtk-sharp2-examples                	       0       10       10        0        0
21326 gtk-sharp2-gapi                    	       0       10       10        0        0
21327 gtk-sharp3                         	       0        9        0        0        9
21328 gtk-sharp3-examples                	       0        9        0        0        9
21329 gtk-sharp3-gapi                    	       0        9        9        0        0
21330 gtk-smooth-themes                  	       0        3        0        0        3
21331 gtk-theme-config                   	       0        3        3        0        0
21332 gtk-theme-switch                   	       0       35       34        1        0
21333 gtk2-engines-aurora                	       0       20        0        0       20
21334 gtk2-engines-cleanice              	       0       23       23        0        0
21335 gtk2-engines-geramik               	       0        1        0        0        1
21336 gtk2-engines-industrial            	       0        1        0        0        1
21337 gtk2-engines-magicchicken          	       0        5        5        0        0
21338 gtk2-engines-moblin                	       0        9        9        0        0
21339 gtk2-engines-nodoka                	       0       18       18        0        0
21340 gtk2-engines-oxygen                	       0       33        0        0       33
21341 gtk2-engines-plastig               	       0        1        0        0        1
21342 gtk2-engines-qtcurve               	       0       48        0        0       48
21343 gtk2-engines-qtpixmap              	       0        1        1        0        0
21344 gtk2-engines-sapwood               	       0        1        1        0        0
21345 gtk2-engines-smooth                	       0        4        4        0        0
21346 gtk2-engines-sugar                 	       0       12        0        0       12
21347 gtk2-engines-thingeramik           	       0        1        0        0        1
21348 gtk2-engines-ubuntulooks           	       0        2        2        0        0
21349 gtk2-engines-wonderland            	       0        5        5        0        0
21350 gtk2-engines-xfce                  	       0      143        0        0      143
21351 gtk2.0-examples                    	       0        9        9        0        0
21352 gtk2hs-buildtools                  	       0        1        1        0        0
21353 gtk3-automnemonics-dbgsym          	       0        2        2        0        0
21354 gtk3-classic-module                	       0        1        1        0        0
21355 gtk3-engines-breeze                	       0       33        0        0       33
21356 gtk3-engines-oxygen                	       0        1        0        0        1
21357 gtk3-engines-unico                 	       0        3        0        0        3
21358 gtk3-engines-xfce                  	       0       27        0        0       27
21359 gtk3-im-libthai                    	       0        2        0        0        2
21360 gtk3-nooverlayscrollbar            	       0     2831        0        0     2831
21361 gtk3-tqt-engine-trinity            	       0        5        0        0        5
21362 gtkam-gimp                         	       0       19       19        0        0
21363 gtkballs                           	       0       10       10        0        0
21364 gtkboard                           	       0        9        9        0        0
21365 gtkcookie                          	       0        2        2        0        0
21366 gtkcrypto                          	       0        1        1        0        0
21367 gtkdbfeditor                       	       0        2        2        0        0
21368 gtkdialog                          	       0        4        4        0        0
21369 gtkdiskfree                        	       0        1        1        0        0
21370 gtkfontsel                         	       0        1        1        0        0
21371 gtkguitune                         	       0        6        5        1        0
21372 gtkhash                            	       0      104       97        7        0
21373 gtkhash-common                     	       0        2        0        0        2
21374 gtklp                              	       0       79       76        2        1
21375 gtkmm-documentation                	       0        8        0        0        8
21376 gtkmorph                           	       0        5        5        0        0
21377 gtkmorph-example                   	       0        2        0        0        2
21378 gtkorphan                          	       0        1        1        0        0
21379 gtkparasite                        	       0        1        1        0        0
21380 gtkperf                            	       0        2        2        0        0
21381 gtkpod                             	       0       10       10        0        0
21382 gtkpod-data                        	       0       10        0        0       10
21383 gtkpool                            	       0        4        4        0        0
21384 gtkrsync                           	       0        1        1        0        0
21385 gtkwave                            	       0       26       26        0        0
21386 gtm                                	       0        1        1        0        0
21387 gtml                               	       0        1        1        0        0
21388 gtranscribe                        	       0        4        4        0        0
21389 gtranslator                        	       0        1        1        0        0
21390 gtweakui                           	       0        1        1        0        0
21391 gtypist                            	       0       23       23        0        0
21392 guake-indicator                    	       0        6        6        0        0
21393 guam                               	       0        1        1        0        0
21394 guarda                             	       0        2        0        0        2
21395 gubbins                            	       0        1        1        0        0
21396 guestfsd                           	       0        2        2        0        0
21397 guetzli                            	       0        3        3        0        0
21398 gui-apt-key                        	       0        1        1        0        0
21399 guidance-backends-trinity          	       0        2        0        0        2
21400 guidus                             	       0        2        2        0        0
21401 guile-1.6                          	       0        3        3        0        0
21402 guile-1.6-libs                     	       0        5        0        0        5
21403 guile-1.6-slib                     	       0        1        0        0        1
21404 guile-1.8                          	       0        7        7        0        0
21405 guile-1.8-dev                      	       0        1        1        0        0
21406 guile-1.8-doc                      	       0        1        0        0        1
21407 guile-1.8-doc-non-dfsg             	       0        1        0        0        1
21408 guile-1.8-libs                     	       0       13       13        0        0
21409 guile-2.0                          	       0       11       11        0        0
21410 guile-2.0-dev                      	       0        2        2        0        0
21411 guile-2.0-doc                      	       0        4        0        0        4
21412 guile-2.0-libs                     	       0       90        0        0       90
21413 guile-2.2                          	       0       79       75        4        0
21414 guile-2.2-dev                      	       0        6        5        1        0
21415 guile-2.2-doc                      	       0        4        0        0        4
21416 guile-3.0-dev                      	       0       18       16        2        0
21417 guile-3.0-doc                      	       0       11        0        0       11
21418 guile-avahi                        	       0        1        0        0        1
21419 guile-bytestructures               	       0       13        0        0       13
21420 guile-cairo                        	       0        7        0        0        7
21421 guile-cairo-dev                    	       0        1        0        1        0
21422 guile-g-wrap                       	       0        6        1        0        5
21423 guile-gcrypt                       	       0       12        0        0       12
21424 guile-git                          	       0       12        0        0       12
21425 guile-gnome2-glib                  	       0        6        6        0        0
21426 guile-gnome2-gtk                   	       0        6        0        0        6
21427 guile-gnutls                       	       0       14        1        0       13
21428 guile-json                         	       0       14        0        0       14
21429 guile-library                      	       0        9        0        0        9
21430 guile-lzlib                        	       0       11        0        0       11
21431 guile-sqlite3                      	       0       13        0        0       13
21432 guile-zlib                         	       0       12        0        0       12
21433 guile-zstd                         	       0        1        0        0        1
21434 guilt                              	       0        3        3        0        0
21435 guitarix                           	       0       23       23        0        0
21436 guitarix-common                    	       0       23        0        0       23
21437 guitarix-doc                       	       0        2        0        0        2
21438 guitarix-ladspa                    	       0       28       26        2        0
21439 guitarix-lv2                       	       0       26       26        0        0
21440 gummi                              	       0       17       17        0        0
21441 gunicorn                           	       0        3        3        0        0
21442 gunicorn-examples                  	       0        1        0        0        1
21443 gunroar                            	       0        3        3        0        0
21444 gunroar-data                       	       0        5        0        0        5
21445 gupnp-dlna-tools                   	       0        1        1        0        0
21446 gupnp-tools                        	       0        4        4        0        0
21447 gutenbrowser                       	       0        1        1        0        0
21448 gutenprint-doc                     	       0       39        0        0       39
21449 gutenprint-locales                 	       0      115        0        0      115
21450 guymager                           	       0       10       10        0        0
21451 gvfs-backends-dbgsym               	       0        1        1        0        0
21452 gvfs-build-deps                    	       0        1        0        0        1
21453 gvfs-daemons-dbgsym                	       0        1        1        0        0
21454 gvfs-dbgsym                        	       0        2        2        0        0
21455 gvfs-libs-dbgsym                   	       0        2        2        0        0
21456 gvidm                              	       0        2        2        0        0
21457 gvm                                	       0        1        1        0        0
21458 gvm-tools                          	       0        1        1        0        0
21459 gvmd                               	       0        1        1        0        0
21460 gvmd-common                        	       0        1        1        0        0
21461 gvpe                               	       0        1        1        0        0
21462 gwaei                              	       0        2        2        0        0
21463 gwakeonlan                         	       0        5        5        0        0
21464 gwaterfall                         	       0       14       14        0        0
21465 gwave                              	       0        6        6        0        0
21466 gwc                                	       0        7        7        0        0
21467 gweled                             	       0       16       16        0        0
21468 gwenhywfar-tools                   	       0        2        2        0        0
21469 gwenview-doc                       	       0       15        0        0       15
21470 gwenview-i18n-trinity              	       0        2        0        0        2
21471 gwenview-trinity                   	       0        7        7        0        0
21472 gwget                              	       0        1        1        0        0
21473 gwhere                             	       0        1        1        0        0
21474 gwhois                             	       0        8        8        0        0
21475 gworkspace-apps-wrappers           	       0        3        0        0        3
21476 gworkspace-common                  	       0        8        0        0        8
21477 gworkspace.app                     	       0        9        8        1        0
21478 gworldclock                        	       0       11       11        0        0
21479 gwsetup                            	       0        1        1        0        0
21480 gwyddion                           	       0        5        5        0        0
21481 gwyddion-common                    	       0        5        0        0        5
21482 gxemul                             	       0        3        3        0        0
21483 gxemul-doc                         	       0        3        0        0        3
21484 gxine                              	       0        2        2        0        0
21485 gxineplugin                        	       0        1        1        0        0
21486 gxkb                               	       0        5        5        0        0
21487 gxmessage                          	       0       12       12        0        0
21488 gxmms2                             	       0        8        8        0        0
21489 gxneur                             	       0        1        1        0        0
21490 gxplugins                          	       0        1        1        0        0
21491 gxset                              	       0        1        1        0        0
21492 gxtuner                            	       0        5        5        0        0
21493 gxvoxtonebender                    	       0        1        1        0        0
21494 gxw-glade                          	       0        1        0        0        1
21495 gyoto-bin                          	       0        2        2        0        0
21496 gzdoom                             	       0       19       19        0        0
21497 gzdoom-build-deps                  	       0        1        0        0        1
21498 gzip-win32                         	       0        1        0        0        1
21499 gztool                             	       0        3        3        0        0
21500 h264enc                            	       0        3        3        0        0
21501 h5utils                            	       0       14       14        0        0
21502 ha                                 	       0        3        3        0        0
21503 hachoir                            	       0        3        3        0        0
21504 hachu                              	       0        4        4        0        0
21505 hackrf-doc                         	       0        1        0        0        1
21506 hackrf-firmware                    	       0        2        0        0        2
21507 hacktv                             	       0        2        2        0        0
21508 hadori                             	       0        4        4        0        0
21509 hakuneko-desktop                   	       0        7        7        0        0
21510 hal-doc                            	       0        1        0        0        1
21511 hal-info                           	       0        8        0        0        8
21512 half                               	       0        2        0        0        2
21513 halibut                            	       0        2        2        0        0
21514 hamexam                            	       0        4        4        0        0
21515 haml-elisp                         	       0        1        1        0        0
21516 hamradio-all                       	       0        2        0        0        2
21517 hamradio-antenna                   	       0        3        0        0        3
21518 hamradio-datamodes                 	       0        2        0        0        2
21519 hamradio-digitalvoice              	       0        2        0        0        2
21520 hamradio-files                     	       0       18        0        0       18
21521 hamradio-logging                   	       0        3        0        0        3
21522 hamradio-maintguide                	       0        2        0        0        2
21523 hamradio-morse                     	       0        4        0        0        4
21524 hamradio-nonamateur                	       0        2        0        0        2
21525 hamradio-packetmodes               	       0        2        0        0        2
21526 hamradio-rigcontrol                	       0        2        0        0        2
21527 hamradio-satellite                 	       0        2        0        0        2
21528 hamradio-sdr                       	       0        5        0        0        5
21529 hamradio-tasks                     	       0        8        0        0        8
21530 hamradio-tools                     	       0        3        0        0        3
21531 hamradio-training                  	       0        3        0        0        3
21532 hamradiomenus                      	       0        1        0        0        1
21533 hamster-applet                     	       0       11       10        0        1
21534 hamster-time-tracker               	       0       10       10        0        0
21535 hannah                             	       0       10       10        0        0
21536 hannah-data                        	       0       10        0        0       10
21537 hannah-foo2zjs                     	       0        2        2        0        0
21538 happy                              	       0        4        4        0        0
21539 haproxy-doc                        	       0        1        0        0        1
21540 haproxyctl                         	       0        1        1        0        0
21541 harden-doc                         	       0        9        0        0        9
21542 harden-environment                 	       0        1        0        0        1
21543 harden-servers                     	       0        1        0        0        1
21544 harden-tools                       	       0        1        0        0        1
21545 hardening-includes                 	       0       10       10        0        0
21546 hardening-runtime                  	       0        7        6        0        1
21547 hardinfo2                          	       0       30       27        3        0
21548 haroopad                           	       0        2        2        0        0
21549 harvid                             	       0       65       64        1        0
21550 hash-slinger                       	       0        3        3        0        0
21551 hashalot                           	       0        2        2        0        0
21552 hashcash                           	       0        3        3        0        0
21553 hashcat-nvidia                     	       0        4        0        0        4
21554 hashcheck                          	       0        2        2        0        0
21555 haskell-debian-utils               	       0        1        0        1        0
21556 haskell-devscripts                 	       0        1        0        0        1
21557 haskell-devscripts-minimal         	       0        3        3        0        0
21558 haskell-doc                        	       0        5        0        0        5
21559 haskell-mode                       	       0        5        0        0        5
21560 haskell-platform                   	       0        1        0        0        1
21561 haskell-platform-doc               	       0        1        0        0        1
21562 haskell-stack                      	       0       10       10        0        0
21563 haskell-status-notifier-item-utils 	       0        1        1        0        0
21564 haskell-utils                      	       0        1        1        0        0
21565 haskell98-report                   	       0        7        0        0        7
21566 haskell98-tutorial                 	       0        7        0        0        7
21567 hasktags                           	       0        1        1        0        0
21568 hatari                             	       0       10        9        1        0
21569 hatop                              	       0        1        1        0        0
21570 haveno                             	       0        1        1        0        0
21571 haxe                               	       0        2        2        0        0
21572 haxml                              	       0        1        1        0        0
21573 hcloud-cli                         	       0        1        1        0        0
21574 hdate                              	       0        1        1        0        0
21575 hdate-applet                       	       0        2        2        0        0
21576 hdd-cleaner                        	       0        1        1        0        0
21577 hdf-compass                        	       0        1        1        0        0
21578 hdf-compass-doc                    	       0        1        0        0        1
21579 hdf4-tools                         	       0       15       14        1        0
21580 hdf5-filter-plugin                 	       0        1        0        0        1
21581 hdf5-filter-plugin-blosc-serial    	       0        1        1        0        0
21582 hdf5-filter-plugin-zfp-serial      	       0        1        0        0        1
21583 hdf5-plugin-lzf                    	       0        1        0        0        1
21584 hdhomerun-config                   	       0        1        1        0        0
21585 hdmi2usb-fx2-firmware              	       0        9        8        0        1
21586 hdmi2usb-mode-switch               	       0        5        5        0        0
21587 hdrecover                          	       0        1        1        0        0
21588 hdrmerge                           	       0        2        1        1        0
21589 hdup                               	       0        3        3        0        0
21590 headache                           	       0        1        1        0        0
21591 headsetcontrol                     	       0        1        1        0        0
21592 healpy-data                        	       0        4        0        0        4
21593 health-check                       	       0        1        1        0        0
21594 heaptrack                          	       0        8        8        0        0
21595 heaptrack-gui                      	       0        4        4        0        0
21596 hearse                             	       0        2        2        0        0
21597 hebcal                             	       0        4        4        0        0
21598 hedgewars-data                     	       0       26        0        0       26
21599 heif-gdk-pixbuf                    	       0       56        0        0       56
21600 heimdal-clients                    	       0       15       14        1        0
21601 heimdal-dev                        	       0        1        1        0        0
21602 heimdal-docs                       	       0        4        0        0        4
21603 heimdal-kdc                        	       0        1        1        0        0
21604 heimdal-multidev                   	       0        7        7        0        0
21605 heimdall                           	       0        6        6        0        0
21606 heimdall-flash-frontend            	       0       33       33        0        0
21607 heimdall-frontend                  	       0        1        1        0        0
21608 heimer                             	       0        1        1        0        0
21609 helio-workstation                  	       0        1        1        0        0
21610 helix-cli                          	       0        1        0        0        1
21611 helix-cli-base                     	       0        1        1        0        0
21612 helix-git-connector                	       0        1        1        0        0
21613 hello                              	       0       12       12        0        0
21614 hello-debhelper                    	       0        1        0        0        1
21615 helm                               	       0        8        7        1        0
21616 help2man                           	       0       82       82        0        0
21617 helpdeco                           	       0        1        1        0        0
21618 helpdelete                         	       0        1        1        0        0
21619 helpdev                            	       0       42       40        2        0
21620 helpman                            	       0        6        5        1        0
21621 helpviewer.app                     	       0        4        3        1        0
21622 helvum                             	       0        3        3        0        0
21623 herbstluftwm                       	       0        9        9        0        0
21624 hercules                           	       0        8        8        0        0
21625 herculesstudio                     	       0        5        5        0        0
21626 heretic-shareware-wad              	       0        1        0        0        1
21627 heretic-wad                        	       0        1        0        0        1
21628 hermes1                            	       0        1        0        0        1
21629 hermes1-dev                        	       0        1        1        0        0
21630 heroes                             	       0        3        3        0        0
21631 heroes-common                      	       0        1        0        0        1
21632 heroes-data                        	       0        4        0        0        4
21633 heroes-ggi                         	       0        1        1        0        0
21634 heroes-sdl                         	       0        1        1        0        0
21635 heroes-sound-effects               	       0        4        0        0        4
21636 heroes-sound-tracks                	       0        4        0        0        4
21637 heroku                             	       0        1        1        0        0
21638 hershey-font-gnuplot               	       0        3        3        0        0
21639 hershey-fonts-data                 	       0        7        0        0        7
21640 hesiod                             	       0        1        1        0        0
21641 heudiconv                          	       0        1        1        0        0
21642 hevea                              	       0        8        8        0        0
21643 hevea-doc                          	       0        2        0        0        2
21644 hex-a-hop                          	       0       11       11        0        0
21645 hex-a-hop-data                     	       0       10        0        0       10
21646 hexalate                           	       0        9        9        0        0
21647 hexcat                             	       0        1        1        0        0
21648 hexchat-dev                        	       0        2        0        2        0
21649 hexchat-otr                        	       0       13        1        0       12
21650 hexcurse                           	       0       14       14        0        0
21651 hexec                              	       0        2        2        0        0
21652 hexen-deathkings-wad               	       0        1        0        0        1
21653 hexen-demo-wad                     	       0        1        0        0        1
21654 hexen-wad                          	       0        1        0        0        1
21655 hexen2-data                        	       0        1        0        0        1
21656 hexen2-hexenworld-data             	       0        1        0        0        1
21657 hexen2-portals-data                	       0        1        0        0        1
21658 hexen2-reg-data                    	       0        1        0        0        1
21659 hexer                              	       0       19       19        0        0
21660 hexter                             	       0        7        7        0        0
21661 hexter-syx-dx7-banks               	       0        1        0        0        1
21662 hextype                            	       0        1        1        0        0
21663 hexwalk                            	       0        3        3        0        0
21664 hexxagon                           	       0        4        4        0        0
21665 hexxagon-text                      	       0        1        1        0        0
21666 hexyl                              	       0        6        6        0        0
21667 hfst                               	       0        3        3        0        0
21668 hfst-ospell                        	       0        1        1        0        0
21669 hfsutils-tcltk                     	       0       14       14        0        0
21670 hhsuite                            	       0        2        2        0        0
21671 hhsuite-data                       	       0        2        2        0        0
21672 hiawatha                           	       0        1        1        0        0
21673 hibiscus                           	       0        3        3        0        0
21674 hibiscus-doc                       	       0        2        0        0        2
21675 hicolor-icon-theme                 	       0     3846        0        0     3846
21676 hidrd                              	       0        1        1        0        0
21677 hiera                              	       0       29       29        0        0
21678 higan                              	       0        7        7        0        0
21679 highlight                          	       0       23       23        0        0
21680 highlight-common                   	       0       24        0        0       24
21681 highlight-pointer                  	       0        1        1        0        0
21682 hiki                               	       0        1        1        0        0
21683 hilive                             	       0        1        1        0        0
21684 hime                               	       0        2        2        0        0
21685 hime-data                          	       0        2        0        0        2
21686 hime-gtk2-immodule                 	       0        2        0        0        2
21687 hime-gtk3-immodule                 	       0        2        0        0        2
21688 hime-tables                        	       0        2        0        0        2
21689 hindent                            	       0        1        1        0        0
21690 hintview                           	       0        1        1        0        0
21691 hip-base                           	       0        1        0        0        1
21692 hip-dev                            	       0        4        3        1        0
21693 hip-doc                            	       0        4        0        0        4
21694 hip-runtime-amd                    	       0        8        3        0        5
21695 hip-samples                        	       0        4        2        1        1
21696 hipblas                            	       0        4        0        0        4
21697 hipblas-asan                       	       0        1        1        0        0
21698 hipblas-common-dev                 	       0        1        0        1        0
21699 hipblas-dev                        	       0        4        3        1        0
21700 hipblaslt                          	       0        3        0        1        2
21701 hipblaslt-dev                      	       0        3        2        1        0
21702 hipcc                              	       0        7        5        2        0
21703 hipcub-dev                         	       0        3        2        1        0
21704 hipfft                             	       0        3        0        0        3
21705 hipfft-dev                         	       0        3        2        1        0
21706 hipfort-dev                        	       0        3        2        1        0
21707 hipify-clang                       	       0        3        2        1        0
21708 hiprand                            	       0        2        0        0        2
21709 hiprand-dev                        	       0        2        1        1        0
21710 hipsolver                          	       0        3        0        0        3
21711 hipsolver-dev                      	       0        3        2        1        0
21712 hipsparse                          	       0        3        0        0        3
21713 hipsparse-dev                      	       0        3        2        1        0
21714 hipsparselt                        	       0        1        0        1        0
21715 hipsparselt-dev                    	       0        1        0        1        0
21716 hiptensor                          	       0        2        0        0        2
21717 hiptensor-dev                      	       0        2        1        1        0
21718 hisat2                             	       0        1        1        0        0
21719 hivelytracker                      	       0        2        2        0        0
21720 hjson-go                           	       0        1        1        0        0
21721 hl1110cupswrapper                  	       0        1        0        0        1
21722 hl1110lpr                          	       0        1        0        0        1
21723 hl1200cupswrapper                  	       0        1        0        0        1
21724 hl1200lpr                          	       0        1        0        0        1
21725 hl1210wcupswrapper                 	       0        2        0        0        2
21726 hl1210wlpr                         	       0        2        0        0        2
21727 hl2240lpr                          	       0        1        0        0        1
21728 hl2270dwlpr                        	       0        2        0        0        2
21729 hl3040cncupswrapper                	       0        2        0        0        2
21730 hl3040cnlpr                        	       0        3        3        0        0
21731 hl3170cdwcupswrapper               	       0        2        0        0        2
21732 hl3170cdwlpr                       	       0        3        3        0        0
21733 hl4040cncupswrapper                	       0        1        0        0        1
21734 hl4040cnlpr                        	       0        1        1        0        0
21735 hl4140cncupswrapper                	       0        2        0        0        2
21736 hl4140cnlpr                        	       0        2        2        0        0
21737 hl6050dlpr                         	       0        1        1        0        0
21738 hledger                            	       0        7        6        1        0
21739 hledger-interest                   	       0        1        1        0        0
21740 hledger-ui                         	       0        4        4        0        0
21741 hledger-web                        	       0        2        2        0        0
21742 hlins                              	       0        2        1        1        0
21743 hlint                              	       0        2        1        1        0
21744 hll2310dpdrv                       	       0        1        0        0        1
21745 hll2320dcupswrapper                	       0        1        0        0        1
21746 hll2320dlpr                        	       0        1        0        0        1
21747 hll2340dcupswrapper                	       0        1        0        0        1
21748 hll2340dlpr                        	       0        1        0        0        1
21749 hll2350dwpdrv                      	       0        1        0        0        1
21750 hll2360dcupswrapper                	       0        1        0        0        1
21751 hll2360dlpr                        	       0        1        0        0        1
21752 hll2375dwpdrv                      	       0        3        0        0        3
21753 hll2380dwcupswrapper               	       0        3        0        0        3
21754 hll2380dwlpr                       	       0        3        0        0        3
21755 hll2390dwpdrv                      	       0        2        0        0        2
21756 hll2395dwpdrv                      	       0        2        0        0        2
21757 hll2460dwpdrv                      	       0        1        0        0        1
21758 hll3230cdwpdrv                     	       0        1        1        0        0
21759 hll3270cdwpdrv                     	       0        1        1        0        0
21760 hll3280cdwpdrv                     	       0        1        0        0        1
21761 hll5000dcupswrapper                	       0        1        0        0        1
21762 hll5000dlpr                        	       0        1        0        0        1
21763 hll5100dncupswrapper               	       0        1        0        0        1
21764 hll5100dnlpr                       	       0        1        0        0        1
21765 hll5200dwcupswrapper               	       0        1        0        0        1
21766 hll5200dwlpr                       	       0        1        0        0        1
21767 hll6200dwcupswrapper               	       0        1        0        0        1
21768 hll6200dwlpr                       	       0        1        0        0        1
21769 hll8250cdncupswrapper              	       0        4        0        0        4
21770 hll8250cdnlpr                      	       0        4        4        0        0
21771 hll8360cdwcupswrapper              	       0        2        0        0        2
21772 hll8360cdwlpr                      	       0        2        0        0        2
21773 hm                                 	       0        2        2        0        0
21774 hm-config                          	       0        2        0        0        2
21775 hm-doc                             	       0        2        0        0        2
21776 hm-highbitdepth                    	       0        2        2        0        0
21777 hmmer                              	       0        3        3        0        0
21778 hnb                                	       0        5        5        0        0
21779 hodie                              	       0        2        2        0        0
21780 hol88                              	       0        2        2        0        0
21781 hol88-help                         	       0        1        0        0        1
21782 holap                              	       0        1        1        0        0
21783 holdingnuts                        	       0        1        1        0        0
21784 holdingnuts-server                 	       0        1        1        0        0
21785 holes                              	       0        2        2        0        0
21786 hollywood                          	       0       18       18        0        0
21787 holotz-castle-data                 	       0        7        0        0        7
21788 holotz-castle-editor               	       0        1        1        0        0
21789 homebank                           	       0       14       13        1        0
21790 homebank-data                      	       0       14        0        0       14
21791 homesick                           	       0        1        1        0        0
21792 homm3-demo-data                    	       0        1        0        0        1
21793 hopenpgp-tools                     	       0        5        5        0        0
21794 horgand                            	       0        3        3        0        0
21795 horgand-data                       	       0        3        0        0        3
21796 horizon-eda                        	       0       12       12        0        0
21797 host                               	       0      305        0        0      305
21798 host-api                           	       0        1        1        0        0
21799 hostap-utils                       	       0        1        1        0        0
21800 hostfiles                          	       0        1        1        0        0
21801 hostminder                         	       0        1        1        0        0
21802 hostmot2-firmware-3x20-1           	       0        1        1        0        0
21803 hostmot2-firmware-4i65             	       0        1        1        0        0
21804 hostmot2-firmware-4i68             	       0        1        1        0        0
21805 hostmot2-firmware-5i20             	       0        1        1        0        0
21806 hostmot2-firmware-5i22-1           	       0        1        1        0        0
21807 hostmot2-firmware-5i22-1.5         	       0        1        1        0        0
21808 hostmot2-firmware-5i23             	       0        1        1        0        0
21809 hostmot2-firmware-7i43-2           	       0        1        1        0        0
21810 hostmot2-firmware-7i43-4           	       0        1        1        0        0
21811 hostmot2-firmware-all              	       0        1        0        0        1
21812 hoteldruid                         	       0        1        1        0        0
21813 hotkey-setup                       	       0        1        1        0        0
21814 hotkeys                            	       0        1        1        0        0
21815 hotspot                            	       0        4        4        0        0
21816 hotswap                            	       0        2        0        0        2
21817 hovercraft                         	       0        3        3        0        0
21818 howdoi                             	       0        2        2        0        0
21819 howdy                              	       0        1        1        0        0
21820 howm                               	       0        3        3        0        0
21821 hp-ams                             	       0        2        2        0        0
21822 hp-ppd                             	       0      207        0        0      207
21823 hp-scripting-tools                 	       0        1        1        0        0
21824 hp-smh-templates                   	       0        2        2        0        0
21825 hp2xx                              	       0       12       12        0        0
21826 hp48cc                             	       0        1        1        0        0
21827 hpacucli                           	       0        1        1        0        0
21828 hpanel                             	       0        2        2        0        0
21829 hpijs                              	       0       11        0        0       11
21830 hpijs-ppds                         	       0       51       46        2        3
21831 hping2                             	       0        2        2        0        0
21832 hplip-cups                         	       0        2        0        0        2
21833 hplip-doc                          	       0       36        0        0       36
21834 hponcfg                            	       0        3        3        0        0
21835 hprof-conv                         	       0       83       19        0       64
21836 hpsa-dkms                          	       0        1        1        0        0
21837 hpsdrconnector                     	       0        1        1        0        0
21838 hpsmh                              	       0        3        3        0        0
21839 hpssacli                           	       0        1        1        0        0
21840 hqx                                	       0        1        1        0        0
21841 hrd                                	       0        1        1        0        0
21842 hsa-amd-aqlprofile                 	       0        4        0        0        4
21843 hsa-ext-rocr-dev                   	       0        1        0        0        1
21844 hsa-rocr-dev                       	       0        9        7        1        1
21845 hsakmt-roct                        	       0        1        0        0        1
21846 hsakmt-roct-dev                    	       0        8        7        0        1
21847 hscolour                           	       0        4        4        0        0
21848 hsetroot                           	       0       26       25        1        0
21849 hsftp                              	       0        1        1        0        0
21850 hsmwiz                             	       0        1        1        0        0
21851 hspell                             	       0       15       15        0        0
21852 hspell-gui                         	       0        2        2        0        0
21853 hsqldb-utils                       	       0        2        2        0        0
21854 hstr                               	       0        1        1        0        0
21855 hsx2hs                             	       0        1        1        0        0
21856 ht                                 	       0       13       13        0        0
21857 htcheck                            	       0        1        1        0        0
21858 htcondor                           	       0        1        0        0        1
21859 htdig-doc                          	       0        6        2        0        4
21860 html-helper-mode                   	       0        1        1        0        0
21861 html-xml-utils                     	       0       11       11        0        0
21862 html2ps                            	       0       41       40        1        0
21863 htmldoc-common                     	       0       24        0        0       24
21864 htmlmin                            	       0        1        1        0        0
21865 htop-build-deps                    	       0        1        0        0        1
21866 htop-dbgsym                        	       0        1        1        0        0
21867 htp                                	       0        1        1        0        0
21868 hts-tvheadend                      	       0        1        0        0        1
21869 hts-voice-nitech-jp-atr503-m001    	       0        3        0        0        3
21870 htsengine                          	       0        1        1        0        0
21871 http-icons                         	       0        4        0        0        4
21872 httpcode                           	       0        1        1        0        0
21873 httpdirfs                          	       0        2        2        0        0
21874 httperf                            	       0        3        3        0        0
21875 httpfs2                            	       0        3        3        0        0
21876 httpry                             	       0        3        3        0        0
21877 https-keyscript                    	       0        2        2        0        0
21878 httptoolkit                        	       0        2        2        0        0
21879 httptunnel                         	       0        3        3        0        0
21880 httrack-doc                        	       0       15        0        0       15
21881 hub                                	       0        8        8        0        0
21882 hugin-data                         	       0       53        0        0       53
21883 hugo                               	       0       26       26        0        0
21884 hugs                               	       0       11        9        2        0
21885 huiontablet                        	       0        2        2        0        0
21886 huludesktop                        	       0        1        1        0        0
21887 human-icon-theme                   	       0        8        0        0        8
21888 human-theme                        	       0        1        0        0        1
21889 humanfriendly                      	       0        1        1        0        0
21890 humanity-colors                    	       0        3        0        0        3
21891 humanity-icon-theme                	       0        3        0        0        3
21892 hunspell-af                        	       0        6        0        0        6
21893 hunspell-an                        	       0        5        2        0        3
21894 hunspell-ar                        	       0        7        0        0        7
21895 hunspell-be                        	       0        9        9        0        0
21896 hunspell-bg                        	       0       10        0        0       10
21897 hunspell-bn                        	       0        3        0        0        3
21898 hunspell-bo                        	       0        3        3        0        0
21899 hunspell-br                        	       0        6        6        0        0
21900 hunspell-bs                        	       0        6        0        0        6
21901 hunspell-ca                        	       0       15       15        0        0
21902 hunspell-cs                        	       0       34        0        0       34
21903 hunspell-da                        	       0       14        0        0       14
21904 hunspell-de-at                     	       0      247      230       13        4
21905 hunspell-de-at-frami               	       0        8        0        0        8
21906 hunspell-de-ch                     	       0      251      234       13        4
21907 hunspell-de-ch-frami               	       0        5        0        0        5
21908 hunspell-de-de-frami               	       0       13        0        0       13
21909 hunspell-dz                        	       0        2        2        0        0
21910 hunspell-el                        	       0       25        0        0       25
21911 hunspell-en-au                     	       0       10       10        0        0
21912 hunspell-en-ca                     	       0       14       13        0        1
21913 hunspell-en-gb                     	       0      263        0        0      263
21914 hunspell-en-za                     	       0        6        0        0        6
21915 hunspell-eo                        	       0        1        0        0        1
21916 hunspell-es                        	       0       57        0        0       57
21917 hunspell-eu                        	       0        8        8        0        0
21918 hunspell-fr                        	       0      115        0        0      115
21919 hunspell-fr-classical              	       0      115        0        0      115
21920 hunspell-fr-comprehensive          	       0        6        0        0        6
21921 hunspell-fr-modern                 	       0        1        0        0        1
21922 hunspell-fr-revised                	       0        6        0        0        6
21923 hunspell-gd                        	       0        8        0        0        8
21924 hunspell-gl                        	       0        7        0        0        7
21925 hunspell-gl-es                     	       0        3        0        0        3
21926 hunspell-gu                        	       0        6        0        0        6
21927 hunspell-gug                       	       0        2        0        0        2
21928 hunspell-he                        	       0        7        0        0        7
21929 hunspell-hi                        	       0        6        0        0        6
21930 hunspell-hr                        	       0        9        0        0        9
21931 hunspell-hu                        	       0       33        0        0       33
21932 hunspell-id                        	       0        5        0        0        5
21933 hunspell-is                        	       0        8        0        0        8
21934 hunspell-it                        	       0      118        0        0      118
21935 hunspell-kk                        	       0        7        0        0        7
21936 hunspell-kmr                       	       0        4        0        0        4
21937 hunspell-ko                        	       0       14       14        0        0
21938 hunspell-lo                        	       0        3        0        0        3
21939 hunspell-lt                        	       0        7        0        0        7
21940 hunspell-lv                        	       0        8        8        0        0
21941 hunspell-ml                        	       0        2        0        0        2
21942 hunspell-mn                        	       0        2        0        0        2
21943 hunspell-ne                        	       0        6        0        0        6
21944 hunspell-nl                        	       0       30        0        0       30
21945 hunspell-no                        	       0       12        0        0       12
21946 hunspell-oc                        	       0        5        0        0        5
21947 hunspell-pl                        	       0       64        0        0       64
21948 hunspell-pt-br                     	       0       51        0        0       51
21949 hunspell-pt-pt                     	       0       11        0        0       11
21950 hunspell-ro                        	       0       24        0        0       24
21951 hunspell-ru                        	       0      166        0        0      166
21952 hunspell-se                        	       0        1        0        0        1
21953 hunspell-si                        	       0        6        0        0        6
21954 hunspell-sk                        	       0        9        0        0        9
21955 hunspell-sl                        	       0        7        0        0        7
21956 hunspell-sr                        	       0        7        0        0        7
21957 hunspell-sv                        	       0       30        0        0       30
21958 hunspell-sv-se                     	       0       14        0        0       14
21959 hunspell-sw                        	       0        3        0        0        3
21960 hunspell-te                        	       0        6        0        0        6
21961 hunspell-th                        	       0        7        0        0        7
21962 hunspell-tools                     	       0        5        5        0        0
21963 hunspell-tr                        	       0        9        0        0        9
21964 hunspell-uk                        	       0       16        0        0       16
21965 hunspell-uz                        	       0        7        0        0        7
21966 hunspell-vi                        	       0        7        0        0        7
21967 hurd-doc                           	       0        5        0        0        5
21968 hv3                                	       0       15       15        0        0
21969 hw-probe                           	       0       33       33        0        0
21970 hwb                                	       0        7        0        0        7
21971 hwdata                             	       0     1013        0        0     1013
21972 hwtools                            	       0        2        2        0        0
21973 hxtools                            	       0       13       12        1        0
21974 hy                                 	       0        2        0        0        2
21975 hydra-gtk                          	       0       16       16        0        0
21976 hydrapaper                         	       0        1        1        0        0
21977 hydrogen                           	       0       39       38        1        0
21978 hydrogen-data                      	       0       39        0        0       39
21979 hydrogen-doc                       	       0       38        0        0       38
21980 hydrogen-drumkits                  	       0       41        0        0       41
21981 hydrogen-drumkits-effects          	       0       15        0        0       15
21982 hydrogen-patterns                  	       0        1        0        0        1
21983 hyfetch                            	       0        2        2        0        0
21984 hyfetch-git-dummy-builddeps        	       0        1        0        0        1
21985 hyperestraier                      	       0        2        2        0        0
21986 hyperfine                          	       0        3        3        0        0
21987 hyperfine-musl                     	       0        1        1        0        0
21988 hyperion                           	       0        1        1        0        0
21989 hyperrogue-music                   	       0        9        0        0        9
21990 hyperspec                          	       0       10        0        0       10
21991 hyperv-daemons                     	       0        8        8        0        0
21992 hyphen-af                          	       0        1        0        0        1
21993 hyphen-as                          	       0        1        0        0        1
21994 hyphen-bg                          	       0        4        0        0        4
21995 hyphen-bn                          	       0        1        0        0        1
21996 hyphen-cs                          	       0        5        0        0        5
21997 hyphen-da                          	       0        1        0        0        1
21998 hyphen-de                          	       0      281        0        0      281
21999 hyphen-el                          	       0        1        0        0        1
22000 hyphen-en-gb                       	       0       34        0        0       34
22001 hyphen-en-us                       	       0     2469        0        0     2469
22002 hyphen-es                          	       0       29        0        0       29
22003 hyphen-fr                          	       0       27        0        0       27
22004 hyphen-hr                          	       0        3        0        0        3
22005 hyphen-hu                          	       0       15        0        0       15
22006 hyphen-is                          	       0        1        0        0        1
22007 hyphen-it                          	       0       23        0        0       23
22008 hyphen-kn                          	       0        1        0        0        1
22009 hyphen-lt                          	       0        3        0        0        3
22010 hyphen-lv                          	       0        3        0        0        3
22011 hyphen-mr                          	       0        1        0        0        1
22012 hyphen-nl                          	       0        7        0        0        7
22013 hyphen-pl                          	       0        6        0        0        6
22014 hyphen-pt-br                       	       0        1        0        0        1
22015 hyphen-pt-pt                       	       0        5        0        0        5
22016 hyphen-ro                          	       0        7        0        0        7
22017 hyphen-ru                          	       0       43        0        0       43
22018 hyphen-sh                          	       0        4        0        0        4
22019 hyphen-show                        	       0        3        3        0        0
22020 hyphen-sv                          	       0        2        0        0        2
22021 hyphen-ta                          	       0        1        0        0        1
22022 hyphen-uk                          	       0       13        0        0       13
22023 hyphen-zu                          	       0       15        0        0       15
22024 hyphy-common                       	       0        4        4        0        0
22025 hyphy-mpi                          	       0        4        4        0        0
22026 hypnotix                           	       0        7        7        0        0
22027 hyprcursor-util                    	       0        1        1        0        0
22028 hyprland                           	       0        2        2        0        0
22029 hyprland-protocols                 	       0        1        0        0        1
22030 hyprpaper                          	       0        1        1        0        0
22031 hyprwayland-scanner                	       0        1        1        0        0
22032 hyx                                	       0        2        2        0        0
22033 i18nspector                        	       0        2        2        0        0
22034 i2p                                	       0        8        7        1        0
22035 i2p-keyring                        	       0        6        0        0        6
22036 i2p-router                         	       0        8        7        1        0
22037 i2util-tools                       	       0        1        1        0        0
22038 i3                                 	       0      129        0        0      129
22039 i3-next-workspace                  	       0        1        1        0        0
22040 i3ipc-glib                         	       0        1        1        0        0
22041 i3lock-fancy                       	       0        6        6        0        0
22042 i3pystatus                         	       0        5        4        1        0
22043 i3xrocks-cpu-usage                 	       0        1        0        0        1
22044 i3xrocks-net-traffic               	       0        1        0        0        1
22045 i3xrocks-time                      	       0        1        0        0        1
22046 i8kutils                           	       0        6        6        0        0
22047 iaito                              	       0        1        1        0        0
22048 iamerican-huge                     	       0        8        8        0        0
22049 iamerican-insane                   	       0        7        7        0        0
22050 iamerican-large                    	       0        7        7        0        0
22051 iamerican-small                    	       0        5        5        0        0
22052 iasl                               	       0        2        0        0        2
22053 ibackup                            	       0        1        1        0        0
22054 ibam                               	       0       17       17        0        0
22055 ibm-iaccess                        	       0        3        3        0        0
22056 ibod                               	       0        1        1        0        0
22057 ibritish-huge                      	       0        8        8        0        0
22058 ibritish-insane                    	       0       17       17        0        0
22059 ibritish-large                     	       0        8        8        0        0
22060 ibritish-small                     	       0        6        6        0        0
22061 ibulgarian                         	       0        7        7        0        0
22062 ibus-anthy                         	       0       15       15        0        0
22063 ibus-array                         	       0        1        0        0        1
22064 ibus-clutter                       	       0       62        0        0       62
22065 ibus-doc                           	       0        6        0        0        6
22066 ibus-input-pad                     	       0        1        0        0        1
22067 ibus-keyman                        	       0        1        0        0        1
22068 ibus-kkc                           	       0        4        1        0        3
22069 ibus-kmfl                          	       0        1        0        0        1
22070 ibus-libpinyin                     	       0        5        2        0        3
22071 ibus-m17n                          	       0       12        3        0        9
22072 ibus-pinyin                        	       0        1        1        0        0
22073 ibus-qt4                           	       0        3        0        0        3
22074 ibus-rime                          	       0        3        3        0        0
22075 ibus-sunpinyin                     	       0        4        1        0        3
22076 ibus-table                         	       0        9        9        0        0
22077 ibus-table-array30                 	       0        2        0        0        2
22078 ibus-table-cangjie                 	       0        2        0        0        2
22079 ibus-table-cangjie-big             	       0        2        0        0        2
22080 ibus-table-cangjie3                	       0        2        0        0        2
22081 ibus-table-cangjie5                	       0        2        0        0        2
22082 ibus-table-cantonese               	       0        2        0        0        2
22083 ibus-table-cantonhk                	       0        2        0        0        2
22084 ibus-table-cns11643                	       0        2        0        0        2
22085 ibus-table-compose                 	       0        3        0        0        3
22086 ibus-table-easy                    	       0        2        0        0        2
22087 ibus-table-easy-big                	       0        2        0        0        2
22088 ibus-table-emoji                   	       0        6        0        0        6
22089 ibus-table-erbi                    	       0        2        0        0        2
22090 ibus-table-erbi-qs                 	       0        2        0        0        2
22091 ibus-table-extraphrase             	       0        2        0        0        2
22092 ibus-table-ipa-x-sampa             	       0        2        0        0        2
22093 ibus-table-jyutping                	       0        2        0        0        2
22094 ibus-table-latex                   	       0        3        0        0        3
22095 ibus-table-old-hungarian-rovas     	       0        2        0        0        2
22096 ibus-table-quick                   	       0        3        0        0        3
22097 ibus-table-quick-classic           	       0        3        0        0        3
22098 ibus-table-quick3                  	       0        3        0        0        3
22099 ibus-table-quick5                  	       0        3        0        0        3
22100 ibus-table-rustrad                 	       0        2        0        0        2
22101 ibus-table-scj6                    	       0        2        0        0        2
22102 ibus-table-stroke5                 	       0        2        0        0        2
22103 ibus-table-telex                   	       0        1        0        0        1
22104 ibus-table-thai                    	       0        2        0        0        2
22105 ibus-table-translit                	       0        2        0        0        2
22106 ibus-table-translit-ua             	       0        2        0        0        2
22107 ibus-table-viqr                    	       0        2        0        0        2
22108 ibus-table-vni                     	       0        1        0        0        1
22109 ibus-table-wu                      	       0        2        0        0        2
22110 ibus-table-wubi                    	       0        2        0        0        2
22111 ibus-table-yawerty                 	       0        2        0        0        2
22112 ibus-table-yong                    	       0        2        0        0        2
22113 ibus-tegaki                        	       0        1        1        0        0
22114 ibus-typing-booster                	       0        3        3        0        0
22115 ibus-unikey                        	       0        1        1        0        0
22116 ibus-wayland                       	       0        4        0        0        4
22117 ibutils                            	       0        3        3        0        0
22118 ibverbs-providers                  	       0      973        0        0      973
22119 ibverbs-utils                      	       0        1        1        0        0
22120 ical2html                          	       0        1        1        0        0
22121 icatalan                           	       0        7        7        0        0
22122 icc-profiles                       	       0       37        0        0       37
22123 icc-profiles-free                  	       0      301        0        0      301
22124 icc-utils                          	       0        1        0        0        1
22125 icdiff                             	       0        4        3        1        0
22126 ice                                	       0        1        1        0        0
22127 iceape                             	       0        3        3        0        0
22128 iceape-browser                     	       0        3        0        0        3
22129 iceape-chatzilla                   	       0        2        2        0        0
22130 iceape-dom-inspector               	       0        1        0        0        1
22131 icebreaker                         	       0        5        5        0        0
22132 icecat-l10n-de                     	       0        1        1        0        0
22133 icecat-l10n-es-es                  	       0        1        1        0        0
22134 icecc-monitor                      	       0        3        3        0        0
22135 icecream                           	       0        1        1        0        0
22136 icecream-sundae                    	       0        1        1        0        0
22137 icedove-l10n-de                    	       0        4        0        0        4
22138 icedove-l10n-en-gb                 	       0        1        0        0        1
22139 icedtea-7-plugin                   	       0        1        0        0        1
22140 icedtea-8-plugin                   	       0       16        0        0       16
22141 icedtea-netx                       	       0      118       94        0       24
22142 icedtea-netx-common                	       0       35        0        0       35
22143 iceowl-extension                   	       0        8        1        0        7
22144 iceowl-l10n-de                     	       0        1        0        0        1
22145 ices2                              	       0        6        6        0        0
22146 iceweasel-l10n-cs                  	       0        1        0        0        1
22147 iceweasel-l10n-de                  	       0        5        0        0        5
22148 iceweasel-l10n-en-gb               	       0        3        0        0        3
22149 iceweasel-l10n-es-ar               	       0        1        0        0        1
22150 iceweasel-l10n-es-cl               	       0        1        0        0        1
22151 iceweasel-l10n-es-es               	       0        2        0        0        2
22152 iceweasel-l10n-es-mx               	       0        1        0        0        1
22153 iceweasel-l10n-fr                  	       0        3        0        0        3
22154 iceweasel-l10n-it                  	       0        1        0        0        1
22155 iceweasel-l10n-ja                  	       0        1        0        0        1
22156 iceweasel-l10n-pl                  	       0        1        0        0        1
22157 iceweasel-l10n-ru                  	       0        2        0        0        2
22158 iceweasel-l10n-sk                  	       0        1        0        0        1
22159 iceweasel-l10n-tr                  	       0        1        0        0        1
22160 iceweasel-uxp                      	       0        2        2        0        0
22161 icewm-experimental                 	       0        7        6        1        0
22162 icewm-lite                         	       0        6        5        1        0
22163 icewm-themes                       	       0        3        0        0        3
22164 icheck                             	       0        1        1        0        0
22165 icinga                             	       0        4        0        0        4
22166 icinga-archive-keyring             	       0       14        0        0       14
22167 icinga-cgi                         	       0        5        0        0        5
22168 icinga-common                      	       0        5        0        0        5
22169 icinga-cube                        	       0        1        0        0        1
22170 icinga-doc                         	       0        5        0        0        5
22171 icinga-graphite                    	       0        1        0        0        1
22172 icinga-l10n                        	       0        1        0        0        1
22173 icinga-web                         	       0        1        1        0        0
22174 icinga-web-pnp                     	       0        1        0        0        1
22175 icinga-x509                        	       0        1        0        0        1
22176 icinga-x509-web                    	       0        1        0        0        1
22177 icinga2                            	       0       48        0        0       48
22178 icinga2-doc                        	       0       28        0        0       28
22179 icinga2-studio                     	       0        1        1        0        0
22180 icingaweb2-common                  	       0        6        5        0        1
22181 icingaweb2-module-boxydash         	       0        2        2        0        0
22182 icingaweb2-module-cube             	       0        2        2        0        0
22183 icingaweb2-module-director         	       0        1        1        0        0
22184 icingaweb2-module-doc              	       0        5        5        0        0
22185 icingaweb2-module-idoreports       	       0        2        2        0        0
22186 icingaweb2-module-incubator        	       0        1        1        0        0
22187 icingaweb2-module-map              	       0        2        2        0        0
22188 icingaweb2-module-monitoring       	       0        5        5        0        0
22189 icingaweb2-module-pdfexport        	       0        2        2        0        0
22190 icingaweb2-module-pnp              	       0        1        1        0        0
22191 icingaweb2-module-reactbundle      	       0        1        1        0        0
22192 icingaweb2-module-recommended      	       0        2        0        0        2
22193 icingaweb2-module-reporting        	       0        2        2        0        0
22194 icingaweb2-module-statusmap        	       0        2        2        0        0
22195 icli                               	       0        2        2        0        0
22196 icmake                             	       0        1        1        0        0
22197 icmptx                             	       0        1        1        0        0
22198 icnsutils                          	       0        3        3        0        0
22199 icoextract                         	       0        4        3        1        0
22200 icoextract-thumbnailer             	       0       13       11        2        0
22201 icom                               	       0        6        6        0        0
22202 icon-ipl                           	       0        1        1        0        0
22203 icon-naming-utils                  	       0        3        0        0        3
22204 icon-slicer                        	       0        1        1        0        0
22205 icont                              	       0        1        1        0        0
22206 iconx                              	       0       13       13        0        0
22207 icu-doc                            	       0       11        0        0       11
22208 iczech                             	       0        6        6        0        0
22209 id-utils                           	       0        5        5        0        0
22210 id3                                	       0      253      233       20        0
22211 id3ed                              	       0        1        1        0        0
22212 id3ren                             	       0        7        7        0        0
22213 id3v2                              	       0       71       71        0        0
22214 idanish                            	       0        7        7        0        0
22215 ideamaker                          	       0        1        0        0        1
22216 idecrypt                           	       0        1        1        0        0
22217 ident2                             	       0        5        5        0        0
22218 ideviceactivation                  	       0        1        1        0        0
22219 ideviceinstaller                   	       0       15       15        0        0
22220 idevicerestore                     	       0        8        8        0        0
22221 idle-python2.7                     	       0        5        5        0        0
22222 idle-python3.10                    	       0        1        1        0        0
22223 idle-python3.12                    	       0        6        6        0        0
22224 idle-python3.13                    	       0        1        1        0        0
22225 idle-python3.5                     	       0        2        2        0        0
22226 idle-python3.9                     	       0        8        7        1        0
22227 idle3                              	       0       13        1        0       12
22228 idle3-tools                        	       0       13       13        0        0
22229 idlestat                           	       0        3        3        0        0
22230 idn                                	       0        6        6        0        0
22231 idn2                               	       0       11       11        0        0
22232 idutch                             	       0       28       28        0        0
22233 idzebra-2.0                        	       0        2        0        0        2
22234 idzebra-2.0-common                 	       0        2        0        0        2
22235 idzebra-2.0-doc                    	       0        2        0        0        2
22236 iem-plugin-suite-standalone        	       0        2        2        0        0
22237 iem-plugin-suite-vst               	       0        2        0        0        2
22238 iesperanto                         	       0        3        3        0        0
22239 iestonian                          	       0        2        2        0        0
22240 ietf2bibtex                        	       0        3        2        1        0
22241 ifcico                             	       0        2        2        0        0
22242 ifcopenshell                       	       0        1        1        0        0
22243 ifcplugin                          	       0        2        2        0        0
22244 ifcplusplus                        	       0        1        1        0        0
22245 ifenslave                          	       0       57       55        1        1
22246 ifenslave-2.6                      	       0        2        0        0        2
22247 ifgate                             	       0        1        1        0        0
22248 ifhp                               	       0        3        3        0        0
22249 ifmail                             	       0        2        2        0        0
22250 ifp-line-libifp                    	       0        2        2        0        0
22251 ifrench                            	       0        3        3        0        0
22252 ifscheme                           	       0        1        1        0        0
22253 ifstat                             	       0       27       27        0        0
22254 ifupdown-multi                     	       0        2        0        0        2
22255 ifuse                              	       0       54       53        1        0
22256 igaelic                            	       0        2        2        0        0
22257 igal2                              	       0        2        2        0        0
22258 igalician-minimos                  	       0        1        1        0        0
22259 igf-vracip                         	       0        1        1        0        0
22260 ignore-me                          	       0        1        1        0        0
22261 ihungarian                         	       0       19       19        0        0
22262 ii                                 	       0        3        3        0        0
22263 ii-esu                             	       0        1        1        0        0
22264 iii                                	       0        1        1        0        0
22265 iirish                             	       0        6        6        0        0
22266 iitalian                           	       0      124      120        4        0
22267 ijsgutenprint                      	       0       19       19        0        0
22268 ikiwiki                            	       0        5        5        0        0
22269 ilisp                              	       0        3        3        0        0
22270 ilisp-doc                          	       0        3        0        0        3
22271 ilithuanian                        	       0        5        5        0        0
22272 ilorest                            	       0        1        1        0        0
22273 im                                 	       0        1        1        0        0
22274 im-switch                          	       0        1        1        0        0
22275 ima-adpcm                          	       0        1        1        0        0
22276 image-factory                      	       0        1        1        0        0
22277 image-transport-tools              	       0        1        1        0        0
22278 imagej                             	       0        8        8        0        0
22279 imagemagick-6-common               	       0     3168        0        0     3168
22280 imagemagick-6-doc                  	       0       59        0        0       59
22281 imagemagick-6.q16hdri              	       0        6        6        0        0
22282 imagemagick-7-common               	       0      141        0        0      141
22283 imagemagick-7-doc                  	       0        2        0        0        2
22284 imagemagick-common                 	       0       68        0        0       68
22285 imagemagick-doc                    	       0       40        0        0       40
22286 imagescan                          	       0        4        4        0        0
22287 imagescan-plugin-gt-s650           	       0        4        0        0        4
22288 imagescan-plugin-networkscan       	       0        4        4        0        0
22289 imagescan-plugin-ocr-engine        	       0        4        0        0        4
22290 imagevis3d                         	       0        1        1        0        0
22291 imageworsener                      	       0        1        1        0        0
22292 imagewriter                        	       0        1        1        0        0
22293 imagination                        	       0       10        9        1        0
22294 imagination-common                 	       0       11        0        0       11
22295 imanx                              	       0        1        1        0        0
22296 imapcopy                           	       0        4        4        0        0
22297 imapfilter                         	       0        5        5        0        0
22298 imapproxy                          	       0        1        1        0        0
22299 imapsync                           	       0        2        2        0        0
22300 imediff                            	       0        6        6        0        0
22301 imediff2                           	       0        1        1        0        0
22302 img-pvr-rogue                      	       0        1        1        0        0
22303 imgp                               	       0        1        1        0        0
22304 imgsizer                           	       0        1        1        0        0
22305 imgtxtenh                          	       0        1        1        0        0
22306 imgui-build-deps                   	       0        1        0        0        1
22307 imhangul-common                    	       0        1        0        0        1
22308 imhangul-gtk3                      	       0        1        0        0        1
22309 imhex                              	       0        1        1        0        0
22310 imlib-base                         	       0       27       27        0        0
22311 imlib11                            	       0       26        0        0       26
22312 imlib11-dev                        	       0        1        1        0        0
22313 imlib2                             	       0        1        0        0        1
22314 immagini                           	       0        1        0        0        1
22315 impass                             	       0        2        2        0        0
22316 impose+                            	       0        7        7        0        0
22317 impressive                         	       0        7        7        0        0
22318 impressive-display                 	       0        1        1        0        0
22319 imthreshold                        	       0        1        1        0        0
22320 imv                                	       0       19       19        0        0
22321 imview                             	       0       18       18        0        0
22322 imview-doc                         	       0        1        0        0        1
22323 imwheel                            	       0       19       19        0        0
22324 imx-usb-loader                     	       0        2        2        0        0
22325 in-toto                            	       0        1        1        0        0
22326 inadyn                             	       0        6        6        0        0
22327 inav-configurator                  	       0        1        0        0        1
22328 include-gardener-build-deps        	       0        1        0        0        1
22329 incus-agent                        	       0        6        5        1        0
22330 incus-base                         	       0        1        1        0        0
22331 incus-extra                        	       0        1        1        0        0
22332 incus-migrate                      	       0        1        1        0        0
22333 incus-tools                        	       0        2        2        0        0
22334 incus-ui-canonical                 	       0        1        1        0        0
22335 indent-doc                         	       0        7        0        0        7
22336 indi-bin                           	       0       29       27        2        0
22337 indi-trinity                       	       0       23       23        0        0
22338 indi-webcam                        	       0        1        1        0        0
22339 indi-weewx-json                    	       0        1        1        0        0
22340 indicator-application              	       0        2        2        0        0
22341 indicator-cpufreq                  	       0        1        1        0        0
22342 indicator-sensors                  	       0       11       10        1        0
22343 indicator-sensors-build-deps       	       0        1        0        0        1
22344 indicator-sensors-dbgsym           	       0        1        1        0        0
22345 indicator-updatemanager            	       0        1        1        0        0
22346 indigo                             	       0        1        1        0        0
22347 indigo-control-panel               	       0        1        1        0        0
22348 industrial-cursor-theme            	       0        2        0        0        2
22349 industrial-icon-theme              	       0        1        0        0        1
22350 inetsim                            	       0        1        1        0        0
22351 inetutils-ftpd                     	       0        4        4        0        0
22352 inetutils-ping                     	       0        7        7        0        0
22353 inetutils-talkd                    	       0        2        2        0        0
22354 infamous-plugins                   	       0        1        1        0        0
22355 infernal                           	       0        4        4        0        0
22356 infiniband-diags                   	       0        4        4        0        0
22357 infinit                            	       0        1        1        0        0
22358 influxdb2                          	       0        1        1        0        0
22359 influxdb2-cli                      	       0        1        1        0        0
22360 info2man                           	       0        6        6        0        0
22361 infonotary-client-software         	       0        1        1        0        0
22362 inform                             	       0        2        2        0        0
22363 inform-docs                        	       0        1        0        0        1
22364 inform-mode                        	       0        3        1        0        2
22365 inform6-compiler                   	       0        3        3        0        0
22366 inform6-library                    	       0        2        2        0        0
22367 inform7-ide                        	       0        2        2        0        0
22368 init                               	       0     4153        0        0     4153
22369 init-compat                        	       0        1        0        0        1
22370 initng                             	       0        1        1        0        0
22371 ink-generator                      	       0        8        0        0        8
22372 inkscape-build-deps                	       0        1        0        0        1
22373 inkscape-open-symbols              	       0       48        0        0       48
22374 inkscape-speleo                    	       0        9        0        0        9
22375 inkscape-survex-export             	       0        5        0        0        5
22376 inkscape-textext                   	       0       18        0        0       18
22377 inkscape-textext-doc               	       0        5        0        0        5
22378 inkscape-tutorials                 	       0       58        0        0       58
22379 inkstitch                          	       0        2        2        0        0
22380 inn                                	       0        2        2        0        0
22381 inn2-dev                           	       0        3        3        0        0
22382 ino-headers                        	       0        2        2        0        0
22383 ino-headers-doc                    	       0        1        0        0        1
22384 inorwegian                         	       0       44       41        3        0
22385 inotail                            	       0        2        2        0        0
22386 inotify-hookable                   	       0        3        3        0        0
22387 input-remapper                     	       0        3        1        0        2
22388 input-remapper-daemon              	       0        3        3        0        0
22389 input-remapper-gtk                 	       0        3        3        0        0
22390 inputlirc                          	       0        4        4        0        0
22391 inputplug                          	       0        3        3        0        0
22392 insomnia                           	       0        6        6        0        0
22393 insomnium                          	       0        1        1        0        0
22394 inspectrum                         	       0        6        6        0        0
22395 install-doc                        	       0        1        0        0        1
22396 install-mimic                      	       0        1        1        0        0
22397 installation-birthday              	       0        2        2        0        0
22398 installation-guide-amd64           	       0       10        0        0       10
22399 installation-guide-arm64           	       0        1        0        0        1
22400 installation-guide-armel           	       0        1        0        0        1
22401 installation-guide-armhf           	       0        1        0        0        1
22402 installation-guide-i386            	       0        3        0        0        3
22403 installation-guide-kfreebsd-amd64  	       0        1        0        0        1
22404 installation-guide-mips            	       0        1        0        0        1
22405 installation-guide-mips64el        	       0        1        0        0        1
22406 installation-guide-mipsel          	       0        2        0        0        2
22407 installation-guide-ppc64el         	       0        1        0        0        1
22408 installation-guide-s390x           	       0        1        0        0        1
22409 installwatch                       	       0        1        1        0        0
22410 instead-data                       	       0        7        0        0        7
22411 insync                             	       0        2        2        0        0
22412 insync-dolphin                     	       0        1        0        0        1
22413 insync-nemo                        	       0        1        0        0        1
22414 int-fiction                        	       0        1        1        0        0
22415 int-fiction-installer              	       0        1        1        0        0
22416 intef-exe-appimage                 	       0        4        3        1        0
22417 intel-acm                          	       0        2        0        0        2
22418 intel-basekit                      	       0        2        0        0        2
22419 intel-basekit-env-2024.0           	       0        1        0        0        1
22420 intel-basekit-env-2024.1           	       0        1        0        0        1
22421 intel-basekit-getting-started-2024.0	       0        1        0        0        1
22422 intel-basekit-getting-started-2024.1	       0        1        0        0        1
22423 intel-cmt-cat                      	       0       12       12        0        0
22424 intel-comp-l-all-vars-19.1.0-166   	       0        1        1        0        0
22425 intel-comp-nomcu-vars-19.1.0-166   	       0        1        1        0        0
22426 intel-conda-index-tool-19.1.0-166  	       0        1        1        0        0
22427 intel-conda-intel-openmp-linux-64-shadow-package-19.1.0-166	       0        1        0        0        1
22428 intel-conda-mkl-devel-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
22429 intel-conda-mkl-include-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
22430 intel-conda-mkl-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
22431 intel-conda-mkl-static-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
22432 intel-conda-tbb-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
22433 intel-gmmlib                       	       0        1        0        0        1
22434 intel-hdcp                         	       0        4        4        0        0
22435 intel-hpckit                       	       0        1        0        0        1
22436 intel-hpckit-env-2024.0            	       0        1        0        0        1
22437 intel-hpckit-env-2024.1            	       0        1        0        0        1
22438 intel-hpckit-getting-started-2024.0	       0        1        0        0        1
22439 intel-hpckit-getting-started-2024.1	       0        1        0        0        1
22440 intel-igc-core                     	       0        1        1        0        0
22441 intel-igc-core-2                   	       0        1        1        0        0
22442 intel-igc-opencl                   	       0        1        0        0        1
22443 intel-igc-opencl-2                 	       0        1        0        0        1
22444 intel-level-zero-gpu               	       0        2        0        0        2
22445 intel-mkl                          	       0        2        0        0        2
22446 intel-mkl-64bit-2020.0-088         	       0        1        0        0        1
22447 intel-mkl-cluster-2020.0-166       	       0        1        1        0        0
22448 intel-mkl-cluster-c-2020.0-166     	       0        1        0        0        1
22449 intel-mkl-cluster-f-2020.0-166     	       0        1        0        0        1
22450 intel-mkl-cluster-rt-2020.0-166    	       0        1        1        0        0
22451 intel-mkl-common-2020.0-166        	       0        1        1        0        0
22452 intel-mkl-common-c-2020.0-166      	       0        1        1        0        0
22453 intel-mkl-common-c-ps-2020.0-166   	       0        1        1        0        0
22454 intel-mkl-common-f-2020.0-166      	       0        1        0        0        1
22455 intel-mkl-common-ps-2020.0-166     	       0        1        1        0        0
22456 intel-mkl-core-2020.0-166          	       0        1        1        0        0
22457 intel-mkl-core-c-2020.0-166        	       0        1        0        0        1
22458 intel-mkl-core-f-2020.0-166        	       0        1        0        0        1
22459 intel-mkl-core-ps-2020.0-166       	       0        1        1        0        0
22460 intel-mkl-core-rt-2020.0-166       	       0        1        1        0        0
22461 intel-mkl-doc-2020                 	       0        1        0        0        1
22462 intel-mkl-doc-ps-2020              	       0        1        0        0        1
22463 intel-mkl-f95-2020.0-166           	       0        1        1        0        0
22464 intel-mkl-f95-common-2020.0-166    	       0        1        0        0        1
22465 intel-mkl-gnu-2020.0-166           	       0        1        1        0        0
22466 intel-mkl-gnu-c-2020.0-166         	       0        1        0        0        1
22467 intel-mkl-gnu-f-2020.0-166         	       0        1        1        0        0
22468 intel-mkl-gnu-f-rt-2020.0-166      	       0        1        1        0        0
22469 intel-mkl-gnu-rt-2020.0-166        	       0        1        1        0        0
22470 intel-mkl-pgi-2020.0-166           	       0        1        1        0        0
22471 intel-mkl-pgi-c-2020.0-166         	       0        1        0        0        1
22472 intel-mkl-pgi-rt-2020.0-166        	       0        1        1        0        0
22473 intel-mkl-psxe-2020.0-088          	       0        1        0        0        1
22474 intel-mkl-tbb-2020.0-166           	       0        1        1        0        0
22475 intel-mkl-tbb-rt-2020.0-166        	       0        1        1        0        0
22476 intel-ocloc                        	       0        1        1        0        0
22477 intel-oneapi-advisor               	       0        3        3        0        0
22478 intel-oneapi-base-toolkit          	       0        1        0        0        1
22479 intel-oneapi-base-toolkit-env-2025.0	       0        1        0        0        1
22480 intel-oneapi-base-toolkit-getting-started-2025.0	       0        1        0        0        1
22481 intel-oneapi-ccl-2021.11           	       0        1        1        0        0
22482 intel-oneapi-ccl-2021.12           	       0        1        1        0        0
22483 intel-oneapi-ccl-2021.14           	       0        1        1        0        0
22484 intel-oneapi-ccl-devel             	       0        2        0        0        2
22485 intel-oneapi-ccl-devel-2021.11     	       0        1        1        0        0
22486 intel-oneapi-ccl-devel-2021.12     	       0        1        1        0        0
22487 intel-oneapi-ccl-devel-2021.14     	       0        1        1        0        0
22488 intel-oneapi-common-licensing      	       0        2        0        0        2
22489 intel-oneapi-common-licensing-2023.2.0	       0        1        0        0        1
22490 intel-oneapi-common-licensing-2024.0	       0        1        0        0        1
22491 intel-oneapi-common-licensing-2024.1	       0        1        0        0        1
22492 intel-oneapi-common-licensing-2024.2	       0        3        0        0        3
22493 intel-oneapi-common-licensing-2025.0	       0        2        0        0        2
22494 intel-oneapi-common-oneapi-vars    	       0        2        0        0        2
22495 intel-oneapi-common-oneapi-vars-2024.0	       0        1        0        0        1
22496 intel-oneapi-common-oneapi-vars-2024.1	       0        1        0        0        1
22497 intel-oneapi-common-oneapi-vars-2024.2	       0        3        0        0        3
22498 intel-oneapi-common-oneapi-vars-2025.0	       0        2        0        0        2
22499 intel-oneapi-common-vars           	       0        5        0        0        5
22500 intel-oneapi-compiler-cpp-eclipse-cfg-2024.0	       0        1        0        0        1
22501 intel-oneapi-compiler-cpp-eclipse-cfg-2024.1	       0        1        0        0        1
22502 intel-oneapi-compiler-cpp-eclipse-cfg-2025.0	       0        1        0        0        1
22503 intel-oneapi-compiler-dpcpp-cpp    	       0        2        0        0        2
22504 intel-oneapi-compiler-dpcpp-cpp-2024.0	       0        1        0        0        1
22505 intel-oneapi-compiler-dpcpp-cpp-2024.1	       0        1        0        0        1
22506 intel-oneapi-compiler-dpcpp-cpp-2025.0	       0        1        0        0        1
22507 intel-oneapi-compiler-dpcpp-cpp-common-2024.0	       0        1        1        0        0
22508 intel-oneapi-compiler-dpcpp-cpp-common-2024.1	       0        1        1        0        0
22509 intel-oneapi-compiler-dpcpp-cpp-common-2025.0	       0        1        1        0        0
22510 intel-oneapi-compiler-dpcpp-cpp-runtime-2023.2.0	       0        1        1        0        0
22511 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.0	       0        1        0        0        1
22512 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.1	       0        1        0        0        1
22513 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.2	       0        2        0        0        2
22514 intel-oneapi-compiler-dpcpp-cpp-runtime-2025.0	       0        2        0        0        2
22515 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.0	       0        1        0        0        1
22516 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.1	       0        1        0        0        1
22517 intel-oneapi-compiler-dpcpp-eclipse-cfg-2025.0	       0        1        0        0        1
22518 intel-oneapi-compiler-fortran-2024.0	       0        1        1        0        0
22519 intel-oneapi-compiler-fortran-2024.1	       0        1        1        0        0
22520 intel-oneapi-compiler-fortran-common-2024.0	       0        1        1        0        0
22521 intel-oneapi-compiler-fortran-common-2024.1	       0        1        1        0        0
22522 intel-oneapi-compiler-fortran-runtime-2024.0	       0        1        0        0        1
22523 intel-oneapi-compiler-fortran-runtime-2024.1	       0        1        0        0        1
22524 intel-oneapi-compiler-shared-2024.0	       0        1        1        0        0
22525 intel-oneapi-compiler-shared-2024.1	       0        1        1        0        0
22526 intel-oneapi-compiler-shared-2025.0	       0        1        1        0        0
22527 intel-oneapi-compiler-shared-common-2024.0	       0        1        1        0        0
22528 intel-oneapi-compiler-shared-common-2024.1	       0        1        1        0        0
22529 intel-oneapi-compiler-shared-common-2025.0	       0        1        1        0        0
22530 intel-oneapi-compiler-shared-runtime-2023.2.0	       0        1        1        0        0
22531 intel-oneapi-compiler-shared-runtime-2024.0	       0        1        1        0        0
22532 intel-oneapi-compiler-shared-runtime-2024.1	       0        1        1        0        0
22533 intel-oneapi-compiler-shared-runtime-2024.2	       0        2        0        0        2
22534 intel-oneapi-compiler-shared-runtime-2025.0	       0        2        0        0        2
22535 intel-oneapi-condaindex            	       0        1        1        0        0
22536 intel-oneapi-dal-2024.0            	       0        1        0        0        1
22537 intel-oneapi-dal-2024.2            	       0        1        0        0        1
22538 intel-oneapi-dal-2024.6            	       0        1        0        0        1
22539 intel-oneapi-dal-2025.0            	       0        1        0        0        1
22540 intel-oneapi-dal-common-2024.0     	       0        1        0        0        1
22541 intel-oneapi-dal-common-2024.2     	       0        1        0        0        1
22542 intel-oneapi-dal-common-2024.6     	       0        1        0        0        1
22543 intel-oneapi-dal-common-2025.0     	       0        1        0        0        1
22544 intel-oneapi-dal-common-devel-2024.0	       0        1        1        0        0
22545 intel-oneapi-dal-common-devel-2024.2	       0        1        1        0        0
22546 intel-oneapi-dal-common-devel-2024.6	       0        1        1        0        0
22547 intel-oneapi-dal-common-devel-2025.0	       0        1        1        0        0
22548 intel-oneapi-dal-devel             	       0        2        0        0        2
22549 intel-oneapi-dal-devel-2024.0      	       0        1        1        0        0
22550 intel-oneapi-dal-devel-2024.2      	       0        1        1        0        0
22551 intel-oneapi-dal-devel-2024.6      	       0        1        1        0        0
22552 intel-oneapi-dal-devel-2025.0      	       0        1        1        0        0
22553 intel-oneapi-dev-utilities         	       0        2        0        0        2
22554 intel-oneapi-dev-utilities-2024.0  	       0        1        1        0        0
22555 intel-oneapi-dev-utilities-2024.1  	       0        1        1        0        0
22556 intel-oneapi-dev-utilities-2025.0  	       0        1        1        0        0
22557 intel-oneapi-dev-utilities-eclipse-cfg-2024.0	       0        1        0        0        1
22558 intel-oneapi-dev-utilities-eclipse-cfg-2024.1	       0        1        0        0        1
22559 intel-oneapi-dev-utilities-eclipse-cfg-2025.0	       0        1        0        0        1
22560 intel-oneapi-diagnostics-utility   	       0        1        0        0        1
22561 intel-oneapi-diagnostics-utility-2024.0	       0        1        1        0        0
22562 intel-oneapi-diagnostics-utility-2024.1	       0        1        1        0        0
22563 intel-oneapi-dnnl                  	       0        2        0        0        2
22564 intel-oneapi-dnnl-2024.0           	       0        1        0        0        1
22565 intel-oneapi-dnnl-2024.1           	       0        1        0        0        1
22566 intel-oneapi-dnnl-2025.0           	       0        1        0        0        1
22567 intel-oneapi-dnnl-devel            	       0        2        0        0        2
22568 intel-oneapi-dnnl-devel-2024.0     	       0        1        1        0        0
22569 intel-oneapi-dnnl-devel-2024.1     	       0        1        1        0        0
22570 intel-oneapi-dnnl-devel-2025.0     	       0        1        1        0        0
22571 intel-oneapi-dpcpp-cpp-2024.0      	       0        1        1        0        0
22572 intel-oneapi-dpcpp-cpp-2024.1      	       0        1        1        0        0
22573 intel-oneapi-dpcpp-cpp-2025.0      	       0        1        1        0        0
22574 intel-oneapi-dpcpp-ct              	       0        2        0        0        2
22575 intel-oneapi-dpcpp-ct-2024.0       	       0        1        1        0        0
22576 intel-oneapi-dpcpp-ct-2024.1       	       0        1        1        0        0
22577 intel-oneapi-dpcpp-ct-2025.0       	       0        1        1        0        0
22578 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.0	       0        1        0        0        1
22579 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.1	       0        1        0        0        1
22580 intel-oneapi-dpcpp-ct-eclipse-cfg-2025.0	       0        1        0        0        1
22581 intel-oneapi-dpcpp-debugger-2024.0 	       0        1        1        0        0
22582 intel-oneapi-dpcpp-debugger-2024.1 	       0        1        1        0        0
22583 intel-oneapi-dpcpp-debugger-2025.0 	       0        1        1        0        0
22584 intel-oneapi-icc-eclipse-plugin-cpp-2024.0	       0        1        0        0        1
22585 intel-oneapi-icc-eclipse-plugin-cpp-2024.1	       0        1        0        0        1
22586 intel-oneapi-icc-eclipse-plugin-cpp-2025.0	       0        1        0        0        1
22587 intel-oneapi-inspector             	       0        1        1        0        0
22588 intel-oneapi-ipp-2021.10           	       0        1        0        0        1
22589 intel-oneapi-ipp-2021.11           	       0        1        0        0        1
22590 intel-oneapi-ipp-2022.0            	       0        1        0        0        1
22591 intel-oneapi-ipp-common-2021.10    	       0        1        0        0        1
22592 intel-oneapi-ipp-common-2021.11    	       0        1        0        0        1
22593 intel-oneapi-ipp-common-devel-2021.10	       0        1        1        0        0
22594 intel-oneapi-ipp-common-devel-2021.11	       0        1        1        0        0
22595 intel-oneapi-ipp-devel             	       0        2        0        0        2
22596 intel-oneapi-ipp-devel-2021.10     	       0        1        1        0        0
22597 intel-oneapi-ipp-devel-2021.11     	       0        1        1        0        0
22598 intel-oneapi-ipp-devel-2022.0      	       0        1        1        0        0
22599 intel-oneapi-ippcp-2021.11         	       0        1        0        0        1
22600 intel-oneapi-ippcp-2021.9          	       0        1        0        0        1
22601 intel-oneapi-ippcp-2025.0          	       0        1        0        0        1
22602 intel-oneapi-ippcp-common-2021.11  	       0        1        0        0        1
22603 intel-oneapi-ippcp-common-2021.9   	       0        1        0        0        1
22604 intel-oneapi-ippcp-common-devel-2021.11	       0        1        1        0        0
22605 intel-oneapi-ippcp-common-devel-2021.9	       0        1        1        0        0
22606 intel-oneapi-ippcp-devel           	       0        2        0        0        2
22607 intel-oneapi-ippcp-devel-2021.11   	       0        1        1        0        0
22608 intel-oneapi-ippcp-devel-2021.9    	       0        1        1        0        0
22609 intel-oneapi-ippcp-devel-2025.0    	       0        1        1        0        0
22610 intel-oneapi-itac                  	       0        1        0        0        1
22611 intel-oneapi-itac-2022.0           	       0        1        1        0        0
22612 intel-oneapi-itac-2022.1           	       0        1        1        0        0
22613 intel-oneapi-libdpstd-devel-2022.3 	       0        1        1        0        0
22614 intel-oneapi-libdpstd-devel-2022.5 	       0        1        1        0        0
22615 intel-oneapi-libdpstd-devel-2022.7 	       0        1        1        0        0
22616 intel-oneapi-mkl                   	       0        2        0        0        2
22617 intel-oneapi-mkl-2023.2.0          	       0        1        1        0        0
22618 intel-oneapi-mkl-2024.0            	       0        1        0        0        1
22619 intel-oneapi-mkl-2024.2            	       0        1        0        0        1
22620 intel-oneapi-mkl-2025.0            	       0        1        0        0        1
22621 intel-oneapi-mkl-classic-2024.2    	       0        1        0        0        1
22622 intel-oneapi-mkl-classic-2025.0    	       0        1        0        0        1
22623 intel-oneapi-mkl-classic-devel-2024.1	       0        1        0        0        1
22624 intel-oneapi-mkl-classic-devel-2024.2	       0        1        0        0        1
22625 intel-oneapi-mkl-classic-devel-2025.0	       0        2        0        0        2
22626 intel-oneapi-mkl-classic-include-2024.1	       0        1        0        0        1
22627 intel-oneapi-mkl-classic-include-2024.2	       0        1        0        0        1
22628 intel-oneapi-mkl-classic-include-2025.0	       0        2        2        0        0
22629 intel-oneapi-mkl-classic-include-common-2024.1	       0        1        1        0        0
22630 intel-oneapi-mkl-classic-include-common-2024.2	       0        1        1        0        0
22631 intel-oneapi-mkl-cluster-2024.1    	       0        1        0        0        1
22632 intel-oneapi-mkl-cluster-2024.2    	       0        1        0        0        1
22633 intel-oneapi-mkl-cluster-2025.0    	       0        2        0        0        2
22634 intel-oneapi-mkl-cluster-devel-2024.1	       0        1        1        0        0
22635 intel-oneapi-mkl-cluster-devel-2024.2	       0        1        1        0        0
22636 intel-oneapi-mkl-cluster-devel-2025.0	       0        2        2        0        0
22637 intel-oneapi-mkl-cluster-devel-common-2024.1	       0        1        0        0        1
22638 intel-oneapi-mkl-cluster-devel-common-2024.2	       0        1        0        0        1
22639 intel-oneapi-mkl-common-2023.2.0   	       0        1        0        0        1
22640 intel-oneapi-mkl-common-2024.0     	       0        1        0        0        1
22641 intel-oneapi-mkl-common-devel-2023.2.0	       0        1        1        0        0
22642 intel-oneapi-mkl-common-devel-2024.0	       0        1        1        0        0
22643 intel-oneapi-mkl-core-2024.1       	       0        1        0        0        1
22644 intel-oneapi-mkl-core-2024.2       	       0        1        0        0        1
22645 intel-oneapi-mkl-core-2025.0       	       0        2        0        0        2
22646 intel-oneapi-mkl-core-common-2024.1	       0        1        0        0        1
22647 intel-oneapi-mkl-core-common-2024.2	       0        1        0        0        1
22648 intel-oneapi-mkl-core-devel-2024.1 	       0        1        1        0        0
22649 intel-oneapi-mkl-core-devel-2024.2 	       0        1        1        0        0
22650 intel-oneapi-mkl-core-devel-2025.0 	       0        2        2        0        0
22651 intel-oneapi-mkl-core-devel-common-2024.1	       0        1        1        0        0
22652 intel-oneapi-mkl-core-devel-common-2024.2	       0        1        1        0        0
22653 intel-oneapi-mkl-devel             	       0        4        0        0        4
22654 intel-oneapi-mkl-devel-2023.2.0    	       0        1        1        0        0
22655 intel-oneapi-mkl-devel-2024.0      	       0        1        1        0        0
22656 intel-oneapi-mkl-devel-2024.1      	       0        1        0        0        1
22657 intel-oneapi-mkl-devel-2024.2      	       0        1        0        0        1
22658 intel-oneapi-mkl-devel-2025.0      	       0        2        0        0        2
22659 intel-oneapi-mkl-sycl-2024.1       	       0        1        0        0        1
22660 intel-oneapi-mkl-sycl-2024.2       	       0        1        0        0        1
22661 intel-oneapi-mkl-sycl-2025.0       	       0        2        0        0        2
22662 intel-oneapi-mkl-sycl-blas-2024.1  	       0        1        0        0        1
22663 intel-oneapi-mkl-sycl-blas-2024.2  	       0        1        0        0        1
22664 intel-oneapi-mkl-sycl-blas-2025.0  	       0        2        0        0        2
22665 intel-oneapi-mkl-sycl-data-fitting-2024.1	       0        1        0        0        1
22666 intel-oneapi-mkl-sycl-data-fitting-2024.2	       0        1        0        0        1
22667 intel-oneapi-mkl-sycl-data-fitting-2025.0	       0        2        0        0        2
22668 intel-oneapi-mkl-sycl-devel-2024.1 	       0        1        1        0        0
22669 intel-oneapi-mkl-sycl-devel-2024.2 	       0        1        1        0        0
22670 intel-oneapi-mkl-sycl-devel-2025.0 	       0        2        2        0        0
22671 intel-oneapi-mkl-sycl-devel-common-2024.1	       0        1        0        0        1
22672 intel-oneapi-mkl-sycl-devel-common-2024.2	       0        1        0        0        1
22673 intel-oneapi-mkl-sycl-dft-2024.1   	       0        1        0        0        1
22674 intel-oneapi-mkl-sycl-dft-2024.2   	       0        1        0        0        1
22675 intel-oneapi-mkl-sycl-dft-2025.0   	       0        2        0        0        2
22676 intel-oneapi-mkl-sycl-include-2024.1	       0        1        0        0        1
22677 intel-oneapi-mkl-sycl-include-2024.2	       0        1        0        0        1
22678 intel-oneapi-mkl-sycl-include-2025.0	       0        2        0        0        2
22679 intel-oneapi-mkl-sycl-lapack-2024.1	       0        1        0        0        1
22680 intel-oneapi-mkl-sycl-lapack-2024.2	       0        1        0        0        1
22681 intel-oneapi-mkl-sycl-lapack-2025.0	       0        2        0        0        2
22682 intel-oneapi-mkl-sycl-rng-2024.1   	       0        1        0        0        1
22683 intel-oneapi-mkl-sycl-rng-2024.2   	       0        1        0        0        1
22684 intel-oneapi-mkl-sycl-rng-2025.0   	       0        2        0        0        2
22685 intel-oneapi-mkl-sycl-sparse-2024.1	       0        1        0        0        1
22686 intel-oneapi-mkl-sycl-sparse-2024.2	       0        1        0        0        1
22687 intel-oneapi-mkl-sycl-sparse-2025.0	       0        2        0        0        2
22688 intel-oneapi-mkl-sycl-stats-2024.1 	       0        1        0        0        1
22689 intel-oneapi-mkl-sycl-stats-2024.2 	       0        1        0        0        1
22690 intel-oneapi-mkl-sycl-stats-2025.0 	       0        2        0        0        2
22691 intel-oneapi-mkl-sycl-vm-2024.1    	       0        1        0        0        1
22692 intel-oneapi-mkl-sycl-vm-2024.2    	       0        1        0        0        1
22693 intel-oneapi-mkl-sycl-vm-2025.0    	       0        2        0        0        2
22694 intel-oneapi-mpi-2021.11           	       0        1        1        0        0
22695 intel-oneapi-mpi-2021.12           	       0        1        1        0        0
22696 intel-oneapi-mpi-2021.14           	       0        1        1        0        0
22697 intel-oneapi-mpi-devel             	       0        1        0        0        1
22698 intel-oneapi-mpi-devel-2021.11     	       0        1        1        0        0
22699 intel-oneapi-mpi-devel-2021.12     	       0        1        1        0        0
22700 intel-oneapi-mpi-devel-2021.14     	       0        1        1        0        0
22701 intel-oneapi-openmp-2023.2.0       	       0        1        1        0        0
22702 intel-oneapi-openmp-2024.0         	       0        1        1        0        0
22703 intel-oneapi-openmp-2024.1         	       0        1        1        0        0
22704 intel-oneapi-openmp-2024.2         	       0        2        2        0        0
22705 intel-oneapi-openmp-2025.0         	       0        2        2        0        0
22706 intel-oneapi-openmp-common-2023.2.0	       0        1        0        0        1
22707 intel-oneapi-openmp-common-2024.0  	       0        1        0        0        1
22708 intel-oneapi-openmp-common-2024.1  	       0        1        0        0        1
22709 intel-oneapi-openmp-common-2024.2  	       0        2        0        0        2
22710 intel-oneapi-openmp-common-2025.0  	       0        2        0        0        2
22711 intel-oneapi-runtime-compilers     	       0        1        1        0        0
22712 intel-oneapi-runtime-compilers-2024	       0        1        1        0        0
22713 intel-oneapi-runtime-compilers-common	       0        2        2        0        0
22714 intel-oneapi-runtime-compilers-common-2024	       0        1        0        0        1
22715 intel-oneapi-runtime-dpcpp-cpp     	       0        1        1        0        0
22716 intel-oneapi-runtime-dpcpp-cpp-2024	       0        1        0        0        1
22717 intel-oneapi-runtime-dpcpp-cpp-common	       0        1        1        0        0
22718 intel-oneapi-runtime-dpcpp-cpp-common-2024	       0        1        0        0        1
22719 intel-oneapi-runtime-dpcpp-sycl-core	       0        1        0        0        1
22720 intel-oneapi-runtime-dpcpp-sycl-core-2024	       0        1        0        0        1
22721 intel-oneapi-runtime-dpcpp-sycl-cpu-rt	       0        1        0        0        1
22722 intel-oneapi-runtime-dpcpp-sycl-fpga-emul	       0        1        1        0        0
22723 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu	       0        1        1        0        0
22724 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu-2024	       0        1        0        0        1
22725 intel-oneapi-runtime-dpcpp-sycl-rt 	       0        1        0        0        1
22726 intel-oneapi-runtime-mkl           	       0        2        1        0        1
22727 intel-oneapi-runtime-mkl-2024      	       0        1        0        0        1
22728 intel-oneapi-runtime-mkl-common    	       0        1        1        0        0
22729 intel-oneapi-runtime-mkl-common-2024	       0        1        0        0        1
22730 intel-oneapi-runtime-opencl        	       0        1        1        0        0
22731 intel-oneapi-runtime-opencl-2024   	       0        1        1        0        0
22732 intel-oneapi-runtime-openmp        	       0        1        1        0        0
22733 intel-oneapi-runtime-openmp-2024   	       0        1        0        0        1
22734 intel-oneapi-runtime-openmp-opencl-shared-2024	       0        1        0        0        1
22735 intel-oneapi-runtime-tbb           	       0        1        1        0        0
22736 intel-oneapi-runtime-tbb-2021      	       0        1        0        0        1
22737 intel-oneapi-runtime-tbb-common    	       0        1        1        0        0
22738 intel-oneapi-runtime-tbb-common-2021	       0        1        0        0        1
22739 intel-oneapi-runtime-tcm-1         	       0        1        0        0        1
22740 intel-oneapi-tbb-2021.10.0         	       0        1        1        0        0
22741 intel-oneapi-tbb-2021.11           	       0        1        0        0        1
22742 intel-oneapi-tbb-2021.12           	       0        1        0        0        1
22743 intel-oneapi-tbb-2021.13           	       0        2        0        0        2
22744 intel-oneapi-tbb-2022.0            	       0        2        0        0        2
22745 intel-oneapi-tbb-common-2021.10.0  	       0        1        0        0        1
22746 intel-oneapi-tbb-common-2021.11    	       0        1        0        0        1
22747 intel-oneapi-tbb-common-2021.12    	       0        1        0        0        1
22748 intel-oneapi-tbb-common-2021.13    	       0        2        0        0        2
22749 intel-oneapi-tbb-common-devel-2021.11	       0        1        1        0        0
22750 intel-oneapi-tbb-common-devel-2021.12	       0        1        1        0        0
22751 intel-oneapi-tbb-common-devel-2021.13	       0        1        1        0        0
22752 intel-oneapi-tbb-devel             	       0        2        0        0        2
22753 intel-oneapi-tbb-devel-2021.11     	       0        1        1        0        0
22754 intel-oneapi-tbb-devel-2021.12     	       0        1        1        0        0
22755 intel-oneapi-tbb-devel-2021.13     	       0        1        1        0        0
22756 intel-oneapi-tbb-devel-2022.0      	       0        1        1        0        0
22757 intel-oneapi-tcm-1.0               	       0        1        0        0        1
22758 intel-oneapi-tcm-1.1               	       0        2        0        0        2
22759 intel-oneapi-tcm-1.2               	       0        2        0        0        2
22760 intel-oneapi-tlt                   	       0        2        0        0        2
22761 intel-oneapi-tlt-2024.0            	       0        1        0        0        1
22762 intel-oneapi-tlt-2024.1            	       0        1        0        0        1
22763 intel-oneapi-tlt-2025.0            	       0        1        0        0        1
22764 intel-oneapi-umf-0.9               	       0        2        2        0        0
22765 intel-oneapi-vtune                 	       0        3        3        0        0
22766 intel-opencl                       	       0        1        1        0        0
22767 intel-opencl-icd                   	       0       14       10        0        4
22768 intel-openmp-19.1.0-166            	       0        1        1        0        0
22769 intel-psxe-common-2020.0-088       	       0        1        1        0        0
22770 intel-psxe-common-doc-2020         	       0        1        0        0        1
22771 intel-pti-0.10                     	       0        1        0        0        1
22772 intel-pti-dev                      	       0        1        0        0        1
22773 intel-pti-dev-0.10                 	       0        1        1        0        0
22774 intel-tbb-libs-2020.0-166          	       0        1        1        0        0
22775 intel2gas                          	       0        3        3        0        0
22776 interimap                          	       0        1        1        0        0
22777 internet-watchdog                  	       0        1        1        0        0
22778 internetarchive                    	       0        1        1        0        0
22779 intltool                           	       0      195      189        6        0
22780 intltool-debian                    	       0     1281        0        0     1281
22781 intranet-utils-2pir                	       0        1        1        0        0
22782 invada-studio-plugins-ladspa       	       0       14       12        2        0
22783 invada-studio-plugins-lv2          	       0        8        8        0        0
22784 inventor-clients                   	       0        2        2        0        0
22785 inventor-data                      	       0        1        0        0        1
22786 inventor-demo                      	       0        1        1        0        0
22787 inventor-dev                       	       0        2        2        0        0
22788 inventor-doc                       	       0        1        0        0        1
22789 invesalius                         	       0        3        3        0        0
22790 invesalius-bin                     	       0        5        5        0        0
22791 invesalius-bin-dbgsym              	       0        1        1        0        0
22792 invesalius-build-deps              	       0        1        0        0        1
22793 invesalius-examples                	       0        1        0        0        1
22794 io.solarwallet.app                 	       0        1        1        0        0
22795 iodbc                              	       0        3        3        0        0
22796 iodine                             	       0       32       30        2        0
22797 iog                                	       0        1        1        0        0
22798 iogerman                           	       0       16       16        0        0
22799 ion2                               	       0        1        1        0        0
22800 ionit                              	       0        2        2        0        0
22801 ioport                             	       0        1        1        0        0
22802 ioquake3                           	       0       39       37        2        0
22803 iotop-c                            	       0       48       47        1        0
22804 iozone3                            	       0       14       14        0        0
22805 ipcalc-ng                          	       0       17       17        0        0
22806 ipchains                           	       0        1        1        0        0
22807 ipe                                	       0       18       18        0        0
22808 ipe5toxml                          	       0        4        4        0        0
22809 ipfs-cid                           	       0        2        2        0        0
22810 ipfs-desktop                       	       0        3        0        0        3
22811 ipheth-utils                       	       0       11       10        1        0
22812 ipig                               	       0        1        1        0        0
22813 ipip                               	       0        1        1        0        0
22814 ipmiutil                           	       0        9        9        0        0
22815 ipol-tools                         	       0        1        1        0        0
22816 iportuguese                        	       0        6        6        0        0
22817 ipp-linux                          	       0        1        0        0        1
22818 ippl                               	       0        1        1        0        0
22819 ipppd                              	       0        3        3        0        0
22820 ippsample                          	       0        2        2        0        0
22821 ippsample-data                     	       0        2        0        0        2
22822 ippusbxd                           	       0        1        1        0        0
22823 ipqalc                             	       0        3        3        0        0
22824 iproute                            	       0      130        0        0      130
22825 iproute-dev                        	       0        1        1        0        0
22826 iproute-doc                        	       0        4        0        0        4
22827 iproute2-doc                       	       0       22        0        0       22
22828 ips                                	       0        5        5        0        0
22829 ipsec-tools                        	       0        7        7        0        0
22830 ipset-persistent                   	       0        4        3        0        1
22831 ipsvd                              	       0       10       10        0        0
22832 iptables-converter                 	       0        5        5        0        0
22833 iptables-converter-doc             	       0        1        0        0        1
22834 iptables-dev                       	       0        3        0        0        3
22835 iptables-netflow-dkms              	       0        2        2        0        0
22836 iptables-persistent                	       0      108       75        2       31
22837 iptotal                            	       0        3        3        0        0
22838 iptraf                             	       0       61        4        0       57
22839 iptstate                           	       0       16       16        0        0
22840 iptux                              	       0        4        4        0        0
22841 iptvnator                          	       0        4        0        0        4
22842 ipv6pref                           	       0        2        2        0        0
22843 ipvsadm                            	       0        4        4        0        0
22844 ipx                                	       0        1        1        0        0
22845 ipxe                               	       0       13       13        0        0
22846 ipython                            	       0        4        4        0        0
22847 ipython-doc                        	       0        1        0        0        1
22848 ipython3-qtconsole                 	       0        1        0        0        1
22849 iqtree                             	       0        1        1        0        0
22850 ir-keytable                        	       0       12       12        0        0
22851 ir.lv2                             	       0        7        7        0        0
22852 iraf                               	       0       10       10        0        0
22853 iraf-dev                           	       0        5        5        0        0
22854 iraf-fitsutil                      	       0        2        2        0        0
22855 iraf-noao                          	       0       10       10        0        0
22856 iraf-noao-dev                      	       0        5        5        0        0
22857 ircd-irc2                          	       0        1        1        0        0
22858 ircii                              	       0       18       17        1        0
22859 irclog2html                        	       0        1        1        0        0
22860 ircp-tray                          	       0        1        1        0        0
22861 irda-utils                         	       0        4        4        0        0
22862 ire                                	       0        1        1        0        0
22863 ire-rotj                           	       0        1        1        0        0
22864 ire-the-flat                       	       0        1        1        0        0
22865 irecovery                          	       0        2        2        0        0
22866 iredis                             	       0        2        2        0        0
22867 irfanview-bin64                    	       0        3        3        0        0
22868 irfanview-common                   	       0        3        3        0        0
22869 iridium-browser                    	       0        1        1        0        0
22870 iriunwebcam                        	       0        1        1        0        0
22871 iron64                             	       0        2        0        0        2
22872 ironseed                           	       0        3        3        0        0
22873 ironseed-data                      	       0        3        0        0        3
22874 irony                              	       0        1        1        0        0
22875 irony-mode                         	       0        1        0        0        1
22876 irony-server                       	       0        2        2        0        0
22877 irpas                              	       0        1        1        0        0
22878 irqtop                             	       0        8        8        0        0
22879 irsim                              	       0        5        5        0        0
22880 irssi-dev                          	       0        2        1        1        0
22881 irssi-plugin-otr                   	       0        8        0        0        8
22882 irssi-plugin-robustirc             	       0        1        0        0        1
22883 irssi-plugin-xmpp                  	       0        9        0        0        9
22884 irssi-text                         	       0        1        0        0        1
22885 irstlm                             	       0        2        2        0        0
22886 irtt                               	       0        7        7        0        0
22887 isa-support                        	       0      281        0        0      281
22888 isag                               	       0        8        8        0        0
22889 isapnptools                        	       0        1        1        0        0
22890 isc-dhcp-client-ddns               	       0        6        6        0        0
22891 isc-dhcp-server-ldap               	       0        2        2        0        0
22892 isc-kea-common                     	       0        1        1        0        0
22893 isc-kea-dhcp4                      	       0        1        1        0        0
22894 isc-kea-dhcp6                      	       0        1        1        0        0
22895 isc-stork-agent                    	       0        1        1        0        0
22896 isc-stork-server                   	       0        1        1        0        0
22897 iscan-data                         	       0       17       17        0        0
22898 iscan-network-nt                   	       0        9        9        0        0
22899 iscan-plugin-cx4400                	       0        1        1        0        0
22900 iscan-plugin-gt-f670               	       0        1        1        0        0
22901 iscan-plugin-gt-s600               	       0        1        1        0        0
22902 iscan-plugin-gt-x770               	       0        1        1        0        0
22903 iscan-plugin-perfection-v370       	       0        1        1        0        0
22904 iscan-plugin-perfection-v550       	       0        1        1        0        0
22905 iscsi-scst                         	       0        2        2        0        0
22906 iscsiuio                           	       0        1        1        0        0
22907 isdnactivecards                    	       0        1        1        0        0
22908 isdnbutton                         	       0        1        1        0        0
22909 isdnlog                            	       0        2        2        0        0
22910 isdnlog-data                       	       0        4        0        0        4
22911 isdnutils-base                     	       0        5        5        0        0
22912 isdnutils-doc                      	       0        1        0        0        1
22913 isdnutils-xtools                   	       0        1        1        0        0
22914 isdnvboxserver                     	       0        1        1        0        0
22915 iselect                            	       0        8        8        0        0
22916 isight-firmware-tools              	       0        1        1        0        0
22917 islamic-menus                      	       0        2        0        0        2
22918 ismrmrd-schema                     	       0        2        0        0        2
22919 ismrmrd-tools                      	       0        2        2        0        0
22920 iso-flag-png                       	       0        1        0        0        1
22921 iso-flags-png-320x240              	       0      283        0        0      283
22922 iso-flags-svg                      	       0        5        0        0        5
22923 isochron                           	       0        2        2        0        0
22924 isoimagewriter                     	       0        1        1        0        0
22925 isomaster                          	       0       23       22        1        0
22926 isomd5sum                          	       0        5        5        0        0
22927 isoquery                           	       0       17       17        0        0
22928 isort                              	       0        5        5        0        0
22929 ispanish                           	       0      277      259       18        0
22930 isrcsubmit                         	       0        8        8        0        0
22931 istgt                              	       0        2        2        0        0
22932 iswedish                           	       0        6        6        0        0
22933 itamae                             	       0        1        1        0        0
22934 itcl3                              	       0       33        0        0       33
22935 itcl3-dev                          	       0        3        2        1        0
22936 itcl3-doc                          	       0        4        0        0        4
22937 itcl3.1                            	       0        1        1        0        0
22938 itk3                               	       0       18        0        0       18
22939 itk3-dev                           	       0        2        1        1        0
22940 itk3-doc                           	       0        4        0        0        4
22941 itk3.1                             	       0        1        1        0        0
22942 itksnap                            	       0        1        1        0        0
22943 itools                             	       0        4        4        0        0
22944 itrans-fonts                       	       0        2        0        0        2
22945 itstool                            	       0       30       30        0        0
22946 iukrainian                         	       0        6        6        0        0
22947 iverilog                           	       0       14       14        0        0
22948 ivpn                               	       0        1        1        0        0
22949 ivritex                            	       0        1        0        0        1
22950 ivtools-bin                        	       0        3        3        0        0
22951 ivy                                	       0       81        0        0       81
22952 ivy-debian-helper                  	       0        1        1        0        0
22953 ivy-doc                            	       0        4        0        0        4
22954 iwgtk                              	       0        9        9        0        0
22955 iwidgets3.1                        	       0        1        0        0        1
22956 iwidgets4                          	       0       17        0        0       17
22957 iwidgets4-doc                      	       0        3        0        0        3
22958 iwyu                               	       0        2        2        0        0
22959 ixo-usb-jtag                       	       0        6        0        0        6
22960 j2cli                              	       0        1        1        0        0
22961 j4-dmenu-desktop                   	       0        8        7        1        0
22962 jaaa                               	       0       15       15        0        0
22963 jabber-muc                         	       0        1        1        0        0
22964 jabber-querybot                    	       0        1        1        0        0
22965 jabberd2                           	       0        2        2        0        0
22966 jabref                             	       0       26       24        2        0
22967 jack                               	       0        6        6        0        0
22968 jack-capture                       	       0       31       31        0        0
22969 jack-delay                         	       0        1        1        0        0
22970 jack-example-tools                 	       0        6        6        0        0
22971 jack-keyboard                      	       0        9        9        0        0
22972 jack-midi-clock                    	       0       11       11        0        0
22973 jack-mixer                         	       0        5        5        0        0
22974 jack-stdio                         	       0       12       12        0        0
22975 jack-tools                         	       0       40       38        2        0
22976 jackass                            	       0        1        1        0        0
22977 jackd                              	       0      160        0        0      160
22978 jackd1-firewire                    	       0       12        0        0       12
22979 jackd2-firewire                    	       0      147        1        0      146
22980 jackeq                             	       0        7        7        0        0
22981 jackmeter                          	       0       16       16        0        0
22982 jacktrip                           	       0        8        8        0        0
22983 jacktrip-gui                       	       0        2        2        0        0
22984 jade                               	       0        1        1        0        0
22985 jadetex                            	       0       22        2        0       20
22986 jag                                	       0        6        6        0        0
22987 jag-data                           	       0        1        0        0        1
22988 jags                               	       0       10        9        1        0
22989 jailkit                            	       0        8        8        0        0
22990 jalv                               	       0       11       11        0        0
22991 jalview                            	       0        1        1        0        0
22992 jam                                	       0        9        9        0        0
22993 jameica                            	       0        3        3        0        0
22994 jameica-doc                        	       0        1        0        0        1
22995 jameica-h2database                 	       0        3        0        0        3
22996 jami-all                           	       0        3        3        0        0
22997 jami-daemon                        	       0       24       11        0       13
22998 jamin                              	       0        4        4        0        0
22999 jan                                	       0        2        0        0        2
23000 janino                             	       0       37       37        0        0
23001 janus                              	       0        2        2        0        0
23002 janus-doc                          	       0        3        0        0        3
23003 janus-tools                        	       0        3        3        0        0
23004 japa                               	       0        6        6        0        0
23005 jaranalyzer                        	       0        1        1        0        0
23006 jargon                             	       0       14       13        1        0
23007 jargon-text                        	       0       11        0        0       11
23008 jaspersoftstudio                   	       0        1        1        0        0
23009 jattach                            	       0        1        1        0        0
23010 java-1.8.0-amazon-corretto-jdk     	       0        2        1        1        0
23011 java-11-amazon-corretto-jdk        	       0        1        1        0        0
23012 java-gcj-compat                    	       0        1        0        0        1
23013 java-gcj-compat-headless           	       0        1        1        0        0
23014 java-package                       	       0       13       13        0        0
23015 java-propose-classpath             	       0        4        4        0        0
23016 java2-common                       	       0        1        1        0        0
23017 java3ds-fileloader                 	       0        2        0        0        2
23018 javacc-doc                         	       0        5        4        1        0
23019 javacc5                            	       0        1        1        0        0
23020 javahelp2                          	       0       76       73        3        0
23021 javahelp2-doc                      	       0        6        0        0        6
23022 javahelper                         	       0       10       10        0        0
23023 javamorph                          	       0        2        2        0        0
23024 javascript-common                  	       0     3273        0        0     3273
23025 jaxb                               	       0        1        1        0        0
23026 jaxe                               	       0        1        1        0        0
23027 jaxws                              	       0        2        2        0        0
23028 jazz2                              	       0        3        3        0        0
23029 jbibtex-base                       	       0        2        0        0        2
23030 jbibtex-bin                        	       0        1        1        0        0
23031 jbig2                              	       0        8        8        0        0
23032 jbig2dec                           	       0        8        8        0        0
23033 jbig2enc                           	       0        2        2        0        0
23034 jbigkit-bin                        	       0        7        7        0        0
23035 jc                                 	       0        7        7        0        0
23036 jc2rec                             	       0        1        1        0        0
23037 jcat                               	       0        1        1        0        0
23038 jchroot                            	       0        1        1        0        0
23039 jclassinfo                         	       0        3        3        0        0
23040 jconvolver                         	       0        7        7        0        0
23041 jconvolver-config-files            	       0        7        0        0        7
23042 jd-gui                             	       0        3        0        0        3
23043 jdk-10                             	       0        1        1        0        0
23044 jdk-11                             	       0        3        3        0        0
23045 jdk-11.0.6                         	       0        1        1        0        0
23046 jdk-11.0.8                         	       0        1        1        0        0
23047 jdk-13.0.2                         	       0        1        1        0        0
23048 jdk-14                             	       0        1        1        0        0
23049 jdk-14.0.2                         	       0        1        1        0        0
23050 jdk-15                             	       0        1        1        0        0
23051 jdk-15.0.1                         	       0        1        1        0        0
23052 jdk-16                             	       0        2        2        0        0
23053 jdk-16.0.1                         	       0        1        1        0        0
23054 jdk-18                             	       0        2        2        0        0
23055 jdk-20                             	       0        1        1        0        0
23056 jdk-21                             	       0        7        7        0        0
23057 jdk-22                             	       0        7        6        1        0
23058 jdk-23                             	       0        3        3        0        0
23059 jdk-9                              	       0        1        1        0        0
23060 jdk1.8                             	       0        1        1        0        0
23061 jdns                               	       0        1        1        0        0
23062 jdresolve                          	       0        1        1        0        0
23063 jed-common                         	       0       37       36        1        0
23064 jed-extra                          	       0        8        0        0        8
23065 jeepyb                             	       0        1        1        0        0
23066 jeex                               	       0        8        8        0        0
23067 jekyll-theme-minima                	       0        8        0        0        8
23068 jel-java                           	       0        1        0        0        1
23069 jellyfin                           	       0        3        0        0        3
23070 jellyfin-apiclient-python          	       0        3        3        0        0
23071 jellyfin-ffmpeg6                   	       0        4        4        0        0
23072 jellyfin-ffmpeg7                   	       0        1        1        0        0
23073 jellyfin-media-player              	       0       10        9        1        0
23074 jellyfin-mpv-shim                  	       0        2        2        0        0
23075 jellyfin-web                       	       0        6        0        0        6
23076 jellyfish                          	       0        1        1        0        0
23077 jellyfish1                         	       0        2        2        0        0
23078 jenkins                            	       0        2        1        0        1
23079 jenkins-buildenv-devuan            	       0        1        0        0        1
23080 jenkins-debian-glue                	       0        4        4        0        0
23081 jenkins-debian-glue-buildenv       	       0        4        0        0        4
23082 jenkins-debian-glue-buildenv-devuan	       0        4        0        0        4
23083 jerry                              	       0        2        2        0        0
23084 jest                               	       0        3        3        0        0
23085 jester                             	       0        3        3        0        0
23086 jetring                            	       0        2        2        0        0
23087 jetty9                             	       0        1        1        0        0
23088 jeuclid-cli                        	       0        4        4        0        0
23089 jeuclid-mathviewer                 	       0        4        4        0        0
23090 jexiftoolgui                       	       0        1        1        0        0
23091 jflex                              	       0        1        1        0        0
23092 jfp-e16-themes                     	       0        2        0        0        2
23093 jfp-fonts                          	       0        1        0        0        1
23094 jfractionlab                       	       0        3        3        0        0
23095 jfritz                             	       0        1        1        0        0
23096 jftp                               	       0       10        9        1        0
23097 jgmenu                             	       0        8        7        1        0
23098 jgmenu-xfce4-panel-applet          	       0        1        0        0        1
23099 jgraph                             	       0        2        2        0        0
23100 jgrep                              	       0        3        3        0        0
23101 jibri                              	       0        1        0        0        1
23102 jicofo                             	       0        4        0        0        4
23103 jid                                	       0        3        3        0        0
23104 jigasi                             	       0        2        2        0        0
23105 jigit                              	       0        4        4        0        0
23106 jigl                               	       0        1        1        0        0
23107 jigsaw-generator                   	       0        1        1        0        0
23108 jigzo                              	       0        7        7        0        0
23109 jigzo-data                         	       0        7        0        0        7
23110 jikes                              	       0        1        1        0        0
23111 jikes-dbgsym                       	       0        1        1        0        0
23112 jimsh                              	       0        4        4        0        0
23113 jing                               	       0        2        2        0        0
23114 jitsi                              	       0        7        7        0        0
23115 jitsi-archive-keyring              	       0        9        0        0        9
23116 jitsi-meet                         	       0        3        0        0        3
23117 jitsi-meet-electron                	       0        2        0        0        2
23118 jitsi-meet-prosody                 	       0        4        0        0        4
23119 jitsi-meet-turnserver              	       0        3        0        0        3
23120 jitsi-meet-web                     	       0        3        0        0        3
23121 jitsi-meet-web-config              	       0        3        0        0        3
23122 jitsi-videobridge2                 	       0        3        2        0        1
23123 jkmeter                            	       0        4        4        0        0
23124 jlang                              	       0        2        2        0        0
23125 jlink                              	       0        3        3        0        0
23126 jlint                              	       0        1        1        0        0
23127 jmapviewer                         	       0       43       41        2        0
23128 jmathedit                          	       0        1        1        0        0
23129 jmdlx                              	       0        1        1        0        0
23130 jmeter                             	       0        2        2        0        0
23131 jmeter-help                        	       0        2        0        0        2
23132 jmeter-http                        	       0        2        2        0        0
23133 jmeter-java                        	       0        1        1        0        0
23134 jmeters                            	       0       12       12        0        0
23135 jmodeltest                         	       0        1        1        0        0
23136 jmol                               	       0       14       14        0        0
23137 jmol-applet                        	       0        1        0        0        1
23138 jnoise                             	       0        9        9        0        0
23139 jnoisemeter                        	       0       10       10        0        0
23140 jockey-common                      	       0        1        1        0        0
23141 joe-jupp                           	       0        3        3        0        0
23142 john-data                          	       0       87        0        0       87
23143 joint-state-publisher              	       0        1        1        0        0
23144 joint-state-publisher-gui          	       0        1        1        0        0
23145 jons-wallpaper-1                   	       0        3        0        0        3
23146 jool-dkms                          	       0        1        1        0        0
23147 jool-tools                         	       0        1        1        0        0
23148 jose                               	       0       10       10        0        0
23149 jose-util                          	       0        1        1        0        0
23150 josm                               	       0       17       17        0        0
23151 josm-l10n                          	       0       10        0        0       10
23152 josm-plugins                       	       0        1        0        0        1
23153 jove                               	       0        5        5        0        0
23154 joy2key                            	       0        4        4        0        0
23155 jparse                             	       0        3        3        0        0
23156 jpdfbookmarks                      	       0        1        1        0        0
23157 jpdftweak                          	       0        1        1        0        0
23158 jpeg-decomp                        	       0        1        1        0        0
23159 jpeg-recompress                    	       0        1        1        0        0
23160 jpeg-xl-doc                        	       0        2        0        0        2
23161 jpeg2pdf                           	       0        1        1        0        0
23162 jpegjudge                          	       0        7        7        0        0
23163 jpegpixi                           	       0        4        4        0        0
23164 jpegqs                             	       0        4        4        0        0
23165 jpegquant                          	       0        1        1        0        0
23166 jpegrepair                         	       0        1        1        0        0
23167 jpegsnoop                          	       0        1        1        0        0
23168 jpgcrush                           	       0        1        1        0        0
23169 jpge                               	       0        1        1        0        0
23170 jpilot                             	       0        7        7        0        0
23171 jpilot-plugins                     	       0        7        7        0        0
23172 jpnevulator                        	       0        5        5        0        0
23173 jprofiler                          	       0        1        1        0        0
23174 jquery-alternative-doc             	       0        1        0        0        1
23175 jquery-jplayer-pinkflag            	       0        1        0        0        1
23176 jruby-maven-plugins                	       0        1        0        0        1
23177 jruby-openssl                      	       0        1        1        0        0
23178 js2-mode                           	       0        2        0        0        2
23179 js8call                            	       0        9        9        0        0
23180 jsamp                              	       0        1        1        0        0
23181 jscalibrator                       	       0        1        1        0        0
23182 jshon                              	       0        6        6        0        0
23183 jsmath                             	       0        7        0        0        7
23184 jsmath-fonts                       	       0        7        0        0        7
23185 jsmath-fonts-sprite                	       0        3        0        0        3
23186 jsoko                              	       0        1        1        0        0
23187 json-glib-tools                    	       0        4        4        0        0
23188 json2file-go                       	       0        1        1        0        0
23189 jsonnet                            	       0        1        1        0        0
23190 jstest-gtk                         	       0       37       37        0        0
23191 jsxcompressor                      	       0        3        3        0        0
23192 jsxgraph                           	       0        3        3        0        0
23193 jta                                	       0        1        0        0        1
23194 jtdx                               	       0        6        6        0        0
23195 jtreg                              	       0        1        1        0        0
23196 jtreg7                             	       0        1        1        0        0
23197 juce-modules-source                	       0        2        0        0        2
23198 juce-modules-source-data           	       0        4        4        0        0
23199 juce-opl-lv2                       	       0        2        2        0        0
23200 juce-tools                         	       0        3        3        0        0
23201 juced-plugins-lv2                  	       0        1        1        0        0
23202 juffed                             	       0        8        8        0        0
23203 juffed-plugins                     	       0        8        0        0        8
23204 juk-trinity                        	       0       24       24        0        0
23205 julia                              	       0       17       17        0        0
23206 julia-common                       	       0       18       17        0        1
23207 julia-doc                          	       0        8        0        0        8
23208 jumpnbump                          	       0        5        5        0        0
23209 jumpnbump-levels                   	       0        4        0        0        4
23210 junior-art                         	       0        1        0        0        1
23211 junior-config                      	       0        9        9        0        0
23212 junior-doc                         	       0        3        0        0        3
23213 junior-education                   	       0        1        0        0        1
23214 junior-games-adventure             	       0        1        0        0        1
23215 junior-games-arcade                	       0        2        0        0        2
23216 junior-games-card                  	       0        2        0        0        2
23217 junior-games-gl                    	       0        2        0        0        2
23218 junior-games-net                   	       0        1        0        0        1
23219 junior-games-sim                   	       0        1        0        0        1
23220 junior-games-text                  	       0        2        0        0        2
23221 junior-internet                    	       0        2        0        0        2
23222 junior-math                        	       0        2        0        0        2
23223 junior-system                      	       0        2        0        0        2
23224 junior-tasks                       	       0        9        0        0        9
23225 junior-toys                        	       0        1        0        0        1
23226 junior-typing                      	       0        4        0        0        4
23227 junior-video                       	       0        2        0        0        2
23228 junior-writing                     	       0        1        0        0        1
23229 junit-doc                          	       0       21        0        0       21
23230 junit4                             	       0      206        0        0      206
23231 junit4-doc                         	       0        6        0        0        6
23232 junit5                             	       0        7        0        0        7
23233 jupp                               	       0        5        5        0        0
23234 jupyter                            	       0       19        0        0       19
23235 jupyter-client                     	       0       27       26        1        0
23236 jupyter-console                    	       0       20       20        0        0
23237 jupyter-core                       	       0       64       62        2        0
23238 jupyter-nbconvert                  	       0       24       23        1        0
23239 jupyter-nbextension-jupyter-js-widgets	       0       53        0        0       53
23240 jupyter-nbformat                   	       0       30       30        0        0
23241 jupyter-notebook                   	       0       52       52        0        0
23242 jupyter-qtconsole                  	       0        2        2        0        0
23243 jupyter-server                     	       0        2        2        0        0
23244 jupyter-sphinx-theme-common        	       0        7        0        0        7
23245 jupyter-sphinx-theme-doc           	       0        1        0        0        1
23246 jupyterhub                         	       0        1        1        0        0
23247 just                               	       0        1        1        0        0
23248 jwmkit                             	       0        2        2        0        0
23249 jxl                                	       0        1        1        0        0
23250 jxplorer                           	       0       10       10        0        0
23251 jython-doc                         	       0        7        0        0        7
23252 k2pdfopt                           	       0        9        9        0        0
23253 k3b-data                           	       0      300        0        0      300
23254 k3b-data-trinity                   	       0       19        0        0       19
23255 k3b-extrathemes                    	       0       14        0        0       14
23256 k3b-i18n                           	       0      184        0        0      184
23257 k3b-i18n-trinity                   	       0        3        0        0        3
23258 k3b-trinity                        	       0       19       19        0        0
23259 k3bmonkeyaudioplugin               	       0        2        2        0        0
23260 k6                                 	       0        1        1        0        0
23261 k9copy-trinity                     	       0        2        2        0        0
23262 k9s                                	       0        1        1        0        0
23263 kaboodle-trinity                   	       0       25       25        0        0
23264 kaboom                             	       0        1        1        0        0
23265 kaccounts-providers                	       0      756        0        0      756
23266 kacpimon                           	       0       11       11        0        0
23267 kaddressbook-plugins-trinity       	       0       24       24        0        0
23268 kaddressbook-trinity               	       0       26       26        0        0
23269 kadu-common                        	       0        1        0        0        1
23270 kadu-themes                        	       0        1        0        0        1
23271 kaffe                              	       0        1        1        0        0
23272 kaffe-common                       	       0        2        2        0        0
23273 kaffe-pthreads                     	       0        1        1        0        0
23274 kaffeine                           	       0       25       24        1        0
23275 kaffeine-mozilla-trinity           	       0        1        1        0        0
23276 kaffeine-trinity-dbg               	       0        1        1        0        0
23277 kafkacat                           	       0        3        0        0        3
23278 kagestudio                         	       0        1        1        0        0
23279 kaidan                             	       0        3        3        0        0
23280 kajongg                            	       0       57       53        4        0
23281 kakasi                             	       0        5        5        0        0
23282 kakasi-dic                         	       0        6        0        0        6
23283 kakoune                            	       0        5        5        0        0
23284 kalamine                           	       0        1        1        0        0
23285 kalendar                           	       0        5        5        0        0
23286 kalgebra                           	       0       50       48        2        0
23287 kalgebra-common                    	       0       50        0        0       50
23288 kali                               	       0        3        3        0        0
23289 kalign                             	       0        3        3        0        0
23290 kalk                               	       0        1        1        0        0
23291 kalternatives                      	       0        1        1        0        0
23292 kalzium                            	       0       55       53        2        0
23293 kalzium-data                       	       0       57        0        0       57
23294 kalzium-data-trinity               	       0       23        0        0       23
23295 kalzium-trinity                    	       0       23       23        0        0
23296 kamoso                             	       0       24       24        0        0
23297 kanadic                            	       0        1        0        0        1
23298 kanagram                           	       0       49       47        2        0
23299 kanagram-trinity                   	       0       23       23        0        0
23300 kanatest                           	       0        2        2        0        0
23301 kanboard                           	       0        1        1        0        0
23302 kanboard-cli                       	       0        1        1        0        0
23303 kandy-trinity                      	       0       23       23        0        0
23304 kanjidic                           	       0       83        0        0       83
23305 kanjidic-xml                       	       0        1        0        0        1
23306 kanjidraw                          	       0        1        1        0        0
23307 kanjipad                           	       0        4        4        0        0
23308 kanotix-archive-keyring            	       0        1        0        0        1
23309 kanotix-keyring                    	       0        1        0        0        1
23310 kanotix-keyrings                   	       0        1        0        0        1
23311 kanotix-menu                       	       0        1        0        0        1
23312 kanyremote                         	       0        3        3        0        0
23313 kapidox                            	       0        2        2        0        0
23314 kapidox6                           	       0        1        1        0        0
23315 kapman                             	       0       61       56        5        0
23316 kappanhang                         	       0        1        1        0        0
23317 kappfinder-trinity                 	       0       34       34        0        0
23318 kapptemplate                       	       0       78       74        4        0
23319 kapptemplate-trinity               	       0        1        1        0        0
23320 karbon                             	       0       30       28        2        0
23321 karbon-trinity                     	       0        4        4        0        0
23322 karm-trinity                       	       0       24       24        0        0
23323 kasteroids-trinity                 	       0       23        0        0       23
23324 kasts                              	       0        8        7        1        0
23325 kasumi                             	       0       65       63        2        0
23326 katapult-trinity                   	       0        1        1        0        0
23327 katarakt                           	       0       10       10        0        0
23328 kate-data                          	       0       90        0        0       90
23329 kate-plugins-trinity               	       0       26       26        0        0
23330 katex                              	       0        2        2        0        0
23331 katomic                            	       0       60       57        3        0
23332 katomic-trinity                    	       0       23        0        0       23
23333 kaudiocreator-trinity              	       0       24       24        0        0
23334 kawari8                            	       0        3        3        0        0
23335 kazehakase                         	       0        1        1        0        0
23336 kazehakase-gecko                   	       0        1        1        0        0
23337 kbabel-trinity                     	       0        1        1        0        0
23338 kbabel-trinity-dev                 	       0        1        1        0        0
23339 kbackgammon-trinity                	       0       23        0        0       23
23340 kbackup                            	       0        8        8        0        0
23341 kball                              	       0        9        9        0        0
23342 kball-data                         	       0        9        0        0        9
23343 kbattleship                        	       0        2        0        0        2
23344 kbattleship-trinity                	       0       23        0        0       23
23345 kbdd                               	       0        2        2        0        0
23346 kbibtex                            	       0       14       14        0        0
23347 kbibtex-data                       	       0       13        0        0       13
23348 kbiff-trinity                      	       0        2        2        0        0
23349 kblackbox                          	       0       57       54        3        0
23350 kblackbox-trinity                  	       0       23        0        0       23
23351 kblocks                            	       0       66       61        5        0
23352 kbookreader-trinity                	       0        1        1        0        0
23353 kbounce                            	       0       58       55        3        0
23354 kbounce-trinity                    	       0       23        0        0       23
23355 kbreakout                          	       0       64       60        4        0
23356 kbruch                             	       0       46       44        2        0
23357 kbruch-trinity                     	       0       23       23        0        0
23358 kbstate                            	       0        1        1        0        0
23359 kbstate-trinity                    	       0       25       25        0        0
23360 kbtin                              	       0        1        1        0        0
23361 kbugbuster-trinity                 	       0        1        1        0        0
23362 kbuild                             	       0       15       15        0        0
23363 kcachegrind                        	       0       57       55        2        0
23364 kcachegrind-converters             	       0        7        7        0        0
23365 kcalc-trinity                      	       0       30       30        0        0
23366 kcat                               	       0        3        3        0        0
23367 kcemu                              	       0        1        1        0        0
23368 kcemu-common                       	       0        1        1        0        0
23369 kcharselect                        	       0       77       74        3        0
23370 kchart-trinity                     	       0        3        3        0        0
23371 kcheckers                          	       0        5        4        1        0
23372 kchmviewer                         	       0       27       26        1        0
23373 kchmviewer-trinity                 	       0        2        2        0        0
23374 kcollectd                          	       0        5        5        0        0
23375 kcoloredit-trinity                 	       0       25       25        0        0
23376 kconfig-frontends                  	       0        3        3        0        0
23377 kcontrol-autostart-trinity         	       0        4        4        0        0
23378 kcov                               	       0        1        1        0        0
23379 kcptun                             	       0        1        1        0        0
23380 kcpuload-trinity                   	       0        1        1        0        0
23381 kcron                              	       0        1        0        0        1
23382 kcron-trinity                      	       0       25       25        0        0
23383 kdat                               	       0        1        1        0        0
23384 kdat-trinity                       	       0       24       24        0        0
23385 kdbg-trinity                       	       0        2        2        0        0
23386 kdbusnotification-trinity          	       0        2        2        0        0
23387 kdc2tiff                           	       0        1        1        0        0
23388 kde-base-artwork                   	       0        8        0        0        8
23389 kde-baseapps                       	       0      506        0        0      506
23390 kde-baseapps-data                  	       0       20        0        0       20
23391 kde-config-baloo-advanced          	       0        1        1        0        0
23392 kde-config-cddb                    	       0       74        3        0       71
23393 kde-config-fcitx                   	       0        5        5        0        0
23394 kde-config-fcitx5                  	       0        8        6        0        2
23395 kde-config-flatpak                 	       0       13        0        0       13
23396 kde-config-gtk-style-preview       	       0       35        0        0       35
23397 kde-config-mailtransport           	       0      491        0        0      491
23398 kde-config-mobile-networking       	       0        7        0        0        7
23399 kde-config-phonon-xine             	       0        1        1        0        0
23400 kde-config-plymouth                	       0       13       13        0        0
23401 kde-config-telepathy-accounts      	       0        6        0        0        6
23402 kde-config-touchpad                	       0        2        0        0        2
23403 kde-config-updates                 	       0      418        2        1      415
23404 kde-full                           	       0       31        0        0       31
23405 kde-games-core-declarative         	       0        3        3        0        0
23406 kde-i18n-cs-trinity                	       0        1        0        0        1
23407 kde-i18n-de                        	       0        1        0        0        1
23408 kde-i18n-de-trinity                	       0        3        0        0        3
23409 kde-i18n-engb-trinity              	       0        1        0        0        1
23410 kde-i18n-es-trinity                	       0        1        0        0        1
23411 kde-i18n-fr-trinity                	       0        1        0        0        1
23412 kde-i18n-pl-trinity                	       0        1        0        0        1
23413 kde-i18n-ru-trinity                	       0        1        0        0        1
23414 kde-i18n-sk-trinity                	       0        1        0        0        1
23415 kde-icons-crystal                  	       0        2        0        0        2
23416 kde-icons-gorilla                  	       0        1        0        0        1
23417 kde-icons-kneu                     	       0        1        0        0        1
23418 kde-icons-korilla                  	       0        1        0        0        1
23419 kde-icons-mono                     	       0       21        0        0       21
23420 kde-icons-noia                     	       0        1        0        0        1
23421 kde-icons-nuovext                  	       0        1        0        0        1
23422 kde-icons-nuvola                   	       0        3        0        0        3
23423 kde-icons-oxygen                   	       0        1        0        0        1
23424 kde-l10n-de                        	       0        7        1        0        6
23425 kde-l10n-engb                      	       0        1        0        0        1
23426 kde-l10n-es                        	       0        1        0        0        1
23427 kde-l10n-eu                        	       0        1        0        0        1
23428 kde-l10n-fr                        	       0        1        0        0        1
23429 kde-l10n-ru                        	       0        1        0        0        1
23430 kde-plasma-desktop                 	       0      494        0        0      494
23431 kde-plasma-netbook                 	       0        1        0        0        1
23432 kde-standard                       	       0      434        0        0      434
23433 kde-style-breeze-data              	       0       12        0        0       12
23434 kde-style-oxygen-qt4               	       0        5        5        0        0
23435 kde-style-oxygen-transparent       	       0        1        1        0        0
23436 kde-style-qtcurve                  	       0        3        3        0        0
23437 kde-style-qtcurve-qt4              	       0       25        0        0       25
23438 kde-systemsettings-trinity         	       0        1        0        0        1
23439 kde-telepathy                      	       0        5        0        0        5
23440 kde-telepathy-approver             	       0        5        4        0        1
23441 kde-telepathy-auth-handler         	       0        5        0        0        5
23442 kde-telepathy-call-ui              	       0        5        5        0        0
23443 kde-telepathy-contact-list         	       0        5        5        0        0
23444 kde-telepathy-data                 	       0       11        2        0        9
23445 kde-telepathy-desktop-applets      	       0        6        2        0        4
23446 kde-telepathy-filetransfer-handler 	       0        5        0        0        5
23447 kde-telepathy-integration-module   	       0        5        4        0        1
23448 kde-telepathy-kaccounts            	       0        9        5        0        4
23449 kde-telepathy-kpeople              	       0        9        1        0        8
23450 kde-telepathy-minimal              	       0        5        0        0        5
23451 kde-telepathy-send-file            	       0        7        7        0        0
23452 kde-telepathy-text-ui              	       0        5        5        0        0
23453 kde-thumbnailer-deb                	       0       13        0        0       13
23454 kde-wallpapers                     	       0       14        0        0       14
23455 kde-wallpapers-default             	       0       16        0        0       16
23456 kde-wallpapers-lineartreworked     	       0        1        0        0        1
23457 kde-workspace                      	       0        3        0        0        3
23458 kde-workspace-data                 	       0        3        0        0        3
23459 kde-zeroconf                       	       0       10        2        0        8
23460 kdeaccessibility                   	       0      443        0        0      443
23461 kdeaccessibility-doc-html          	       0        1        0        0        1
23462 kdeaccessibility-trinity-doc-html  	       0        1        0        0        1
23463 kdeaddons-doc-html                 	       0        2        0        0        2
23464 kdeaddons-trinity-doc-html         	       0        1        0        0        1
23465 kdeadmin                           	       0       46        0        0       46
23466 kdeadmin-doc-html                  	       0        1        0        0        1
23467 kdeadmin-trinity-doc-html          	       0        1        0        0        1
23468 kdeartwork                         	       0       12        0        0       12
23469 kdeartwork-dbg                     	       0        1        1        0        0
23470 kdeartwork-emoticons               	       0       15        0        0       15
23471 kdeartwork-style                   	       0        1        1        0        0
23472 kdeartwork-theme-icon              	       0        9        0        0        9
23473 kdeartwork-theme-window            	       0        1        1        0        0
23474 kdeartwork-wallpapers              	       0       14        0        0       14
23475 kdebase                            	       0        1        0        0        1
23476 kdebase-bin                        	       0        2        0        0        2
23477 kdebase-data-trinity               	       0        1        0        0        1
23478 kdebase-doc-html                   	       0        1        0        0        1
23479 kdebase-kio-smb-trinity            	       0        1        0        0        1
23480 kdebase-runtime                    	       0        2        0        0        2
23481 kdebase-trinity-doc-html           	       0        1        0        0        1
23482 kdebugsettings                     	       0        2        2        0        0
23483 kded5-dev                          	       0        4        0        0        4
23484 kdeedu                             	       0       41        0        0       41
23485 kdeedu-data                        	       0       64        0        0       64
23486 kdeedu-doc-html                    	       0        1        0        0        1
23487 kdeedu-kvtml-data                  	       0       59        0        0       59
23488 kdeedu-trinity-doc-html            	       0        1        0        0        1
23489 kdeeject                           	       0        1        1        0        0
23490 kdegames                           	       0       54        0        0       54
23491 kdegames-card-data                 	       0       12        0        0       12
23492 kdegames-card-data-kf5             	       0       90        0        0       90
23493 kdegames-doc-html                  	       0        1        0        0        1
23494 kdegames-mahjongg-data             	       0        6        0        0        6
23495 kdegames-mahjongg-data-kf5         	       0       85        0        0       85
23496 kdegames-mahjongg-data-kf6         	       0        5        0        0        5
23497 kdegraphics                        	       0       43        0        0       43
23498 kdegraphics-doc-html               	       0        1        0        0        1
23499 kdegraphics-mobipocket             	       0       12        1        0       11
23500 kdegraphics-thumbnailers           	       0      485        1        1      483
23501 kdegraphics-trinity-doc-html       	       0        1        0        0        1
23502 kdelibs                            	       0        1        0        0        1
23503 kdelibs-data                       	       0        4        4        0        0
23504 kdelibs-data-trinity               	       0        1        0        0        1
23505 kdelibs-trinity                    	       0        1        0        0        1
23506 kdelibs4c2a                        	       0        2        2        0        0
23507 kdelibs4c2a-trinity                	       0        1        0        0        1
23508 kdelibs5-data                      	       0       78        0        0       78
23509 kdelibs5-dev                       	       0        1        1        0        0
23510 kdelirc                            	       0        1        0        0        1
23511 kdemultimedia                      	       0       48        0        0       48
23512 kdemultimedia-doc-html             	       0        1        0        0        1
23513 kdemultimedia-kio-plugins          	       0        2        0        0        2
23514 kdemultimedia-trinity-doc-html     	       0        1        0        0        1
23515 kdenetwork                         	       0       44        0        0       44
23516 kdenetwork-doc-html                	       0        1        0        0        1
23517 kdenetwork-filesharing             	       0       47       41        4        2
23518 kdenetwork-trinity-doc-html        	       0        1        0        0        1
23519 kdenlive-data                      	       0      137        1        0      136
23520 kdepim                             	       0       42        0        0       42
23521 kdepim-doc                         	       0        6        0        0        6
23522 kdepimlibs-data                    	       0       12        0        0       12
23523 kdeplasma-addons                   	       0        2        0        0        2
23524 kdeplasma-applets-xrdesktop        	       0        2        0        0        2
23525 kdeprint                           	       0        1        1        0        0
23526 kdesdk                             	       0       38        0        0       38
23527 kdesdk-dolphin-plugins             	       0        1        0        0        1
23528 kdesdk-kio-plugins                 	       0        2        1        0        1
23529 kdesdk-misc                        	       0        1        1        0        0
23530 kdesdk-thumbnailers                	       0       45        0        0       45
23531 kdesignerplugin                    	       0        1        0        0        1
23532 kdesignerplugin-data               	       0       10        0        0       10
23533 kdesvn                             	       0        8        8        0        0
23534 kdesvn-kio-plugins                 	       0        9        2        0        7
23535 kdetoys                            	       0       44        0        0       44
23536 kdeutils                           	       0       50        0        0       50
23537 kdeutils-doc-html                  	       0        1        0        0        1
23538 kdevdesigner-trinity               	       0        1        1        0        0
23539 kdevelop-data                      	       0       49       47        2        0
23540 kdevelop-dev                       	       0        5        5        0        0
23541 kdevelop-l10n                      	       0       15        0        0       15
23542 kdevelop-pg-qt                     	       0        5        5        0        0
23543 kdevelop-php                       	       0        8        8        0        0
23544 kdevelop-php-l10n                  	       0        4        0        0        4
23545 kdevelop-python                    	       0       13        0        0       13
23546 kdevelop-python-data               	       0       13        0        0       13
23547 kdevelop-python-l10n               	       0        6        0        0        6
23548 kdevelop-trinity                   	       0        1        0        0        1
23549 kdevelop510-libs                   	       0       29        0        0       29
23550 kdevelop512-libs                   	       0        5        0        0        5
23551 kdevelop53-libs                    	       0        3        0        0        3
23552 kdevelop56-libs                    	       0       10        1        0        9
23553 kdevelop61-libs                    	       0        3        0        0        3
23554 kdevplatform-l10n                  	       0        1        0        0        1
23555 kdevplatform10-libs                	       0        1        1        0        0
23556 kdewallpapers                      	       0        2        0        0        2
23557 kdewebdev                          	       0       40        0        0       40
23558 kdf                                	       0       64       61        3        0
23559 kdf-trinity                        	       0       23       23        0        0
23560 kdiamond                           	       0       60       57        3        0
23561 kdict-trinity                      	       0       23       23        0        0
23562 kdiff3-doc                         	       0       79        0        0       79
23563 kdiff3-qt                          	       0        9        0        0        9
23564 kdiff3-trinity                     	       0        3        3        0        0
23565 kdiff3-trinity-doc                 	       0        3        0        0        3
23566 kdirstat-trinity                   	       0        3        3        0        0
23567 kdiskmark                          	       0        9        9        0        0
23568 kdm-gdmcompat                      	       0        1        1        0        0
23569 kdm-theme-aperture                 	       0        1        0        0        1
23570 kdm-theme-bespin                   	       0        1        0        0        1
23571 kdm-theme-tibanna                  	       0        1        0        0        1
23572 kdocker                            	       0       10       10        0        0
23573 kdoctools-dev                      	       0        1        0        0        1
23574 kdoctools6                         	       0       14       11        3        0
23575 kdpkg-trinity                      	       0        1        1        0        0
23576 kdrill                             	       0        1        1        0        0
23577 kdvi-trinity                       	       0       22       22        0        0
23578 kea                                	       0        3        0        0        3
23579 kea-admin                          	       0        3        3        0        0
23580 kea-doc                            	       0        2        0        0        2
23581 kedit                              	       0        1        1        0        0
23582 kedit-trinity                      	       0       26       26        0        0
23583 keduca-trinity                     	       0       23       23        0        0
23584 keep                               	       0        1        1        0        0
23585 keepalived                         	       0        4        4        0        0
23586 keepass2-doc                       	       0        9        0        0        9
23587 keepass2-plugin-keepasshttp        	       0        5        5        0        0
23588 keepassx                           	       0       56       14        0       42
23589 keepassxc-minimal                  	       0        5        5        0        0
23590 keeweb-desktop                     	       0        1        1        0        0
23591 kel-agent                          	       0        3        3        0        0
23592 kelbt                              	       0        1        1        0        0
23593 kenolaba                           	       0        1        1        0        0
23594 kenolaba-trinity                   	       0       22        0        0       22
23595 kephra                             	       0        1        1        0        0
23596 kerio-control-vpnclient            	       0        1        1        0        0
23597 kernel-common                      	       0        9        0        0        9
23598 kernel-image-5.10.0-22-amd64-di    	       0        1        0        0        1
23599 kernel-mft-dkms                    	       0        1        1        0        0
23600 kernel-package                     	       0       20       20        0        0
23601 kernel-patch-nfs-ngroups           	       0        1        0        0        1
23602 kernel-patch-scripts               	       0        1        1        0        0
23603 kernelshark                        	       0        6        6        0        0
23604 kerneltop                          	       0        9        8        1        0
23605 ket-keyring                        	       0        1        0        0        1
23606 ketchup                            	       0        1        1        0        0
23607 ketm                               	       0        1        1        0        0
23608 ketm-data                          	       0        1        0        0        1
23609 keurocalc                          	       0        9        9        0        0
23610 keurocalc-data                     	       0       10        0        0       10
23611 kexi                               	       0       13       13        0        0
23612 kexi-data                          	       0       14        0        0       14
23613 kexi-mysql-driver                  	       0        4        0        0        4
23614 kexi-postgresql-driver             	       0        2        0        0        2
23615 kexi-trinity                       	       0        4        4        0        0
23616 kexi-web-form-widget               	       0        6        0        0        6
23617 key-mapper                         	       0        1        1        0        0
23618 key-mon                            	       0        1        1        0        0
23619 key2odp                            	       0        1        1        0        0
23620 keyanalyze                         	       0        1        0        0        1
23621 keybase                            	       0       11       11        0        0
23622 keybinder-3.0-doc                  	       0        2        0        0        2
23623 keybinder-doc                      	       0        1        0        0        1
23624 keyboard-configuration             	       0     4101        0        0     4101
23625 keyboard-leds-trayicons            	       0        6        6        0        0
23626 keyboards-rg                       	       0        1        1        0        0
23627 keyboxd                            	       0        1        1        0        0
23628 keydb                              	       0        1        0        0        1
23629 keydb-server                       	       0        1        1        0        0
23630 keydb-tools                        	       0        1        1        0        0
23631 keygen2keylog                      	       0        1        1        0        0
23632 keylaunch                          	       0        3        3        0        0
23633 keymapper                          	       0        5        5        0        0
23634 keynav                             	       0        3        3        0        0
23635 keyringer                          	       0        2        2        0        0
23636 keystone                           	       0        1        1        0        0
23637 keysync                            	       0        1        1        0        0
23638 keytouch-data                      	       0        1        0        0        1
23639 keytouch-editor                    	       0        2        2        0        0
23640 kf5-kdepim-apps-libs-data          	       0      109        0        0      109
23641 kf5-kdepimlibs-kio-plugins         	       0       10        0        0       10
23642 kf6-breeze-icon-theme              	       0       50        0        0       50
23643 kf6-breeze-icon-theme-rcc          	       0        2        0        0        2
23644 kfax-trinity                       	       0       22       22        0        0
23645 kfaxview                           	       0        1        1        0        0
23646 kfaxview-trinity                   	       0       22       22        0        0
23647 kfilereplace                       	       0        2        2        0        0
23648 kfloppy                            	       0        5        5        0        0
23649 kfloppy-trinity                    	       0       23       23        0        0
23650 kformula-trinity                   	       0        3        3        0        0
23651 kfouleggs                          	       0        1        1        0        0
23652 kfouleggs-trinity                  	       0       22        0        0       22
23653 kfourinline                        	       0       59       55        4        0
23654 kfreebsd-source-10.3               	       0        1        0        0        1
23655 kftpgrabber-trinity                	       0        1        1        0        0
23656 kgames                             	       0        9        9        0        0
23657 kgamma                             	       0       21        0        0       21
23658 kgamma5                            	       0      518        2        0      516
23659 kgendesignerplugin                 	       0        9        0        0        9
23660 kgendesignerplugin-bin             	       0        9        8        1        0
23661 kgeography                         	       0       54       52        2        0
23662 kgeography-data                    	       0       55        0        0       55
23663 kgeography-data-trinity            	       0       23        0        0       23
23664 kgeography-trinity                 	       0       23       23        0        0
23665 kgeotag                            	       0        2        2        0        0
23666 kget                               	       0       60       56        4        0
23667 kget-doc                           	       0        8        0        0        8
23668 kghostview                         	       0        1        1        0        0
23669 kgoldrunner                        	       0       56       53        3        0
23670 kgoldrunner-trinity                	       0       22        0        0       22
23671 kgpg-trinity                       	       0       25       25        0        0
23672 kgrapheditor                       	       0        1        1        0        0
23673 khal                               	       0       22       21        1        0
23674 khal-doc                           	       0        3        0        0        3
23675 khangman                           	       0       46       44        2        0
23676 khangman-trinity                   	       0       23       23        0        0
23677 khelpcenter-data                   	       0       13        0        0       13
23678 khelpcenter-trinity                	       0       33       33        0        0
23679 khexedit-trinity                   	       0       25       25        0        0
23680 khmer                              	       0        1        1        0        0
23681 khmer-common                       	       0        2        0        0        2
23682 khmerconverter                     	       0        2        2        0        0
23683 khronos-api                        	       0        6        6        0        0
23684 kiauto                             	       0        1        1        0        0
23685 kibot                              	       0        1        1        0        0
23686 kicad-common                       	       0       10        0        0       10
23687 kicad-demos                        	       0      108        0        0      108
23688 kicad-doc-ca                       	       0        1        0        0        1
23689 kicad-doc-de                       	       0       17        0        0       17
23690 kicad-doc-en                       	       0       41        0        0       41
23691 kicad-doc-es                       	       0        7        0        0        7
23692 kicad-doc-fr                       	       0        5        0        0        5
23693 kicad-doc-id                       	       0        2        0        0        2
23694 kicad-doc-it                       	       0        4        0        0        4
23695 kicad-doc-ja                       	       0        1        0        0        1
23696 kicad-doc-nl                       	       0        2        0        0        2
23697 kicad-doc-pl                       	       0        1        0        0        1
23698 kicad-doc-ru                       	       0        8        0        0        8
23699 kicad-doc-zh                       	       0        4        0        0        4
23700 kicad-footprints                   	       0      117        0        0      117
23701 kicad-libraries                    	       0      114        0        0      114
23702 kicad-packages3d                   	       0       48        0        0       48
23703 kicad-symbols                      	       0      117        0        0      117
23704 kicad-templates                    	       0      115        0        0      115
23705 kickpass                           	       0        1        1        0        0
23706 kid3-cli                           	       0        9        9        0        0
23707 kid3-qt                            	       0       22       21        1        0
23708 kig                                	       0       51       49        2        0
23709 kigo                               	       0       61       58        3        0
23710 kiki                               	       0        1        1        0        0
23711 kiki-the-nano-bot                  	       0        4        4        0        0
23712 kiki-the-nano-bot-data             	       0        4        0        0        4
23713 kildclient-doc                     	       0        2        0        0        2
23714 kile                               	       0       24       24        0        0
23715 kile-doc                           	       0        4        0        0        4
23716 kile-i18n-de                       	       0        1        0        0        1
23717 kile-l10n                          	       0        5        0        0        5
23718 kile-trinity                       	       0        2        2        0        0
23719 kile-trinity-i18n-pl               	       0        1        0        0        1
23720 killbots                           	       0       57       54        3        0
23721 kima-trinity                       	       0        1        1        0        0
23722 kimagemapeditor                    	       0       42       40        2        0
23723 kimagemapeditor-trinity            	       0       23       23        0        0
23724 kimwitu                            	       0        1        1        0        0
23725 kimwitu-doc                        	       0        1        0        0        1
23726 kindleclip                         	       0        2        2        0        0
23727 kindlecomicconverter               	       0        1        1        0        0
23728 kindletool                         	       0        1        1        0        0
23729 kineticstools                      	       0        1        1        0        0
23730 kineticstools-data                 	       0        1        0        0        1
23731 king                               	       0        2        2        0        0
23732 king-probe                         	       0        1        1        0        0
23733 kinit-dev                          	       0       10        0        0       10
23734 kino                               	       0       10       10        0        0
23735 kinput2-canna                      	       0        3        3        0        0
23736 kinput2-canna-wnn                  	       0        1        1        0        0
23737 kinput2-common                     	       0        3        0        0        3
23738 kinput2-wnn                        	       0        1        1        0        0
23739 kio-admin                          	       0        7        1        0        6
23740 kio-apt                            	       0        1        1        0        0
23741 kio-audiocd                        	       0       83        5        0       78
23742 kio-audiocd-dev                    	       0        4        4        0        0
23743 kio-dev                            	       0        1        0        0        1
23744 kio-ftps                           	       0        1        1        0        0
23745 kio-fuse                           	       0       35       32        3        0
23746 kio-gopher                         	       0        4        1        0        3
23747 kio-ldap                           	       0      488        0        0      488
23748 kio-locate                         	       0        1        1        0        0
23749 kio-mtp                            	       0        2        2        0        0
23750 kio-perldoc                        	       0       40        0        0       40
23751 kio-sieve                          	       0        2        0        0        2
23752 kipi-plugins                       	       0        9        5        0        4
23753 kipi-plugins-common                	       0       15        0        0       15
23754 kipi-plugins-trinity               	       0       11       11        0        0
23755 kirigami-addons-data               	       0       68        0        0       68
23756 kirigami-addons-dev                	       0        1        0        0        1
23757 kirigami-addons5-data              	       0       22        0        0       22
23758 kirigami2-dev                      	       0        9        8        1        0
23759 kismet                             	       0       12       11        0        1
23760 kismet-adsb-icao-data              	       0        1        0        0        1
23761 kismet-capture-linux-bluetooth     	       0        1        1        0        0
23762 kismet-capture-linux-wifi          	       0        1        1        0        0
23763 kismet-capture-nrf-51822           	       0        1        1        0        0
23764 kismet-capture-nrf-52840           	       0        1        1        0        0
23765 kismet-capture-nrf-mousejack       	       0        1        1        0        0
23766 kismet-capture-nxp-kw41z           	       0        1        1        0        0
23767 kismet-capture-rz-killerbee        	       0        1        1        0        0
23768 kismet-capture-ti-cc-2531          	       0        1        1        0        0
23769 kismet-capture-ti-cc-2540          	       0        1        1        0        0
23770 kismet-capture-ubertooth-one       	       0        1        1        0        0
23771 kismet-core                        	       0        1        1        0        0
23772 kismet-logtools                    	       0        1        1        0        0
23773 kismet-plugins                     	       0        6        6        0        0
23774 kiso                               	       0        1        1        0        0
23775 kissfft-tools                      	       0        1        1        0        0
23776 kitchensync-trinity                	       0        8        8        0        0
23777 kiten                              	       0       46       44        2        0
23778 kiten-trinity                      	       0       23       23        0        0
23779 kitty-doc                          	       0       65        0        0       65
23780 kitty-terminfo                     	       0       75        0        0       75
23781 kitware-archive-keyring            	       0        1        0        0        1
23782 kivio-data                         	       0        1        0        0        1
23783 kivio-data-trinity                 	       0        4        0        0        4
23784 kivio-trinity                      	       0        4        4        0        0
23785 kiwi                               	       0        2        2        0        0
23786 kiwi-systemdeps                    	       0        1        0        0        1
23787 kiwi-systemdeps-bootloaders        	       0        1        0        0        1
23788 kiwi-systemdeps-containers         	       0        1        0        0        1
23789 kiwi-systemdeps-core               	       0        1        0        0        1
23790 kiwi-systemdeps-disk-images        	       0        1        0        0        1
23791 kiwi-systemdeps-filesystems        	       0        1        0        0        1
23792 kiwi-systemdeps-iso-media          	       0        1        0        0        1
23793 kiwix                              	       0        9        9        0        0
23794 kiwix-lib-git                      	       0        1        1        0        0
23795 kiwix-tools                        	       0        4        4        0        0
23796 kjots                              	       0        3        3        0        0
23797 kjots-trinity                      	       0       22       22        0        0
23798 kjumpingcube                       	       0       56       53        3        0
23799 kjumpingcube-trinity               	       0       22        0        0       22
23800 klangfalter-lv2                    	       0        1        1        0        0
23801 klatexformula                      	       0        4        4        0        0
23802 klatin                             	       0        1        1        0        0
23803 klatin-trinity                     	       0       23       23        0        0
23804 klaus                              	       0        1        0        0        1
23805 klavaro                            	       0       33       33        0        0
23806 klayout                            	       0        3        3        0        0
23807 kleopatra                          	       0       71       69        2        0
23808 kleopatra-trinity                  	       0       25       25        0        0
23809 klettres                           	       0       47       45        2        0
23810 klettres-data                      	       0       49        0        0       49
23811 klettres-data-trinity              	       0       23        0        0       23
23812 klettres-trinity                   	       0       23       23        0        0
23813 klick                              	       0        7        7        0        0
23814 klickety                           	       0       59       55        4        0
23815 klickety-trinity                   	       0       22        0        0       22
23816 klines                             	       0       57       54        3        0
23817 klines-trinity                     	       0       22        0        0       22
23818 klinkstatus                        	       0        2        2        0        0
23819 klinkstatus-trinity                	       0       24       24        0        0
23820 klog                               	       0        8        8        0        0
23821 klogd                              	       0        2        2        0        0
23822 kluppe                             	       0        2        2        0        0
23823 klystrack                          	       0        3        3        0        0
23824 kma                                	       0        2        2        0        0
23825 kmag-trinity                       	       0       24       24        0        0
23826 kmahjongg-trinity                  	       0       22        0        0       22
23827 kmailcvt-trinity                   	       0       23       23        0        0
23828 kmailtransport-plugins             	       0        6        0        0        6
23829 kmc                                	       0        1        1        0        0
23830 kmenuedit-trinity                  	       0       32       32        0        0
23831 kmer                               	       0        1        0        0        1
23832 kmer-examples                      	       0        1        0        0        1
23833 kmetronome                         	       0       13       13        0        0
23834 kmfl-keyboard-ipa                  	       0        1        0        0        1
23835 kmilo-legacy-trinity               	       0        1        1        0        0
23836 kmines-trinity                     	       0       22        0        0       22
23837 kmldonkey                          	       0        1        1        0        0
23838 kmobiletools                       	       0        1        1        0        0
23839 kmod-build-deps                    	       0        1        0        0        1
23840 kmod-dbgsym                        	       0        1        1        0        0
23841 kmod-zfs-5.10.0-18-amd64           	       0        1        1        0        0
23842 kmod-zfs-devel-5.10.0-15-amd64     	       0        1        0        0        1
23843 kmon                               	       0        3        3        0        0
23844 kmousetool-trinity                 	       0       22       22        0        0
23845 kmouth-trinity                     	       0       22       22        0        0
23846 kmplayer                           	       0        7        7        0        0
23847 kmplayer-base-trinity              	       0        2        2        0        0
23848 kmplayer-trinity                   	       0        2        2        0        0
23849 kmplot                             	       0       50       48        2        0
23850 kmplot-trinity                     	       0       23       23        0        0
23851 kmrml-trinity                      	       0        9        9        0        0
23852 kmscon                             	       0        2        2        0        0
23853 kmscube                            	       0        4        4        0        0
23854 kmtrace                            	       0        1        1        0        0
23855 kmtrace-trinity                    	       0        1        1        0        0
23856 kmymoney                           	       0        8        8        0        0
23857 kmymoney-common                    	       0        8        0        0        8
23858 kmymoney2-common-trinity           	       0        1        0        0        1
23859 kmymoney2-trinity                  	       0        1        1        0        0
23860 knavalbattle                       	       0       57       54        3        0
23861 knemo-trinity                      	       0        3        3        0        0
23862 knetload-trinity                   	       0        1        1        0        0
23863 knetwalk                           	       0       60       57        3        0
23864 knetwalk-trinity                   	       0       22        0        0       22
23865 knetworkconf                       	       0        1        1        0        0
23866 knetworkconf-trinity               	       0       24       24        0        0
23867 knews                              	       0        8        8        0        0
23868 knewsticker-scripts-trinity        	       0        1        0        0        1
23869 knewsticker-trinity                	       0       23       23        0        0
23870 knewstuff-dialog                   	       0        3        3        0        0
23871 knights                            	       0       60       55        5        0
23872 knmap-trinity                      	       0        1        1        0        0
23873 knnimdenoiser                      	       0        1        1        0        0
23874 knocker                            	       0        1        1        0        0
23875 knode                              	       0        1        1        0        0
23876 knode-trinity                      	       0       26       26        0        0
23877 knot-dnssecutils                   	       0        1        1        0        0
23878 knot-dnsutils                      	       0       10       10        0        0
23879 knot-doc                           	       0        4        0        0        4
23880 knot-host                          	       0        2        2        0        0
23881 knot-resolver-module-http          	       0        5        4        1        0
23882 knutclient-trinity                 	       0        1        1        0        0
23883 knxd                               	       0        1        1        0        0
23884 knxd-tools                         	       0        1        1        0        0
23885 ko.tex-extra-hlfont                	       0        4        0        0        4
23886 kodi-addons-dev                    	       0        6        6        0        0
23887 kodi-addons-dev-common             	       0        5        5        0        0
23888 kodi-audiodecoder-fluidsynth       	       0        3        0        0        3
23889 kodi-audiodecoder-modplug          	       0        1        0        0        1
23890 kodi-audiodecoder-openmpt          	       0        3        0        0        3
23891 kodi-audiodecoder-sidplay          	       0        3        0        0        3
23892 kodi-audioencoder-flac             	       0        6        0        0        6
23893 kodi-audioencoder-lame             	       0        7        0        0        7
23894 kodi-audioencoder-vorbis           	       0        7        0        0        7
23895 kodi-audioencoder-wav              	       0        7        0        0        7
23896 kodi-eventclients-common           	       0        7        2        0        5
23897 kodi-eventclients-dev              	       0        1        0        0        1
23898 kodi-eventclients-dev-common       	       0        1        1        0        0
23899 kodi-eventclients-kodi-send        	       0        2        2        0        0
23900 kodi-eventclients-ps3              	       0        2        2        0        0
23901 kodi-eventclients-python           	       0        5        5        0        0
23902 kodi-eventclients-wiiremote        	       0        3        3        0        0
23903 kodi-eventclients-zeroconf         	       0        5        5        0        0
23904 kodi-game-libretro                 	       0        2        0        0        2
23905 kodi-imagedecoder-heif             	       0        5        0        0        5
23906 kodi-imagedecoder-raw              	       0        4        0        0        4
23907 kodi-inputstream-adaptive          	       0       18        0        0       18
23908 kodi-inputstream-ffmpegdirect      	       0       15        0        0       15
23909 kodi-inputstream-rtmp              	       0       15        0        0       15
23910 kodi-peripheral-joystick           	       0        4        1        0        3
23911 kodi-peripheral-xarcade            	       0        3        3        0        0
23912 kodi-pvr-argustv                   	       0        2        0        0        2
23913 kodi-pvr-dvblink                   	       0        2        0        0        2
23914 kodi-pvr-dvbviewer                 	       0        2        0        0        2
23915 kodi-pvr-filmon                    	       0        2        0        0        2
23916 kodi-pvr-hdhomerun                 	       0        2        0        0        2
23917 kodi-pvr-hts                       	       0       11        1        0       10
23918 kodi-pvr-iptvsimple                	       0       11        0        0       11
23919 kodi-pvr-mediaportal-tvserver      	       0        2        0        0        2
23920 kodi-pvr-mythtv                    	       0        3        0        0        3
23921 kodi-pvr-nextpvr                   	       0        2        0        0        2
23922 kodi-pvr-njoy                      	       0        2        0        0        2
23923 kodi-pvr-octonet                   	       0        2        0        0        2
23924 kodi-pvr-pctv                      	       0        2        0        0        2
23925 kodi-pvr-sledovanitv-cz            	       0        2        0        0        2
23926 kodi-pvr-stalker                   	       0        2        0        0        2
23927 kodi-pvr-teleboy                   	       0        2        0        0        2
23928 kodi-pvr-vbox                      	       0        1        0        0        1
23929 kodi-pvr-vdr-vnsi                  	       0        3        0        0        3
23930 kodi-pvr-vuplus                    	       0        2        0        0        2
23931 kodi-pvr-waipu                     	       0        2        0        0        2
23932 kodi-pvr-wmc                       	       0        2        0        0        2
23933 kodi-pvr-zattoo                    	       0        2        0        0        2
23934 kodi-repository-kodi               	       0       78        0        0       78
23935 kodi-screensaver-asteroids         	       0        4        0        0        4
23936 kodi-screensaver-biogenesis        	       0        3        0        0        3
23937 kodi-screensaver-greynetic         	       0        3        0        0        3
23938 kodi-screensaver-pingpong          	       0        3        0        0        3
23939 kodi-screensaver-pyro              	       0        4        0        0        4
23940 kodi-screensaver-shadertoy         	       0        3        0        0        3
23941 kodi-skin-confluence               	       0        1        0        0        1
23942 kodi-tools-texturepacker           	       0        1        1        0        0
23943 kodi-vfs-libarchive                	       0        2        0        0        2
23944 kodi-vfs-sftp                      	       0        4        1        0        3
23945 kodi-visualization-fishbmc         	       0        5        0        0        5
23946 kodi-visualization-pictureit       	       0        5        0        0        5
23947 kodi-visualization-shadertoy       	       0        6        0        0        6
23948 kodi-visualization-shadertoy-data  	       0        7        0        0        7
23949 kodi-visualization-spectrum        	       0       74        0        0       74
23950 kodi-visualization-waveform        	       0        5        0        0        5
23951 kodo-trinity                       	       0       22       22        0        0
23952 koffice-data-trinity               	       0        7        0        0        7
23953 koffice-i18n-cs-trinity            	       0        1        0        0        1
23954 koffice-i18n-ru                    	       0        1        0        0        1
23955 koffice-libs-trinity               	       0        7        7        0        0
23956 koffice-trinity                    	       0        3        0        0        3
23957 koffice-trinity-doc-html           	       0        1        0        0        1
23958 koha                               	       0        1        1        0        0
23959 koha-deps                          	       0        1        0        0        1
23960 koha-elasticsearch                 	       0        1        0        0        1
23961 koha-l10n                          	       0        1        0        0        1
23962 koha-perldeps                      	       0        1        0        0        1
23963 kolab                              	       0        1        0        0        1
23964 kolab-cli                          	       0        1        1        0        0
23965 kolab-conf                         	       0        1        1        0        0
23966 kolab-freebusy                     	       0        1        1        0        0
23967 kolab-imap                         	       0        1        0        0        1
23968 kolab-ldap                         	       0        1        0        0        1
23969 kolab-mta                          	       0        1        0        0        1
23970 kolab-saslauthd                    	       0        1        1        0        0
23971 kolab-schema                       	       0        1        0        0        1
23972 kolab-server                       	       0        1        1        0        0
23973 kolab-syncroton                    	       0        1        1        0        0
23974 kolab-webadmin                     	       0        1        1        0        0
23975 kolab-webclient                    	       0        1        0        0        1
23976 kolab-xml                          	       0        1        1        0        0
23977 kolf                               	       0       59       54        5        0
23978 kollision                          	       0       58       55        3        0
23979 kolourpaint-trinity                	       0       23       23        0        0
23980 kolourpaint4                       	       0       20        1        0       19
23981 komi                               	       0        2        2        0        0
23982 kommander                          	       0        2        2        0        0
23983 kommander-trinity                  	       0       23       23        0        0
23984 kompare-trinity                    	       0       26       26        0        0
23985 kompozer                           	       0        1        1        0        0
23986 konfont                            	       0        2        0        0        2
23987 kongress                           	       0        1        1        0        0
23988 konqueror-data                     	       0       14        0        0       14
23989 konqueror-doc                      	       0       14        0        0       14
23990 konquest                           	       0       59       56        3        0
23991 konquest-trinity                   	       0       22        0        0       22
23992 konsolekalendar                    	       0       43       41        2        0
23993 konsolekalendar-trinity            	       0       22       22        0        0
23994 kontact-trinity                    	       0       24       24        0        0
23995 konversation                       	       0       31       31        0        0
23996 konversation-data                  	       0       31        3        0       28
23997 konversation-trinity               	       0        2        2        0        0
23998 konwert-filters                    	       0      240        0        0      240
23999 koodo-reader                       	       0        1        0        0        1
24000 kooka                              	       0        1        1        0        0
24001 kooka-trinity                      	       0       26       26        0        0
24002 kookbook                           	       0        7        7        0        0
24003 kooldock-trinity                   	       0        1        1        0        0
24004 kopano-common                      	       0        1        1        0        0
24005 kopano-monitor                     	       0        1        1        0        0
24006 kopano-python-utils                	       0        1        1        0        0
24007 kopano-server-packages             	       0        1        0        0        1
24008 kopete-otr-trinity                 	       0        1        1        0        0
24009 kopete-silc-plugin                 	       0        1        1        0        0
24010 kopia                              	       0        2        2        0        0
24011 kopia-ui                           	       0        3        0        0        3
24012 koshell-trinity                    	       0        3        3        0        0
24013 koth                               	       0        1        1        0        0
24014 kotlin                             	       0        3        3        0        0
24015 kpager-trinity                     	       0       33       33        0        0
24016 kpart5-kompare                     	       0       67        0        0       67
24017 kpart6-kompare                     	       0        5        0        0        5
24018 kpartloader                        	       0       40       38        2        0
24019 kpartsplugin                       	       0        1        1        0        0
24020 kpat-trinity                       	       0       22        0        0       22
24021 kpatch                             	       0        1        1        0        0
24022 kpatch-build                       	       0        1        1        0        0
24023 kpatch-dkms                        	       0        1        1        0        0
24024 kpcli                              	       0       10       10        0        0
24025 kpeople-vcard                      	       0      701        1        0      700
24026 kpercentage-trinity                	       0       23       23        0        0
24027 kpersonalizer-trinity              	       0       34       34        0        0
24028 kpf-trinity                        	       0       23       23        0        0
24029 kphotoalbum                        	       0        7        7        0        0
24030 kplato-trinity                     	       0        3        3        0        0
24031 kplayer-trinity                    	       0        1        1        0        0
24032 kpogre                             	       0        1        1        0        0
24033 kpoker-trinity                     	       0       22        0        0       22
24034 kpovmodeler-trinity                	       0       23       23        0        0
24035 kppp                               	       0        3        3        0        0
24036 kppp-trinity                       	       0       23       23        0        0
24037 kpresenter-data-trinity            	       0        3        0        0        3
24038 kpresenter-trinity                 	       0        3        3        0        0
24039 kq                                 	       0        1        1        0        0
24040 kq-data                            	       0        1        0        0        1
24041 kqemu-common                       	       0        1        0        0        1
24042 kquickcharts-dev                   	       0       11        0        0       11
24043 kquickimageeditor-dev              	       0        2        0        0        2
24044 kraft                              	       0        6        6        0        0
24045 krakend                            	       0        1        1        0        0
24046 kramdown                           	       0        2        2        0        0
24047 krank                              	       0        3        3        0        0
24048 kraptor                            	       0        4        4        0        0
24049 kraptor-data                       	       0        4        0        0        4
24050 krb5-config                        	       0       91        0        0       91
24051 krb5-doc                           	       0       22        0        0       22
24052 krb5-gss-samples                   	       0        4        4        0        0
24053 krb5-k5tls                         	       0       11        0        0       11
24054 krb5-kdc-ldap                      	       0        4        4        0        0
24055 krb5-otp                           	       0        3        3        0        0
24056 krb5-pkinit                        	       0        7        2        0        5
24057 krb5-strength                      	       0        4        4        0        0
24058 krdc-trinity                       	       0       24       24        0        0
24059 krec-trinity                       	       0       24       24        0        0
24060 krecorder                          	       0        7        6        1        0
24061 kregexpeditor-trinity              	       0       30       30        0        0
24062 kremotecontrol                     	       0        2        2        0        0
24063 krename                            	       0       38       38        0        0
24064 krename-trinity                    	       0        4        4        0        0
24065 kretsim                            	       0        1        1        0        0
24066 kreversi                           	       0       61       58        3        0
24067 kreversi-trinity                   	       0       22        0        0       22
24068 krita-data                         	       0      138        0        0      138
24069 krita-gemini                       	       0        1        0        0        1
24070 krita-l10n                         	       0       29        0        0       29
24071 kronometer                         	       0        5        5        0        0
24072 krop                               	       0        6        6        0        0
24073 kross-dev                          	       0        2        2        0        0
24074 krosspython                        	       0       10        0        0       10
24075 krossruby                          	       0        2        0        0        2
24076 kruler-trinity                     	       0       25       25        0        0
24077 krusader-icons-trinity             	       0        1        0        0        1
24078 krusader-trinity                   	       0        5        5        0        0
24079 ksame                              	       0        1        0        0        1
24080 ksame-trinity                      	       0       22        0        0       22
24081 ksaneplugin                        	       0        1        1        0        0
24082 ksayit-trinity                     	       0       22       22        0        0
24083 kscd                               	       0        1        1        0        0
24084 kscd-trinity                       	       0       23       23        0        0
24085 kscreenlocker-dev                  	       0        2        2        0        0
24086 kse                                	       0        1        1        0        0
24087 ksensors                           	       0        1        1        0        0
24088 kshisen                            	       0       64       61        3        0
24089 kshisen-trinity                    	       0       22        0        0       22
24090 kshutdown                          	       0        6        6        0        0
24091 ksig-trinity                       	       0       23       23        0        0
24092 ksim-trinity                       	       0       22       22        0        0
24093 ksirc-trinity                      	       0       23       23        0        0
24094 ksirk                              	       0       59       56        3        0
24095 ksirtet-trinity                    	       0       22        0        0       22
24096 ksmiletris-trinity                 	       0       22        0        0       22
24097 ksmoothdock                        	       0        1        1        0        0
24098 ksmtuned                           	       0       10       10        0        0
24099 ksnake-trinity                     	       0       23        0        0       23
24100 ksnakeduel                         	       0       58       54        4        0
24101 ksniffer                           	       0        1        1        0        0
24102 ksokoban                           	       0        1        1        0        0
24103 ksokoban-trinity                   	       0       22        0        0       22
24104 kspaceduel                         	       0       56       53        3        0
24105 kspaceduel-trinity                 	       0       22        0        0       22
24106 ksplash-engine-moodin-trinity      	       0        1        1        0        0
24107 ksplash-theme-bespin               	       0        1        0        0        1
24108 kspread-trinity                    	       0        4        4        0        0
24109 kspy-trinity                       	       0        1        1        0        0
24110 ksquares                           	       0       57       54        3        0
24111 ksquirrel-trinity                  	       0        2        2        0        0
24112 kst                                	       0        3        3        0        0
24113 kst-doc                            	       0        3        0        0        3
24114 kstars                             	       0       33       33        0        0
24115 kstars-data                        	       0       35        0        0       35
24116 kstars-data-extra-tycho2           	       0        4        0        0        4
24117 kstars-data-trinity                	       0       23        0        0       23
24118 kstars-trinity                     	       0       23       23        0        0
24119 kstreamripper-trinity              	       0        1        1        0        0
24120 ksvg                               	       0        1        1        0        0
24121 ksvg-trinity                       	       0       26       26        0        0
24122 ksysguard-data                     	       0      112        6        0      106
24123 ksysguard-trinity                  	       0       32       32        0        0
24124 ksysguardd-trinity                 	       0       32       32        0        0
24125 ksystemlog-trinity                 	       0        1        1        0        0
24126 ksysv                              	       0        1        1        0        0
24127 ksysv-trinity                      	       0       25       25        0        0
24128 ktalkd-trinity                     	       0       23       23        0        0
24129 kteatime                           	       0       57       54        3        0
24130 kteatime-trinity                   	       0       24       24        0        0
24131 ktechlab                           	       0        8        8        0        0
24132 kterm                              	       0        2        2        0        0
24133 kthesaurus-trinity                 	       0        3        3        0        0
24134 ktikz                              	       0        3        3        0        0
24135 ktimer                             	       0       59       56        3        0
24136 ktimer-trinity                     	       0       23       23        0        0
24137 ktimetracker                       	       0       11       10        1        0
24138 ktip-trinity                       	       0       32       32        0        0
24139 ktls-utils                         	       0        1        1        0        0
24140 ktnef                              	       0        6        4        0        2
24141 ktnef-trinity                      	       0       23       23        0        0
24142 ktoblzcheck                        	       0        2        2        0        0
24143 ktorrent                           	       0       47       46        1        0
24144 ktorrent-data                      	       0       48        4        0       44
24145 ktorrent-trinity                   	       0        3        3        0        0
24146 ktouch-data                        	       0       63        0        0       63
24147 ktouch-trinity                     	       0       23       23        0        0
24148 ktron-trinity                      	       0       22        0        0       22
24149 kttsd                              	       0        1        0        0        1
24150 kttsd-contrib-plugins-trinity      	       0        1        1        0        0
24151 kttsd-trinity                      	       0       22       22        0        0
24152 ktuberling                         	       0       62       57        5        0
24153 ktuberling-data                    	       0       60        0        0       60
24154 ktuberling-trinity                 	       0       22        0        0       22
24155 kturtle                            	       0       47       45        2        0
24156 kturtle-trinity                    	       0       23       23        0        0
24157 ktux-trinity                       	       0       22       22        0        0
24158 kubecolor                          	       0        1        1        0        0
24159 kubectl                            	       0        8        6        2        0
24160 kubectx                            	       0        2        2        0        0
24161 kubernetes-split-yaml              	       0        1        1        0        0
24162 kubetail                           	       0        1        1        0        0
24163 kubrick                            	       0       56       53        3        0
24164 kugar-trinity                      	       0        3        3        0        0
24165 kuickshow-trinity                  	       0       23       23        0        0
24166 kuiviewer                          	       0       42       40        2        0
24167 kuiviewer-trinity                  	       0        1        1        0        0
24168 kunststoff                         	       0       12        0        0       12
24169 kupfer                             	       0        2        2        0        0
24170 kuser                              	       0        2        2        0        0
24171 kuser-trinity                      	       0       27       27        0        0
24172 kuserfeedback-bin                  	       0        4        3        1        0
24173 kuserfeedback-dev                  	       0        3        3        0        0
24174 kuserfeedback-doc                  	       0      571        0        0      571
24175 kvazaar                            	       0        1        1        0        0
24176 kverbos-trinity                    	       0       23       23        0        0
24177 kview-trinity                      	       0       24       24        0        0
24178 kviewshell                         	       0        1        1        0        0
24179 kviewshell-trinity                 	       0       23       23        0        0
24180 kvirc-data                         	       0       13        0        0       13
24181 kvirc-data-trinity                 	       0        1        1        0        0
24182 kvirc-modules                      	       0       13        0        0       13
24183 kvirc-trinity                      	       0        1        1        0        0
24184 kvmtool                            	       0        3        3        0        0
24185 kvoctrain-trinity                  	       0       23       23        0        0
24186 kvpnc                              	       0        3        3        0        0
24187 kwalify                            	       0        1        1        0        0
24188 kwaterfoxhelper                    	       0        4        3        1        0
24189 kwayland-data                      	       0     1200        0        0     1200
24190 kwayland-dev                       	       0        1        1        0        0
24191 kwayland-doc                       	       0        1        0        0        1
24192 kwayland5-data                     	       0       68        0        0       68
24193 kwayland6-data                     	       0       26        0        0       26
24194 kweather                           	       0        1        1        0        0
24195 kwifimanager-trinity               	       0       24       24        0        0
24196 kwin-addons                        	       0       23        0        0       23
24197 kwin-bismuth                       	       0        3        3        0        0
24198 kwin-dev                           	       0        7        7        0        0
24199 kwin-effect-xrdesktop              	       0        1        0        0        1
24200 kwin-style-qtcurve                 	       0        4        4        0        0
24201 kwin-wayland-backend-drm           	       0        4        1        0        3
24202 kwin4                              	       0        1        1        0        0
24203 kword-data                         	       0        1        0        0        1
24204 kword-data-trinity                 	       0        4        0        0        4
24205 kword-trinity                      	       0        4        4        0        0
24206 kwordquiz                          	       0       48       46        2        0
24207 kwordquiz-trinity                  	       0       23       23        0        0
24208 kworldclock-trinity                	       0       23       23        0        0
24209 kwstyle                            	       0        4        4        0        0
24210 kxgencert                          	       0        1        1        0        0
24211 kxsldbg-trinity                    	       0       23       23        0        0
24212 kxstudio-default-settings          	       0        1        1        0        0
24213 kxstudio-lv2-extensions            	       0        3        3        0        0
24214 kxstudio-menu                      	       0        1        0        0        1
24215 kxstudio-meta-audio-plugins-vamp   	       0        1        0        0        1
24216 kxstudio-repos                     	       0       13        0        0       13
24217 kxterm                             	       0        1        1        0        0
24218 kylin-burner                       	       0        8        7        1        0
24219 kylin-nm                           	       0        1        1        0        0
24220 kylin-scanner                      	       0        3        3        0        0
24221 kyodialog                          	       0        1        1        0        0
24222 kytos-sphinx-theme-common          	       0        2        0        0        2
24223 kyua                               	       0        1        1        0        0
24224 l3afpad                            	       0       17       16        1        0
24225 la                                 	       0        1        1        0        0
24226 labelme                            	       0        2        2        0        0
24227 labltk                             	       0        1        1        0        0
24228 labplot                            	       0        8        7        1        0
24229 labplot-data                       	       0        8        0        0        8
24230 labview-2023-community-exe         	       0        1        0        0        1
24231 labview-2023-exe-libs              	       0        1        0        0        1
24232 labview-2023-rte                   	       0        1        0        0        1
24233 labwc                              	       0        2        2        0        0
24234 lacheck                            	       0      113      110        3        0
24235 lact                               	       0        2        2        0        0
24236 ladish                             	       0        5        5        0        0
24237 laditools                          	       0        1        1        0        0
24238 ladspa-sdk                         	       0       63       61        2        0
24239 ladspalist                         	       0        6        6        0        0
24240 lagan                              	       0        3        3        0        0
24241 lam-runtime                        	       0        2        2        0        0
24242 lambdahack                         	       0        3        3        0        0
24243 lame-doc                           	       0        7        0        0        7
24244 lame-extras                        	       0        1        1        0        0
24245 laminar                            	       0        1        0        0        1
24246 laminarc                           	       0        1        1        0        0
24247 laminard                           	       0        1        1        0        0
24248 lammps-data                        	       0        1        0        0        1
24249 langford-dkms                      	       0        2        2        0        0
24250 langford-utils                     	       0        3        3        0        0
24251 language-env                       	       0        4        4        0        0
24252 lanshare                           	       0        1        1        0        0
24253 lapce                              	       0        1        1        0        0
24254 larch                              	       0        2        2        0        0
24255 largetifftools                     	       0        3        2        1        0
24256 larswm                             	       0        1        1        0        0
24257 lasagne-doc                        	       0        1        0        0        1
24258 last-align                         	       0        2        2        0        0
24259 lastpass-cli                       	       0        1        1        0        0
24260 late                               	       0        3        3        0        0
24261 late-data                          	       0        3        0        0        3
24262 latencytop                         	       0       12       12        0        0
24263 latex-beamer                       	       0        3        0        0        3
24264 latex-cjk-all                      	       0       92        0        0       92
24265 latex-cjk-chinese                  	       0       92       89        3        0
24266 latex-cjk-chinese-arphic-bkai00mp  	       0       94        1        0       93
24267 latex-cjk-chinese-arphic-bsmi00lp  	       0       92        0        0       92
24268 latex-cjk-chinese-arphic-gbsn00lp  	       0       92        1        0       91
24269 latex-cjk-chinese-arphic-gkai00mp  	       0       92        0        0       92
24270 latex-cjk-common                   	       0       94       91        3        0
24271 latex-cjk-japanese-wadalab         	       0       97        1        0       96
24272 latex-cjk-korean                   	       0       92        0        0       92
24273 latex-cjk-thai                     	       0       92       89        3        0
24274 latex-coffee-stains                	       0        1        0        0        1
24275 latex-fonts-arundina               	       0        2        0        0        2
24276 latex-fonts-sipa-arundina          	       0        2        0        0        2
24277 latex-fonts-thai-tlwg              	       0        2        0        0        2
24278 latex-make                         	       0        8        0        0        8
24279 latex-mk                           	       0        6        6        0        0
24280 latex-xcolor                       	       0        6        0        0        6
24281 latex-xft-fonts                    	       0        2        0        0        2
24282 latex209-base                      	       0        4        0        0        4
24283 latex209-bin                       	       0        2        2        0        0
24284 latex209-src                       	       0        2        0        0        2
24285 latex2html                         	       0       31       31        0        0
24286 latex2rtf                          	       0       15       15        0        0
24287 latex2rtf-doc                      	       0        6        0        0        6
24288 latexdiff                          	       0      110      106        4        0
24289 latexdraw                          	       0        5        5        0        0
24290 latexila                           	       0        9        9        0        0
24291 latexila-data                      	       0        9        0        0        9
24292 latexmk                            	       0      129      125        4        0
24293 latexml                            	       0        7        7        0        0
24294 latte-dock                         	       0       13       13        0        0
24295 launchpad-getkeys                  	       0        1        1        0        0
24296 launchpad-integration              	       0        1        0        0        1
24297 lava-common                        	       0        1        1        0        0
24298 lava-lxc-mocker                    	       0        1        1        0        0
24299 lavacli                            	       0        1        1        0        0
24300 layout                             	       0        1        1        0        0
24301 lazarus                            	       0       26        0        0       26
24302 lazarus-1.6                        	       0        1        0        0        1
24303 lazarus-2.0                        	       0        4        0        0        4
24304 lazarus-2.2                        	       0       20        0        0       20
24305 lazarus-3.0                        	       0        1        0        0        1
24306 lazarus-3.6                        	       0        3        0        0        3
24307 lazarus-3.8                        	       0        1        0        0        1
24308 lazarus-doc                        	       0        4        0        0        4
24309 lazarus-doc-1.6                    	       0        1        0        0        1
24310 lazarus-doc-2.0                    	       0        4        0        0        4
24311 lazarus-doc-2.2                    	       0       20        0        0       20
24312 lazarus-doc-3.0                    	       0        2        0        0        2
24313 lazarus-doc-3.4                    	       0        1        0        0        1
24314 lazarus-doc-3.6                    	       0        3        0        0        3
24315 lazarus-doc-3.8                    	       0        1        0        0        1
24316 lazarus-ide                        	       0       33        0        0       33
24317 lazarus-ide-1.2.4                  	       0        1        1        0        0
24318 lazarus-ide-1.6                    	       0        1        1        0        0
24319 lazarus-ide-1.8                    	       0        2        2        0        0
24320 lazarus-ide-2.0                    	       0       11       11        0        0
24321 lazarus-ide-2.2                    	       0       29       27        2        0
24322 lazarus-ide-3.0                    	       0        1        1        0        0
24323 lazarus-ide-3.4                    	       0        1        1        0        0
24324 lazarus-ide-3.6                    	       0        5        5        0        0
24325 lazarus-ide-3.8                    	       0        2        1        1        0
24326 lazarus-ide-gtk2                   	       0        5        0        0        5
24327 lazarus-ide-gtk2-1.2.4             	       0        1        1        0        0
24328 lazarus-ide-gtk2-1.6               	       0        1        1        0        0
24329 lazarus-ide-gtk2-1.8               	       0        2        2        0        0
24330 lazarus-ide-gtk2-2.0               	       0        6        6        0        0
24331 lazarus-ide-gtk2-2.2               	       0       23       21        2        0
24332 lazarus-ide-gtk2-3.0               	       0        1        1        0        0
24333 lazarus-ide-gtk2-3.4               	       0        1        1        0        0
24334 lazarus-ide-gtk2-3.6               	       0        5        5        0        0
24335 lazarus-ide-gtk2-3.8               	       0        2        1        1        0
24336 lazarus-ide-qt5                    	       0        8        0        0        8
24337 lazarus-ide-qt5-2.0                	       0        2        2        0        0
24338 lazarus-ide-qt5-2.2                	       0        6        6        0        0
24339 lazarus-ide-qt5-3.6                	       0        1        1        0        0
24340 lazarus-project                    	       0        4        4        0        0
24341 lazarus-src                        	       0        6        0        0        6
24342 lazarus-src-1.2.4                  	       0        1        1        0        0
24343 lazarus-src-1.6                    	       0        1        1        0        0
24344 lazarus-src-1.8                    	       0        2        2        0        0
24345 lazarus-src-2.0                    	       0       10        9        0        1
24346 lazarus-src-2.2                    	       0       28       26        2        0
24347 lazarus-src-3.0                    	       0        1        1        0        0
24348 lazarus-src-3.4                    	       0        1        1        0        0
24349 lazarus-src-3.6                    	       0        5        5        0        0
24350 lazarus-src-3.8                    	       0        1        0        1        0
24351 lazpaint                           	       0        1        1        0        0
24352 lazpaint-qt5                       	       0        6        6        0        0
24353 lazygal                            	       0        2        2        0        0
24354 lbackup                            	       0        4        0        0        4
24355 lbackup-2pir                       	       0        1        1        0        0
24356 lbackup-config-2pir                	       0        1        1        0        0
24357 lbdb                               	       0        5        5        0        0
24358 lbreakout2-data                    	       0       18        0        0       18
24359 lbreakouthd                        	       0        2        2        0        0
24360 lbreakouthd-data                   	       0        2        0        0        2
24361 lbry                               	       0        3        0        0        3
24362 lbt                                	       0        3        3        0        0
24363 lcalc                              	       0       12       12        0        0
24364 lcap                               	       0        1        1        0        0
24365 lcarsde-onboard-theme              	       0        1        0        0        1
24366 lcdproc-extra-drivers              	       0        7        1        0        6
24367 lcl                                	       0        7        0        0        7
24368 lcl-1.6                            	       0        3        0        0        3
24369 lcl-1.8                            	       0        2        0        0        2
24370 lcl-2.0                            	       0        9        0        0        9
24371 lcl-2.2                            	       0       28        0        0       28
24372 lcl-3.0                            	       0        1        0        0        1
24373 lcl-3.6                            	       0        5        0        0        5
24374 lcl-3.8                            	       0        2        0        0        2
24375 lcl-gtk2                           	       0        3        0        0        3
24376 lcl-gtk2-1.2.4                     	       0        1        1        0        0
24377 lcl-gtk2-1.6                       	       0        3        3        0        0
24378 lcl-gtk2-1.8                       	       0        2        2        0        0
24379 lcl-gtk2-2.0                       	       0       10       10        0        0
24380 lcl-gtk2-2.2                       	       0       29       27        2        0
24381 lcl-gtk2-3.0                       	       0        1        1        0        0
24382 lcl-gtk2-3.4                       	       0        1        1        0        0
24383 lcl-gtk2-3.6                       	       0        5        5        0        0
24384 lcl-gtk2-3.8                       	       0        2        1        1        0
24385 lcl-nogui                          	       0        2        0        0        2
24386 lcl-nogui-1.2.4                    	       0        1        1        0        0
24387 lcl-nogui-1.6                      	       0        3        3        0        0
24388 lcl-nogui-1.8                      	       0        2        2        0        0
24389 lcl-nogui-2.0                      	       0       10       10        0        0
24390 lcl-nogui-2.2                      	       0       30       28        2        0
24391 lcl-nogui-3.0                      	       0        1        1        0        0
24392 lcl-nogui-3.4                      	       0        1        1        0        0
24393 lcl-nogui-3.6                      	       0        5        5        0        0
24394 lcl-nogui-3.8                      	       0        2        1        1        0
24395 lcl-qt5                            	       0       10        0        0       10
24396 lcl-qt5-2.0                        	       0        3        3        0        0
24397 lcl-qt5-2.2                        	       0        9        9        0        0
24398 lcl-qt5-3.6                        	       0        1        1        0        0
24399 lcl-units                          	       0        7        0        0        7
24400 lcl-units-1.2.4                    	       0        1        1        0        0
24401 lcl-units-1.6                      	       0        3        3        0        0
24402 lcl-units-1.8                      	       0        2        2        0        0
24403 lcl-units-2.0                      	       0       10       10        0        0
24404 lcl-units-2.2                      	       0       28       26        2        0
24405 lcl-units-3.0                      	       0        1        1        0        0
24406 lcl-units-3.4                      	       0        1        1        0        0
24407 lcl-units-3.6                      	       0        5        5        0        0
24408 lcl-units-3.8                      	       0        2        1        1        0
24409 lcl-utils                          	       0       10        0        0       10
24410 lcl-utils-1.2.4                    	       0        1        1        0        0
24411 lcl-utils-1.6                      	       0        3        3        0        0
24412 lcl-utils-1.8                      	       0        2        2        0        0
24413 lcl-utils-2.0                      	       0        9        9        0        0
24414 lcl-utils-2.2                      	       0       28       26        2        0
24415 lcl-utils-3.0                      	       0        1        1        0        0
24416 lcl-utils-3.6                      	       0        5        5        0        0
24417 lcl-utils-3.8                      	       0        2        1        1        0
24418 lcmaps-basic-interface             	       0        1        1        0        0
24419 lcmaps-globus-interface            	       0        1        1        0        0
24420 lcmaps-openssl-interface           	       0        1        1        0        0
24421 lcomp-dkms                         	       0        2        1        0        1
24422 lcov                               	       0       19       19        0        0
24423 lcxterm                            	       0        1        1        0        0
24424 ld10k1                             	       0        5        5        0        0
24425 ldap-account-manager               	       0        3        3        0        0
24426 ldapscripts                        	       0        9        8        1        0
24427 ldb-tools                          	       0       18       17        1        0
24428 ldc                                	       0       13       12        1        0
24429 lde                                	       0        3        3        0        0
24430 ldm-server                         	       0        4        4        0        0
24431 ldm-themes                         	       0        1        0        0        1
24432 ldnsutils                          	       0       16       16        0        0
24433 ldp-docbook-dsssl                  	       0        1        0        0        1
24434 ldp-docbook-xsl                    	       0        1        0        0        1
24435 ldraw-mklist                       	       0        1        1        0        0
24436 ldraw-parts                        	       0        2        0        0        2
24437 le                                 	       0        5        5        0        0
24438 leabook                            	       0        1        0        0        1
24439 leaff                              	       0        1        1        0        0
24440 leafnode                           	       0        4        4        0        0
24441 leaktracer                         	       0        1        1        0        0
24442 leap-archive-keyring               	       0       10        0        0       10
24443 leave                              	       0        2        2        0        0
24444 lebiniou                           	       0        3        3        0        0
24445 lebiniou-data                      	       0        3        0        0        3
24446 lecm                               	       0        1        1        0        0
24447 ledger-wallets-udev                	       0        2        2        0        0
24448 leela                              	       0        1        1        0        0
24449 leela-zero                         	       0        4        4        0        0
24450 legacylauncher                     	       0        4        4        0        0
24451 legcord                            	       0        1        1        0        0
24452 legit                              	       0        1        1        0        0
24453 lego                               	       0        2        2        0        0
24454 leiningen                          	       0        7        6        1        0
24455 lemon                              	       0        4        4        0        0
24456 lenmus                             	       0        1        1        0        0
24457 lens                               	       0        1        1        0        0
24458 leocad                             	       0        8        8        0        0
24459 lepton-eda                         	       0       10       10        0        0
24460 leptonica-progs                    	       0        3        3        0        0
24461 less-dbgsym                        	       0        1        1        0        0
24462 lesstif2                           	       0       17        0        0       17
24463 lesstif2-dev                       	       0        1        1        0        0
24464 letodms                            	       0        1        1        0        0
24465 letsencrypt                        	       0       11        0        0       11
24466 letterize                          	       0        1        1        0        0
24467 levee                              	       0        1        1        0        0
24468 leveldb-doc                        	       0        1        0        0        1
24469 lexicon                            	       0        1        1        0        0
24470 lexmark-network-scan               	       0        1        1        0        0
24471 lexmark-ppd-files-lmado            	       0        1        0        0        1
24472 lfhex                              	       0        2        2        0        0
24473 lfm                                	       0        6        6        0        0
24474 lg-all                             	       0        1        0        0        1
24475 lg-base                            	       0        1        0        0        1
24476 lg-issue01to08                     	       0        1        0        0        1
24477 lg-issue09                         	       0        1        0        0        1
24478 lg-issue10                         	       0        1        0        0        1
24479 lg-issue100                        	       0        1        0        0        1
24480 lg-issue101                        	       0        1        0        0        1
24481 lg-issue102                        	       0        1        0        0        1
24482 lg-issue103                        	       0        1        0        0        1
24483 lg-issue104                        	       0        1        0        0        1
24484 lg-issue105                        	       0        1        0        0        1
24485 lg-issue106                        	       0        1        0        0        1
24486 lg-issue107                        	       0        1        0        0        1
24487 lg-issue108                        	       0        1        0        0        1
24488 lg-issue109                        	       0        1        0        0        1
24489 lg-issue11                         	       0        1        0        0        1
24490 lg-issue110                        	       0        1        0        0        1
24491 lg-issue111                        	       0        1        0        0        1
24492 lg-issue112                        	       0        1        0        0        1
24493 lg-issue113                        	       0        1        0        0        1
24494 lg-issue12                         	       0        1        0        0        1
24495 lg-issue13                         	       0        1        0        0        1
24496 lg-issue14                         	       0        1        0        0        1
24497 lg-issue15                         	       0        1        0        0        1
24498 lg-issue16                         	       0        1        0        0        1
24499 lg-issue17                         	       0        1        0        0        1
24500 lg-issue18                         	       0        1        0        0        1
24501 lg-issue19                         	       0        1        0        0        1
24502 lg-issue20                         	       0        1        0        0        1
24503 lg-issue21                         	       0        1        0        0        1
24504 lg-issue22                         	       0        1        0        0        1
24505 lg-issue23                         	       0        1        0        0        1
24506 lg-issue24                         	       0        1        0        0        1
24507 lg-issue25                         	       0        1        0        0        1
24508 lg-issue26                         	       0        1        0        0        1
24509 lg-issue27                         	       0        1        0        0        1
24510 lg-issue28                         	       0        1        0        0        1
24511 lg-issue29                         	       0        1        0        0        1
24512 lg-issue30                         	       0        1        0        0        1
24513 lg-issue31                         	       0        1        0        0        1
24514 lg-issue32                         	       0        1        0        0        1
24515 lg-issue33                         	       0        1        0        0        1
24516 lg-issue34                         	       0        1        0        0        1
24517 lg-issue35                         	       0        1        0        0        1
24518 lg-issue36                         	       0        1        0        0        1
24519 lg-issue37                         	       0        1        0        0        1
24520 lg-issue38                         	       0        1        0        0        1
24521 lg-issue39                         	       0        1        0        0        1
24522 lg-issue40                         	       0        1        0        0        1
24523 lg-issue41                         	       0        1        0        0        1
24524 lg-issue42                         	       0        1        0        0        1
24525 lg-issue43                         	       0        1        0        0        1
24526 lg-issue44                         	       0        1        0        0        1
24527 lg-issue45                         	       0        1        0        0        1
24528 lg-issue46                         	       0        1        0        0        1
24529 lg-issue47                         	       0        1        0        0        1
24530 lg-issue48                         	       0        1        0        0        1
24531 lg-issue49                         	       0        1        0        0        1
24532 lg-issue50                         	       0        1        0        0        1
24533 lg-issue51                         	       0        1        0        0        1
24534 lg-issue52                         	       0        1        0        0        1
24535 lg-issue53                         	       0        1        0        0        1
24536 lg-issue54                         	       0        1        0        0        1
24537 lg-issue55                         	       0        1        0        0        1
24538 lg-issue56                         	       0        1        0        0        1
24539 lg-issue57                         	       0        1        0        0        1
24540 lg-issue58                         	       0        1        0        0        1
24541 lg-issue59                         	       0        1        0        0        1
24542 lg-issue60                         	       0        1        0        0        1
24543 lg-issue61                         	       0        1        0        0        1
24544 lg-issue62                         	       0        1        0        0        1
24545 lg-issue63                         	       0        1        0        0        1
24546 lg-issue64                         	       0        1        0        0        1
24547 lg-issue65                         	       0        1        0        0        1
24548 lg-issue66                         	       0        1        0        0        1
24549 lg-issue67                         	       0        1        0        0        1
24550 lg-issue68                         	       0        1        0        0        1
24551 lg-issue69                         	       0        1        0        0        1
24552 lg-issue70                         	       0        1        0        0        1
24553 lg-issue71                         	       0        1        0        0        1
24554 lg-issue72                         	       0        1        0        0        1
24555 lg-issue73                         	       0        1        0        0        1
24556 lg-issue74                         	       0        1        0        0        1
24557 lg-issue75                         	       0        1        0        0        1
24558 lg-issue76                         	       0        1        0        0        1
24559 lg-issue77                         	       0        1        0        0        1
24560 lg-issue78                         	       0        1        0        0        1
24561 lg-issue79                         	       0        1        0        0        1
24562 lg-issue80                         	       0        1        0        0        1
24563 lg-issue81                         	       0        1        0        0        1
24564 lg-issue82                         	       0        1        0        0        1
24565 lg-issue83                         	       0        1        0        0        1
24566 lg-issue84                         	       0        1        0        0        1
24567 lg-issue85                         	       0        1        0        0        1
24568 lg-issue86                         	       0        1        0        0        1
24569 lg-issue87                         	       0        1        0        0        1
24570 lg-issue88                         	       0        1        0        0        1
24571 lg-issue89                         	       0        1        0        0        1
24572 lg-issue90                         	       0        1        0        0        1
24573 lg-issue91                         	       0        1        0        0        1
24574 lg-issue92                         	       0        1        0        0        1
24575 lg-issue93                         	       0        1        0        0        1
24576 lg-issue94                         	       0        1        0        0        1
24577 lg-issue95                         	       0        1        0        0        1
24578 lg-issue96                         	       0        1        0        0        1
24579 lg-issue97                         	       0        1        0        0        1
24580 lg-issue98                         	       0        1        0        0        1
24581 lg-issue99                         	       0        1        0        0        1
24582 lgeneral-data                      	       0        7        0        0        7
24583 lgogdownloader                     	       0        6        6        0        0
24584 lgrind                             	       0        3        3        0        0
24585 lha                                	       0        2        2        0        0
24586 lhs2tex                            	       0        1        1        0        0
24587 lib++dfb-1.7-7                     	       0       13        0        0       13
24588 lib++dfb-1.7-7t64                  	       0        2        0        0        2
24589 lib-utils                          	       0        1        0        0        1
24590 lib-utils2                         	       0        3        0        0        3
24591 lib25519-1                         	       0        1        0        0        1
24592 lib2geom-dev                       	       0        1        1        0        0
24593 lib2geom1.1.0                      	       0        3        0        0        3
24594 lib2geom1.2.0t64                   	       0       44        0        0       44
24595 lib32asan1                         	       0        5        0        0        5
24596 lib32asan3                         	       0        7        0        0        7
24597 lib32asan5                         	       0       18        0        0       18
24598 lib32asan5-x32-cross               	       0        1        0        0        1
24599 lib32asan6                         	       0       91        0        0       91
24600 lib32asan6-ppc64-cross             	       0        1        0        0        1
24601 lib32asan8                         	       0      135        0        0      135
24602 lib32asan8-x32-cross               	       0        4        0        0        4
24603 lib32atomic1                       	       0      183        0        0      183
24604 lib32atomic1-dbgsym                	       0        1        1        0        0
24605 lib32atomic1-ppc64-cross           	       0        1        0        0        1
24606 lib32atomic1-x32-cross             	       0        5        0        0        5
24607 lib32bz2-1.0                       	       0        6        0        0        6
24608 lib32cilkrts5                      	       0        9        0        0        9
24609 lib32cr0                           	       0        1        0        0        1
24610 lib32gcc-10-dev                    	       0       86        0        0       86
24611 lib32gcc-10-dev-ppc64-cross        	       0        1        0        0        1
24612 lib32gcc-11-dev                    	       0        8        0        0        8
24613 lib32gcc-12-dev                    	       0      125        0        0      125
24614 lib32gcc-12-dev-x32-cross          	       0        4        0        0        4
24615 lib32gcc-13-dev                    	       0       19        0        0       19
24616 lib32gcc-14-dev                    	       0       21        0        0       21
24617 lib32gcc-4.9-dev                   	       0        5        0        0        5
24618 lib32gcc-6-dev                     	       0        7        0        0        7
24619 lib32gcc-8-dev                     	       0       10        0        0       10
24620 lib32gcc-8-dev-x32-cross           	       0        1        0        0        1
24621 lib32gcc-9-dev                     	       0        3        0        0        3
24622 lib32gcc-s1                        	       0      531        0        0      531
24623 lib32gcc-s1-dbgsym                 	       0        1        1        0        0
24624 lib32gcc-s1-ppc64-cross            	       0        1        0        0        1
24625 lib32gcc-s1-x32-cross              	       0        4        0        0        4
24626 lib32gcc1                          	       0       40        0        0       40
24627 lib32gcc1-x32-cross                	       0        1        0        0        1
24628 lib32gfortran-10-dev               	       0       13        0        0       13
24629 lib32gfortran-11-dev               	       0        2        0        0        2
24630 lib32gfortran-12-dev               	       0       16        0        0       16
24631 lib32gfortran-12-dev-x32-cross     	       0        1        0        0        1
24632 lib32gfortran-13-dev               	       0        3        0        0        3
24633 lib32gfortran-14-dev               	       0        2        0        0        2
24634 lib32gfortran-4.9-dev              	       0        1        0        0        1
24635 lib32gfortran-6-dev                	       0        1        0        0        1
24636 lib32gfortran-8-dev                	       0        1        0        0        1
24637 lib32gfortran3                     	       0        1        0        0        1
24638 lib32gfortran5                     	       0       20        0        0       20
24639 lib32gfortran5-dbgsym              	       0        1        1        0        0
24640 lib32gfortran5-x32-cross           	       0        1        0        0        1
24641 lib32go-13-dev                     	       0        1        0        0        1
24642 lib32go22                          	       0        1        0        0        1
24643 lib32gomp1                         	       0      181        0        0      181
24644 lib32gomp1-dbgsym                  	       0        1        1        0        0
24645 lib32gomp1-ppc64-cross             	       0        1        0        0        1
24646 lib32gomp1-x32-cross               	       0        5        0        0        5
24647 lib32gphobos-13-dev                	       0        1        0        0        1
24648 lib32gphobos4                      	       0        1        0        0        1
24649 lib32gphobos4-dbgsym               	       0        1        1        0        0
24650 lib32itm1                          	       0      183        0        0      183
24651 lib32itm1-dbgsym                   	       0        1        1        0        0
24652 lib32itm1-ppc64-cross              	       0        1        0        0        1
24653 lib32itm1-x32-cross                	       0        5        0        0        5
24654 lib32mpx2                          	       0       23        0        0       23
24655 lib32ncurses5                      	       0       14        0        0       14
24656 lib32ncurses6                      	       0       22        0        0       22
24657 lib32ncursesw6                     	       0       21        0        0       21
24658 lib32objc-12-dev                   	       0        1        0        0        1
24659 lib32objc-13-dev                   	       0        1        0        0        1
24660 lib32objc-4.9-dev                  	       0        1        0        0        1
24661 lib32objc-6-dev                    	       0        1        0        0        1
24662 lib32objc4                         	       0        4        0        0        4
24663 lib32objc4-dbgsym                  	       0        1        1        0        0
24664 lib32quadmath0                     	       0      183        0        0      183
24665 lib32quadmath0-dbgsym              	       0        1        1        0        0
24666 lib32quadmath0-x32-cross           	       0        5        0        0        5
24667 lib32readline5                     	       0        1        0        0        1
24668 lib32readline6                     	       0        1        0        0        1
24669 lib32readline7                     	       0        2        0        0        2
24670 lib32readline8                     	       0       11        0        0       11
24671 lib32stdc++-10-dev                 	       0       34       34        0        0
24672 lib32stdc++-10-dev-ppc64-cross     	       0        1        0        0        1
24673 lib32stdc++-11-dev                 	       0        5        5        0        0
24674 lib32stdc++-12-dev                 	       0       66       63        3        0
24675 lib32stdc++-12-dev-x32-cross       	       0        1        0        0        1
24676 lib32stdc++-13-dev                 	       0        9        9        0        0
24677 lib32stdc++-14-dev                 	       0       13       13        0        0
24678 lib32stdc++-4.9-dev                	       0        2        2        0        0
24679 lib32stdc++-6-dev                  	       0        1        1        0        0
24680 lib32stdc++-8-dev                  	       0        5        5        0        0
24681 lib32stdc++6                       	       0      561        1        0      560
24682 lib32stdc++6-10-dbg                	       0        1        1        0        0
24683 lib32stdc++6-12-dbg                	       0        1        1        0        0
24684 lib32stdc++6-dbgsym                	       0        1        1        0        0
24685 lib32stdc++6-ppc64-cross           	       0        1        0        0        1
24686 lib32stdc++6-x32-cross             	       0        5        0        0        5
24687 lib32tinfo-dev                     	       0        1        1        0        0
24688 lib32tinfo5                        	       0       16        0        0       16
24689 lib32tinfo6                        	       0       22        0        0       22
24690 lib32ubsan0                        	       0        9        0        0        9
24691 lib32ubsan1                        	       0      173        0        0      173
24692 lib32ubsan1-ppc64-cross            	       0        1        0        0        1
24693 lib32ubsan1-x32-cross              	       0        5        0        0        5
24694 lib32z1                            	       0       89        1        0       88
24695 lib3ds-1-3                         	       0       31        0        0       31
24696 lib3ds-dev                         	       0        2        2        0        0
24697 lib3mf-dev                         	       0        2        2        0        0
24698 lib3mf-doc                         	       0        1        0        0        1
24699 lib3mf1t64                         	       0        9        0        0        9
24700 lib4ti2-0                          	       0        9        0        0        9
24701 lib64asan3                         	       0        1        0        0        1
24702 lib64asan5                         	       0        1        0        0        1
24703 lib64asan5-i386-cross              	       0        1        0        0        1
24704 lib64asan5-x32-cross               	       0        1        0        0        1
24705 lib64asan6                         	       0        2        0        0        2
24706 lib64asan6-i386-cross              	       0        1        0        0        1
24707 lib64asan8                         	       0        1        0        0        1
24708 lib64asan8-i386-cross              	       0        3        0        0        3
24709 lib64asan8-x32-cross               	       0        4        0        0        4
24710 lib64atomic1                       	       0       14        0        0       14
24711 lib64atomic1-i386-cross            	       0        4        0        0        4
24712 lib64atomic1-mips-cross            	       0        2        0        0        2
24713 lib64atomic1-mipsel-cross          	       0        1        0        0        1
24714 lib64atomic1-x32-cross             	       0        5        0        0        5
24715 lib64cilkrts5                      	       0        1        0        0        1
24716 lib64gcc-10-dev                    	       0        2        0        0        2
24717 lib64gcc-11-dev-i386-cross         	       0        1        0        0        1
24718 lib64gcc-12-dev                    	       0        1        0        0        1
24719 lib64gcc-12-dev-i386-cross         	       0        2        0        0        2
24720 lib64gcc-12-dev-mips-cross         	       0        1        0        0        1
24721 lib64gcc-12-dev-mipsel-cross       	       0        1        0        0        1
24722 lib64gcc-12-dev-x32-cross          	       0        4        0        0        4
24723 lib64gcc-14-dev-i386-cross         	       0        1        0        0        1
24724 lib64gcc-4.7-dev                   	       0        1        0        0        1
24725 lib64gcc-6-dev                     	       0        1        0        0        1
24726 lib64gcc-8-dev-i386-cross          	       0        1        0        0        1
24727 lib64gcc-8-dev-x32-cross           	       0        1        0        0        1
24728 lib64gcc-s1                        	       0       13        0        0       13
24729 lib64gcc-s1-i386-cross             	       0        3        0        0        3
24730 lib64gcc-s1-mips-cross             	       0        1        0        0        1
24731 lib64gcc-s1-mipsel-cross           	       0        1        0        0        1
24732 lib64gcc-s1-x32-cross              	       0        4        0        0        4
24733 lib64gcc1                          	       0        3        0        0        3
24734 lib64gcc1-i386-cross               	       0        1        0        0        1
24735 lib64gcc1-x32-cross                	       0        1        0        0        1
24736 lib64gfortran-12-dev-x32-cross     	       0        1        0        0        1
24737 lib64gfortran5-x32-cross           	       0        1        0        0        1
24738 lib64go-11-dev-i386-cross          	       0        1        0        0        1
24739 lib64go19-i386-cross               	       0        1        0        0        1
24740 lib64gomp1                         	       0        4        0        0        4
24741 lib64gomp1-i386-cross              	       0        4        0        0        4
24742 lib64gomp1-mips-cross              	       0        2        0        0        2
24743 lib64gomp1-mipsel-cross            	       0        1        0        0        1
24744 lib64gomp1-x32-cross               	       0        5        0        0        5
24745 lib64itm1                          	       0        4        0        0        4
24746 lib64itm1-i386-cross               	       0        4        0        0        4
24747 lib64itm1-x32-cross                	       0        5        0        0        5
24748 lib64mpx2                          	       0        1        0        0        1
24749 lib64mpx2-i386-cross               	       0        1        0        0        1
24750 lib64ncurses-dev                   	       0        2        2        0        0
24751 lib64ncurses6                      	       0        2        0        0        2
24752 lib64ncursesw6                     	       0        2        0        0        2
24753 lib64quadmath0                     	       0        4        0        0        4
24754 lib64quadmath0-i386-cross          	       0        4        0        0        4
24755 lib64quadmath0-x32-cross           	       0        5        0        0        5
24756 lib64readline-dev                  	       0        2        2        0        0
24757 lib64readline8                     	       0        2        0        0        2
24758 lib64stdc++-12-dev-mips-cross      	       0        1        0        0        1
24759 lib64stdc++-12-dev-mipsel-cross    	       0        1        0        0        1
24760 lib64stdc++-12-dev-x32-cross       	       0        1        0        0        1
24761 lib64stdc++-6-dev                  	       0        1        1        0        0
24762 lib64stdc++6                       	       0       15        0        0       15
24763 lib64stdc++6-i386-cross            	       0        4        0        0        4
24764 lib64stdc++6-mips-cross            	       0        1        0        0        1
24765 lib64stdc++6-mipsel-cross          	       0        1        0        0        1
24766 lib64stdc++6-x32-cross             	       0        5        0        0        5
24767 lib64tinfo6                        	       0        2        0        0        2
24768 lib64ubsan0                        	       0        1        0        0        1
24769 lib64ubsan1                        	       0        2        0        0        2
24770 lib64ubsan1-i386-cross             	       0        4        0        0        4
24771 lib64ubsan1-x32-cross              	       0        5        0        0        5
24772 lib64z1                            	       0        2        0        0        2
24773 lib64z1-dev                        	       0        1        1        0        0
24774 libaa-bin                          	       0       12       12        0        0
24775 libaa1-dev                         	       0       23       23        0        0
24776 libaacplus-dev                     	       0        1        1        0        0
24777 libaacplus2                        	       0       23        0        0       23
24778 libaacs-bin                        	       0        2        2        0        0
24779 libaacs-dev                        	       0       11       11        0        0
24780 libaacs0                           	       0     3291        0        0     3291
24781 libaal-dev                         	       0        1        1        0        0
24782 libabigail-dev                     	       0        1        1        0        0
24783 libabigail0                        	       0        3        0        0        3
24784 libabiword-2.9                     	       0        4        0        0        4
24785 libabiword-3.0                     	       0       91        1        0       90
24786 libabiword-dev                     	       0        1        1        0        0
24787 libabsl20210324                    	       0       11        0        0       11
24788 libabsl20220623t64                 	       0        4        0        0        4
24789 libabw-0.0-0                       	       0        1        0        0        1
24790 libabw-dev                         	       0        1        1        0        0
24791 libabz0                            	       0        1        0        0        1
24792 libacars2                          	       0        1        0        0        1
24793 libaccess-bridge-java              	       0        4        0        0        4
24794 libaccess-bridge-java-jni          	       0        3        0        0        3
24795 libaccinj64-11.2                   	       0        4        0        0        4
24796 libaccinj64-11.8                   	       0       13        0        0       13
24797 libaccinj64-12.2                   	       0        3        0        0        3
24798 libaccinj64-9.2                    	       0        1        0        0        1
24799 libaccounts-glib-dev               	       0        1        1        0        0
24800 libaccounts-qt-doc                 	       0        1        0        0        1
24801 libaccounts-qt5-dev                	       0        2        2        0        0
24802 libaccounts-qt6-dev                	       0        1        1        0        0
24803 libaccountsservice-dev             	       0        1        1        0        0
24804 libaccountsservice-doc             	       0        2        0        0        2
24805 libace-6.0.3                       	       0        1        0        0        1
24806 libace-6.2.8                       	       0        1        0        0        1
24807 libace-6.3.3                       	       0        1        0        0        1
24808 libace-6.4.5                       	       0        1        0        0        1
24809 libace-6.5.12                      	       0        2        0        0        2
24810 libace-7.0.8                       	       0        4        0        0        4
24811 libace-8.0.1                       	       0        1        0        0        1
24812 libace-dev                         	       0        1        1        0        0
24813 libace-doc                         	       0        1        1        0        0
24814 libace-perl                        	       0        4        4        0        0
24815 libacme-bleach-perl                	       0        2        2        0        0
24816 libacme-brainfck-perl              	       0        2        2        0        0
24817 libacme-constant-perl              	       0        2        2        0        0
24818 libacme-damn-perl                  	       0        4        0        0        4
24819 libacme-eyedrops-perl              	       0        2        2        0        0
24820 libacme-poe-knee-perl              	       0        1        1        0        0
24821 libacpi-dev                        	       0        1        1        0        0
24822 libacpi0                           	       0       25        0        0       25
24823 libacr38u                          	       0        1        1        0        0
24824 libactionlib-dev                   	       0        2        2        0        0
24825 libactionlib-msgs-dev              	       0        2        2        0        0
24826 libactionlib1d                     	       0        2        0        0        2
24827 libactivation-java                 	       0      820        0        0      820
24828 libactiverecord-ruby1.9.1          	       0        1        0        0        1
24829 libactivesupport-ruby1.9.1         	       0        1        0        0        1
24830 libad9361-0                        	       0       42        0        0       42
24831 libad9361-dev                      	       0        3        3        0        0
24832 libaddressable-ruby1.9.1           	       0        1        0        0        1
24833 libaddresses0                      	       0        4        3        1        0
24834 libaddressview0                    	       0        4        3        1        0
24835 libadduser-pluginloader-perl       	       0        1        1        0        0
24836 libadios-bin                       	       0        3        3        0        0
24837 libadios-dev                       	       0        3        3        0        0
24838 libadios-examples                  	       0        2        2        0        0
24839 libadios-openmpi-dev               	       0        2        0        0        2
24840 libadmesh1                         	       0        3        0        0        3
24841 libadms0                           	       0        3        0        0        3
24842 libadns1                           	       0       34        0        0       34
24843 libadns1-bin                       	       0        1        1        0        0
24844 libadns1-dev                       	       0        1        1        0        0
24845 libadns1t64                        	       0        5        1        0        4
24846 libadolc-dev                       	       0        1        1        0        0
24847 libadolc2                          	       0        1        0        0        1
24848 libadplug-2.2.1-0                  	       0        5        0        0        5
24849 libadplug-2.2.1-0v5                	       0        7        0        0        7
24850 libadplug-dev                      	       0        3        3        0        0
24851 libadplug0c2a                      	       0        1        0        0        1
24852 libadwaita-1-dev                   	       0       27       25        2        0
24853 libadwaita-1-doc                   	       0        1        0        0        1
24854 libadwaita-1-examples              	       0        1        1        0        0
24855 libadwaitaqt-dev                   	       0        2        2        0        0
24856 libadwaitaqt6-1                    	       0       19        0        0       19
24857 libadwaitaqt6-dev                  	       0        3        3        0        0
24858 libadwaitaqt6priv1                 	       0       19        0        0       19
24859 libaec-dev                         	       0       84       81        3        0
24860 libaec-tools                       	       0        2        2        0        0
24861 libaether-ant-tasks-java           	       0        1        0        0        1
24862 libaether-java                     	       0        1        0        0        1
24863 libafflib-dev                      	       0        1        1        0        0
24864 libafflib0                         	       0        1        0        0        1
24865 libafflib0t64                      	       0       13        0        0       13
24866 libafflib0v5                       	       0      163        0        0      163
24867 libafs-pag-perl                    	       0        1        0        0        1
24868 libaften0                          	       0       32        1        0       31
24869 libafterburner.fx-java             	       0        1        0        0        1
24870 libafterimage0                     	       0       11        0        0       11
24871 libafterstep1                      	       0        9        0        0        9
24872 libagg-dev                         	       0        5        5        0        0
24873 libagg2                            	       0       21        0        0       21
24874 libagg2-dev                        	       0        2        2        0        0
24875 libagg2t64                         	       0        3        0        0        3
24876 libagress0                         	       0        1        0        0        1
24877 libags-audio3                      	       0        1        0        0        1
24878 libags-audio6t64                   	       0        1        0        0        1
24879 libags-gui3                        	       0        2        0        0        2
24880 libags-gui6t64                     	       0        1        0        0        1
24881 libags3                            	       0        2        0        0        2
24882 libags6t64                         	       0        1        0        0        1
24883 libahp-gt-dev                      	       0        2        2        0        0
24884 libahp-gt1                         	       0        2        0        0        2
24885 libai-decisiontree-perl            	       0        1        0        0        1
24886 libai-fann-perl                    	       0        1        0        0        1
24887 libaiksaurus-1.2-0c2a              	       0        9        0        0        9
24888 libaiksaurus-1.2-data              	       0       10        0        0       10
24889 libaiksaurus-1.2-dev               	       0        3        3        0        0
24890 libaiksaurusgtk-1.2-0c2a           	       0        6        0        0        6
24891 libaiksaurusgtk-1.2-dev            	       0        2        2        0        0
24892 libaio-dev                         	       0       48       48        0        0
24893 libaio1t64                         	       0      142        5        0      137
24894 libairspy-dev                      	       0        3        3        0        0
24895 libairspyhf-dev                    	       0        3        3        0        0
24896 libajantv2-dev                     	       0        1        1        0        0
24897 libakai0                           	       0        8        0        0        8
24898 libakode2-mpeg                     	       0        3        0        0        3
24899 libakonadi-calendar4               	       0        6        1        0        5
24900 libakonadi-kabc4                   	       0       10        0        0       10
24901 libakonadi-kcal4                   	       0        6        0        0        6
24902 libakonadi-kmime4                  	       0        7        1        0        6
24903 libakonadi-notes4                  	       0        5        0        0        5
24904 libakonadiprotocolinternals1       	       0        7        1        0        6
24905 libakonadisearch-data              	       0        6        0        0        6
24906 libalac-dev                        	       0        1        1        0        0
24907 libalac0                           	       0        1        0        0        1
24908 libalberta-dev                     	       0        1        1        0        0
24909 libalberta4                        	       0        1        0        0        1
24910 libaldmb1                          	       0       29        0        0       29
24911 libaldmb1-dev                      	       0        2        2        0        0
24912 libaldmb1t64                       	       0        1        0        0        1
24913 libalglib-dev                      	       0        2        2        0        0
24914 libalglib3.14                      	       0        1        0        0        1
24915 libalglib3.17                      	       0        2        0        0        2
24916 libalglib3.19                      	       0       19        0        0       19
24917 libalglib4.2                       	       0        2        0        0        2
24918 libalgorithm-backoff-perl          	       0        3        3        0        0
24919 libalgorithm-combinatorics-perl    	       0        4        0        0        4
24920 libalgorithm-dependency-perl       	       0        1        1        0        0
24921 libalgorithm-diff-xs-perl          	       0     2129        0        0     2129
24922 libalgorithm-permute-perl          	       0        2        0        0        2
24923 libalgorithms1                     	       0        6        0        0        6
24924 libalias-perl                      	       0        1        0        0        1
24925 libalien-build-perl                	       0        1        1        0        0
24926 libalien-gnuplot-perl              	       0        1        0        0        1
24927 libalien-sdl-dev-perl              	       0        3        0        0        3
24928 libalien-wxwidgets-perl            	       0       56        0        0       56
24929 libalkimia5                        	       0        1        0        0        1
24930 libalkimia5-7                      	       0        2        0        0        2
24931 libalkimia5-8                      	       0        5        0        0        5
24932 liballeggl4.4                      	       0        1        0        0        1
24933 liballegro-acodec5-dev             	       0        6        6        0        0
24934 liballegro-acodec5.0               	       0        1        0        0        1
24935 liballegro-acodec5.2               	       0       13        0        0       13
24936 liballegro-audio5-dev              	       0        6        6        0        0
24937 liballegro-audio5.0                	       0        1        0        0        1
24938 liballegro-audio5.2                	       0       13        0        0       13
24939 liballegro-dialog5-dev             	       0        5        5        0        0
24940 liballegro-dialog5.0               	       0        1        0        0        1
24941 liballegro-dialog5.2               	       0        6        0        0        6
24942 liballegro-image5-dev              	       0        5        5        0        0
24943 liballegro-image5.0                	       0        1        0        0        1
24944 liballegro-image5.2                	       0       12        0        0       12
24945 liballegro-physfs5-dev             	       0        4        4        0        0
24946 liballegro-physfs5.0               	       0        1        0        0        1
24947 liballegro-physfs5.2               	       0        4        0        0        4
24948 liballegro-ttf5-dev                	       0        6        6        0        0
24949 liballegro-ttf5.0                  	       0        1        0        0        1
24950 liballegro-ttf5.2                  	       0       13        0        0       13
24951 liballegro-video5-dev              	       0        4        4        0        0
24952 liballegro-video5.2                	       0        5        0        0        5
24953 liballegro4-dev                    	       0       12       12        0        0
24954 liballegro4.2                      	       0        1        1        0        0
24955 liballegro4.4                      	       0       68        0        0       68
24956 liballegro4.4t64                   	       0        3        0        0        3
24957 liballegro5-dev                    	       0        7        7        0        0
24958 liballegro5.0                      	       0        1        0        0        1
24959 liballegro5.2                      	       0       14        0        0       14
24960 liballegro5.2-dbgsym               	       0        1        1        0        0
24961 libalogg1                          	       0        1        0        0        1
24962 libalpm13                          	       0        4        0        0        4
24963 libalpm13t64                       	       0        1        0        0        1
24964 libalsa-ocaml                      	       0        2        2        0        0
24965 libalsa-ocaml-dev                  	       0        2        2        0        0
24966 libalsaplayer-dev                  	       0       11       11        0        0
24967 libalsaplayer0                     	       0       28        0        0       28
24968 libalure-dev                       	       0        5        5        0        0
24969 libalure1                          	       0       40        0        0       40
24970 libalut-dev                        	       0       23       23        0        0
24971 libalut0                           	       0      102        0        0      102
24972 libamarok-trinity                  	       0        6        1        0        5
24973 libambix-utils                     	       0        5        5        0        0
24974 libambix0                          	       0        8        0        0        8
24975 libamd-comgr-dev                   	       0        5        4        1        0
24976 libamd-comgr2                      	       0       43        0        0       43
24977 libamd2.2.0                        	       0        7        0        0        7
24978 libamd2.3.1                        	       0       26        0        0       26
24979 libamdhip64-5                      	       0       42        0        0       42
24980 libamdhip64-dev                    	       0        5        4        1        0
24981 libamdhip64-doc                    	       0        1        0        0        1
24982 libament-index-cpp-dev             	       0        2        2        0        0
24983 libament-index-cpp0d               	       0        3        0        0        3
24984 libaml0                            	       0        5        0        0        5
24985 libaml0t64                         	       0        6        0        0        6
24986 libamrita2-ruby1.9.1               	       0        1        0        0        1
24987 libamrnb3                          	       0        1        0        0        1
24988 libamrwb3                          	       0        1        0        0        1
24989 libamtk-5-common                   	       0      342        0        0      342
24990 libanalitza7                       	       0        2        0        0        2
24991 libanalitza8                       	       0       47        0        0       47
24992 libanalitza9                       	       0        3        0        0        3
24993 libanalitzagui7                    	       0        2        0        0        2
24994 libanalitzagui8                    	       0       47        0        0       47
24995 libanalitzagui9                    	       0        3        0        0        3
24996 libanalitzaplot7                   	       0        2        0        0        2
24997 libanalitzaplot8                   	       0       46        0        0       46
24998 libanalitzaplot9                   	       0        3        0        0        3
24999 libanalitzawidgets7                	       0        2        0        0        2
25000 libanalitzawidgets8                	       0       46        0        0       46
25001 libanalitzawidgets9                	       0        3        0        0        3
25002 libancient-dev                     	       0        2        0        0        2
25003 libancient2                        	       0       15        0        0       15
25004 libandroid-23-java                 	       0       13        0        0       13
25005 libandroid-databinding-java        	       0        2        0        0        2
25006 libandroid-ddms-java               	       0        5        0        0        5
25007 libandroid-json-java               	       0       40        0        0       40
25008 libandroid-json-org-java           	       0       11        0        0       11
25009 libandroid-json-org-java-doc       	       0        1        0        0        1
25010 libandroid-layoutlib-api-java      	       0        2        0        0        2
25011 libandroid-tools-analytics-library-java	       0        2        0        0        2
25012 libandroid-tools-annotations-java  	       0        5        0        0        5
25013 libandroid-tools-common-java       	       0        5        0        0        5
25014 libandroid-tools-dvlib-java        	       0        2        0        0        2
25015 libandroid-tools-repository-java   	       0        2        0        0        2
25016 libandroid-tools-sdklib-java       	       0        2        0        0        2
25017 libandroid-uiautomator-23-java     	       0        6        0        0        6
25018 libandroidsdk-swtmenubar-java      	       0        1        0        0        1
25019 libangelscript2.35.1t64            	       0       14        0        0       14
25020 libangles-dev                      	       0        1        1        0        0
25021 libangular-maven-plugin-java       	       0        1        0        0        1
25022 libanjuta-3-0                      	       0       15        0        0       15
25023 libann-dev                         	       0        2        2        0        0
25024 libann0                            	       0     1622        0        0     1622
25025 libansilove-dev                    	       0        2        2        0        0
25026 libansilove1                       	       0        3        0        0        3
25027 libanthy0                          	       0        1        0        0        1
25028 libanthy1t64                       	       0        4        0        0        4
25029 libanthyinput0                     	       0       62        0        0       62
25030 libanthyinput0t64                  	       0        3        0        0        3
25031 libantic-dev                       	       0        1        1        0        0
25032 libantic0                          	       0       10        0        0       10
25033 libantlr-dev                       	       0        2        2        0        0
25034 libantlr-java                      	       0      152        0        0      152
25035 libantlr-java-gcj                  	       0        1        1        0        0
25036 libantlr-maven-plugin-java         	       0        2        0        0        2
25037 libantlr3-gunit-java               	       0        1        0        0        1
25038 libantlr3-runtime-java             	       0       89        0        0       89
25039 libantlr3.2-gunit-java             	       0        1        0        0        1
25040 libantlr3c-3.2-0                   	       0        1        0        0        1
25041 libantlr3c-dev                     	       0        1        1        0        0
25042 libantlr4-runtime-dev              	       0        2        2        0        0
25043 libantlr4-runtime-java             	       0       36        0        0       36
25044 libantlr4-runtime4.9               	       0        4        1        0        3
25045 libany-moose-perl                  	       0       14       14        0        0
25046 libany-template-processdir-perl    	       0        2        2        0        0
25047 libanydata-perl                    	       0        2        2        0        0
25048 libanyevent-aggressiveidle-perl    	       0        1        1        0        0
25049 libanyevent-aio-perl               	       0        1        1        0        0
25050 libanyevent-cachedns-perl          	       0        2        2        0        0
25051 libanyevent-callback-perl          	       0        1        1        0        0
25052 libanyevent-dbd-pg-perl            	       0        1        1        0        0
25053 libanyevent-dbi-perl               	       0        4        4        0        0
25054 libanyevent-feed-perl              	       0        1        1        0        0
25055 libanyevent-fork-perl              	       0        4        4        0        0
25056 libanyevent-forkobject-perl        	       0        1        1        0        0
25057 libanyevent-handle-udp-perl        	       0        1        1        0        0
25058 libanyevent-http-perl              	       0        8        8        0        0
25059 libanyevent-irc-perl               	       0        6        6        0        0
25060 libanyevent-perl                   	       0      170        0        0      170
25061 libanyevent-rabbitmq-perl          	       0        1        1        0        0
25062 libanyevent-serialize-perl         	       0        1        1        0        0
25063 libanyevent-termkey-perl           	       0        2        2        0        0
25064 libanyevent-tools-perl             	       0        1        1        0        0
25065 libanyevent-yubico-perl            	       0        1        1        0        0
25066 libao-common                       	       0     2892        0        0     2892
25067 libao-dev                          	       0       26       26        0        0
25068 libao-ocaml                        	       0        1        1        0        0
25069 libao-ocaml-dev                    	       0        1        1        0        0
25070 libao-pulse                        	       0        2        0        0        2
25071 libaoflagger0                      	       0        2        0        0        2
25072 libaom-dev                         	       0      177      171        6        0
25073 libaopalliance-java                	       0      473        0        0      473
25074 libaopalliance-java-doc            	       0        1        0        0        1
25075 libaosd-text2                      	       0        5        0        0        5
25076 libaosd2                           	       0        5        0        0        5
25077 libapache-dbi-perl                 	       0        9        9        0        0
25078 libapache-htgroup-perl             	       0        1        1        0        0
25079 libapache-htpasswd-perl            	       0        1        1        0        0
25080 libapache-jena-java                	       0        2        0        0        2
25081 libapache-logformat-compiler-perl  	       0       17       17        0        0
25082 libapache-mime4j-java              	       0        4        0        0        4
25083 libapache-mod-jk-doc               	       0        1        0        0        1
25084 libapache-mod-security             	       0        1        0        0        1
25085 libapache-opennlp-java             	       0        1        0        0        1
25086 libapache-poi-java                 	       0      340        0        0      340
25087 libapache-poi-java-doc             	       0        1        0        0        1
25088 libapache-pom-java                 	       0     1195        0        0     1195
25089 libapache-session-perl             	       0        3        3        0        0
25090 libapache-session-sqlite3-perl     	       0        1        1        0        0
25091 libapache2-mod-apparmor            	       0        2        2        0        0
25092 libapache2-mod-auth-plain          	       0        3        3        0        0
25093 libapache2-mod-auth-radius         	       0        1        1        0        0
25094 libapache2-mod-authn-sasl          	       0        3        3        0        0
25095 libapache2-mod-authn-yubikey       	       0        1        1        0        0
25096 libapache2-mod-authnz-pam          	       0        1        1        0        0
25097 libapache2-mod-encoding            	       0        3        3        0        0
25098 libapache2-mod-form                	       0        1        1        0        0
25099 libapache2-mod-gnutls              	       0        1        1        0        0
25100 libapache2-mod-mime-xattr          	       0        1        1        0        0
25101 libapache2-mod-mono                	       0        1        1        0        0
25102 libapache2-mod-perl2-dev           	       0        3        3        0        0
25103 libapache2-mod-perl2-doc           	       0        2        0        0        2
25104 libapache2-mod-php                 	       0      143        0        0      143
25105 libapache2-mod-php7.1              	       0        2        2        0        0
25106 libapache2-mod-php7.2              	       0        1        1        0        0
25107 libapache2-mod-php8.3              	       0        8        8        0        0
25108 libapache2-mod-proxy-uwsgi         	       0        6        1        0        5
25109 libapache2-mod-python-doc          	       0        4        0        0        4
25110 libapache2-mod-rivet               	       0        1        1        0        0
25111 libapache2-mod-rivet-doc           	       0        1        0        0        1
25112 libapache2-mod-rpaf                	       0        4        4        0        0
25113 libapache2-mod-ruid2               	       0        1        1        0        0
25114 libapache2-mod-scgi                	       0        2        2        0        0
25115 libapache2-mod-upload-progress     	       0        3        3        0        0
25116 libapache2-mod-watchcat            	       0        1        1        0        0
25117 libapache2-mod-xforward            	       0        1        1        0        0
25118 libapache2-modsecurity             	       0        3        0        0        3
25119 libapbs3                           	       0        7        0        0        7
25120 libapertium-lex-tools1             	       0        3        0        0        3
25121 libapertium3                       	       0        4        0        0        4
25122 libapertium3-3.5-1                 	       0        1        0        0        1
25123 libapertium3-3.7-1                 	       0        2        0        0        2
25124 libaperture-0-0                    	       0        2        0        0        2
25125 libapiguardian-java                	       0        7        0        0        7
25126 libapksig-java                     	       0       51        0        0       51
25127 libapm-dev                         	       0        1        1        0        0
25128 libapm1                            	       0       19        0        0       19
25129 libapol4                           	       0        4        0        0        4
25130 libapophenia2                      	       0        1        0        0        1
25131 libapp-cmd-plugin-prompt-perl      	       0        2        2        0        0
25132 libapp-control-perl                	       0        1        1        0        0
25133 libapp-cpants-lint-perl            	       0        1        1        0        0
25134 libapp-daemon-perl                 	       0        1        1        0        0
25135 libapp-fatpacker-perl              	       0        2        2        0        0
25136 libapp-nopaste-perl                	       0        2        2        0        0
25137 libapparmor-dev                    	       0        6        6        0        0
25138 libapparmor-perl                   	       0       19        1        0       18
25139 libapparmor1-dbgsym                	       0        1        1        0        0
25140 libappimage0                       	       0        1        0        0        1
25141 libappimage1.0abi1                 	       0      580        0        0      580
25142 libappimage1.0abi1t64              	       0       67        0        0       67
25143 libappindicator-dev                	       0        3        3        0        0
25144 libappindicator1                   	       0       91        0        0       91
25145 libappindicator3-0.1-cil           	       0       12        0        0       12
25146 libappindicator3-0.1-cil-dev       	       0        2        2        0        0
25147 libappindicator3-1                 	       0       90        3        0       87
25148 libappmenu-gtk-parser-dev-common   	       0        4        4        0        0
25149 libappmenu-gtk2-parser-dev         	       0        1        0        0        1
25150 libappmenu-gtk2-parser0            	       0       59        7        0       52
25151 libappmenu-gtk3-parser-dev         	       0        2        0        0        2
25152 libappstream-compose0              	       0        6        0        0        6
25153 libappstream-dev                   	       0        5        5        0        0
25154 libappstream1                      	       0        3        0        0        3
25155 libappstreamqt-dev                 	       0        5        5        0        0
25156 libappstreamqt5-3                  	       0       28       11        0       17
25157 libappstreamqt5-dev                	       0        1        1        0        0
25158 libapr1-dbg                        	       0        1        1        0        0
25159 libapr1-dev                        	       0       47       47        0        0
25160 libapreq2-3                        	       0        1        0        0        1
25161 libapriltag3                       	       0        1        0        0        1
25162 libaprutil1-dbd-mysql              	       0       12        0        0       12
25163 libaprutil1-dbd-odbc               	       0        2        0        0        2
25164 libaprutil1-dbd-pgsql              	       0        4        0        0        4
25165 libaprutil1-dbd-sqlite3            	       0     1166        1        0     1165
25166 libaprutil1-dbg                    	       0        1        1        0        0
25167 libaprutil1-dev                    	       0       40       40        0        0
25168 libapt-inst1.5                     	       0      257        0        0      257
25169 libapt-inst2.0                     	       0      944        0        0      944
25170 libapt-pkg-doc                     	       0        4        0        0        4
25171 libapt-pkg-perl                    	       0     1134        0        0     1134
25172 libapt-pkg4.12                     	       0      272        0        0      272
25173 libapt-pkg6.0-dbgsym               	       0        1        1        0        0
25174 libapt-pkg7.0                      	       0        6        0        2        4
25175 libapulse1                         	       0        1        1        0        0
25176 libaqbanking-dev                   	       0        3        3        0        0
25177 libaqbanking-doc                   	       0        2        0        0        2
25178 libaqbanking34                     	       0        3        0        0        3
25179 libaqbanking34-plugins             	       0        1        1        0        0
25180 libaqbanking35                     	       0       14        0        0       14
25181 libaqbanking35-plugins             	       0       13        5        0        8
25182 libaqebics0                        	       0       13        0        0       13
25183 libaqhbci20                        	       0        1        0        0        1
25184 libaqhbci22                        	       0        3        0        0        3
25185 libaqhbci23                        	       0        5        0        0        5
25186 libaqhbci24                        	       0        8        0        0        8
25187 libaqofxconnect7                   	       0       13        0        0       13
25188 libarb                             	       0        2        2        0        0
25189 libarchive-any-lite-perl           	       0        1        1        0        0
25190 libarchive-any-perl                	       0        2        2        0        0
25191 libarchive-ar-perl                 	       0        1        1        0        0
25192 libarchive-dev                     	       0      106      103        3        0
25193 libarchive-peek-perl               	       0        2        2        0        0
25194 libarchive-tar-wrapper-perl        	       0        1        1        0        0
25195 libarchive1                        	       0        2        0        0        2
25196 libarchive12                       	       0        3        1        0        2
25197 libarcus3                          	       0       26        0        0       26
25198 libarcus5                          	       0        2        0        0        2
25199 libares0                           	       0        1        0        0        1
25200 libargon2-0                        	       0        6        0        0        6
25201 libargon2-dev                      	       0       15       15        0        0
25202 libargs4j-java                     	       0      346        0        0      346
25203 libargtable2-0                     	       0       24        0        0       24
25204 libargtable2-docs                  	       0        4        0        0        4
25205 libaribb24-dev                     	       0       14       14        0        0
25206 libaribb25-0                       	       0       61        0        0       61
25207 libarkrpg0c2a                      	       0        1        1        0        0
25208 libarmadillo-dev                   	       0       37        0        0       37
25209 libarmadillo10                     	       0      139        2        0      137
25210 libarmadillo12                     	       0       17        1        0       16
25211 libarmadillo14                     	       0       54        0        0       54
25212 libarmadillo3                      	       0        2        0        0        2
25213 libarmadillo4                      	       0        3        0        0        3
25214 libarmadillo7                      	       0       12        0        0       12
25215 libarmadillo9                      	       0       58        0        0       58
25216 libarpack++2-dev                   	       0        2        2        0        0
25217 libarpack++2c2a                    	       0        2        0        0        2
25218 libarpack2-dev                     	       0       44       42        1        1
25219 libarpack2t64                      	       0       60        0        0       60
25220 libarray-base-perl                 	       0        1        0        0        1
25221 libarray-compare-perl              	       0        6        6        0        0
25222 libarray-diff-perl                 	       0        2        2        0        0
25223 libarray-group-perl                	       0        2        2        0        0
25224 libarray-intspan-perl              	       0      176      174        2        0
25225 libarray-iterator-perl             	       0        2        2        0        0
25226 libarray-printcols-perl            	       0        2        2        0        0
25227 libarray-refelem-perl              	       0        1        0        0        1
25228 libarray-unique-perl               	       0       17       17        0        0
25229 libarrayfire-cpu3                  	       0        1        0        0        1
25230 libarrayfire-dev                   	       0        2        2        0        0
25231 libarrayfire-doc                   	       0        1        1        0        0
25232 libarrayfire-opencl-dev            	       0        1        0        0        1
25233 libarrayfire-opencl3               	       0        1        0        0        1
25234 libarrayfire-unified-dev           	       0        1        0        0        1
25235 libarrayfire-unified3              	       0        1        0        0        1
25236 libart-2.0-dev                     	       0       16       16        0        0
25237 libart2                            	       0        1        0        0        1
25238 libart2.0-cil                      	       0        6        0        0        6
25239 libart2.0-cil-dev                  	       0        1        1        0        0
25240 libarts1-trinity-dev               	       0        1        1        0        0
25241 libarts1c2a                        	       0        2        2        0        0
25242 libartsc0                          	       0        5        0        0        5
25243 libartsc0-dev                      	       0        2        2        0        0
25244 libartsc0-trinity                  	       0       40        0        0       40
25245 libartsc0-trinity-dev              	       0        1        1        0        0
25246 libasa-perl                        	       0        6        6        0        0
25247 libasan0                           	       0       64        0        0       64
25248 libasan0-dbg                       	       0        1        0        0        1
25249 libasan1                           	       0      147        0        0      147
25250 libasan1-dbg                       	       0        2        0        0        2
25251 libasan2                           	       0        7        0        0        7
25252 libasan3                           	       0      383        0        0      383
25253 libasan3-arm64-cross               	       0        2        0        0        2
25254 libasan3-armhf-cross               	       0        1        0        0        1
25255 libasan3-dbg                       	       0        1        1        0        0
25256 libasan4                           	       0        8        0        0        8
25257 libasan5                           	       0      240        0        0      240
25258 libasan5-arm64-cross               	       0        8        0        0        8
25259 libasan5-armel-cross               	       0        4        0        0        4
25260 libasan5-armhf-cross               	       0        7        0        0        7
25261 libasan5-i386-cross                	       0        4        0        0        4
25262 libasan5-x32-cross                 	       0        2        0        0        2
25263 libasan6                           	       0     1044        0        0     1044
25264 libasan6-arm64-cross               	       0       10        0        0       10
25265 libasan6-armel-cross               	       0        8        0        0        8
25266 libasan6-armhf-cross               	       0       10        0        0       10
25267 libasan6-i386-cross                	       0        4        0        0        4
25268 libasan6-powerpc-cross             	       0        1        0        0        1
25269 libasan6-ppc64-cross               	       0        2        0        0        2
25270 libasan6-s390x-cross               	       0        1        0        0        1
25271 libasan6-sparc64-cross             	       0        1        0        0        1
25272 libasan8                           	       0     1939        0        0     1939
25273 libasan8-arm64-cross               	       0       26        0        0       26
25274 libasan8-armel-cross               	       0       14        0        0       14
25275 libasan8-armhf-cross               	       0       19        0        0       19
25276 libasan8-i386-cross                	       0        7        0        0        7
25277 libasan8-powerpc-cross             	       0        4        0        0        4
25278 libasan8-ppc64-cross               	       0        4        0        0        4
25279 libasan8-ppc64el-cross             	       0        1        0        0        1
25280 libasan8-riscv64-cross             	       0        8        0        0        8
25281 libasan8-s390x-cross               	       0        3        0        0        3
25282 libasan8-sparc64-cross             	       0        2        0        0        2
25283 libasan8-x32-cross                 	       0        4        0        0        4
25284 libasedrive-serial                 	       0        1        0        0        1
25285 libasio-dev                        	       0       22        0        0       22
25286 libasio-doc                        	       0        2        0        0        2
25287 libasis2019.1                      	       0        2        0        0        2
25288 libask                             	       0        1        1        0        0
25289 libasm-java                        	       0      381        0        0      381
25290 libasm0                            	       0        1        0        0        1
25291 libasm1                            	       0       89        0        0       89
25292 libasm1t64                         	       0       13        0        0       13
25293 libasm3-java                       	       0       10        0        0       10
25294 libasm4-java                       	       0       10        0        0       10
25295 libasmtools-java                   	       0        1        0        0        1
25296 libasn1-8t64-heimdal               	       0        2        0        0        2
25297 libasound2-data                    	       0     3740        0        0     3740
25298 libasound2-doc                     	       0       17        0        0       17
25299 libasound2-plugin-bluez            	       0       57        0        0       57
25300 libasound2-plugin-equal            	       0       28        0        0       28
25301 libasound2-plugin-smixer           	       0       11        0        0       11
25302 libaspect-perl                     	       0        1        1        0        0
25303 libaspect0                         	       0        1        0        0        1
25304 libaspectj-java                    	       0       13        0        0       13
25305 libaspectj-maven-plugin-java       	       0        1        0        0        1
25306 libaspell-dev                      	       0       25       23        2        0
25307 libasprintf0c2                     	       0       76        0        0       76
25308 libasprintf0v5                     	       0      102        0        0      102
25309 libasr-dev                         	       0        1        0        1        0
25310 libasr0                            	       0        2        0        0        2
25311 libass-dev                         	       0       46       45        1        0
25312 libass4                            	       0       18        0        0       18
25313 libass5                            	       0      144        1        0      143
25314 libassa-3.5-5v5                    	       0        2        0        0        2
25315 libassimp-dev                      	       0        8        8        0        0
25316 libassimp3v5                       	       0        1        0        0        1
25317 libassimp4                         	       0        5        0        0        5
25318 libassimp5                         	       0       76        0        0       76
25319 libassuan-mingw-w64-dev            	       0        1        1        0        0
25320 libast2                            	       0       30        0        0       30
25321 libast2-dev                        	       0        1        1        0        0
25322 libast2t64                         	       0        2        0        0        2
25323 libastcenc-dev                     	       0        1        1        0        0
25324 libastcenc3d                       	       0        1        0        0        1
25325 libasterisk-agi-perl               	       0        1        1        0        0
25326 libastring-ocaml                   	       0        1        1        0        0
25327 libastring-ocaml-dev               	       0        1        1        0        0
25328 libastro-dev                       	       0        4        4        0        0
25329 libastro-fits-cfitsio-perl         	       0        4        0        0        4
25330 libastro-fits-header-perl          	       0        2        2        0        0
25331 libastro-perl                      	       0        1        1        0        0
25332 libastro1                          	       0      143        3        0      140
25333 libastrometry-dev                  	       0        2        2        0        0
25334 libastrometry0                     	       0       25        0        0       25
25335 libastrometry0t64                  	       0        5        0        0        5
25336 libastyle-dev                      	       0        1        1        0        0
25337 libastyle3                         	       0      100        3        0       97
25338 libastylej-jni                     	       0       86        1        0       85
25339 libasync-http-client-java          	       0        3        0        0        3
25340 libasync-interrupt-perl            	       0      168        0        0      168
25341 libasync-mergepoint-perl           	       0       60       59        1        0
25342 libasyncaudio1.6                   	       0        2        0        0        2
25343 libasyncaudio1.6t64                	       0        2        0        0        2
25344 libasynccore1.6                    	       0        2        0        0        2
25345 libasynccore1.6t64                 	       0        2        0        0        2
25346 libasynccpp1.6t64                  	       0        2        0        0        2
25347 libasyncns-dev                     	       0        4        4        0        0
25348 libasyncqt1.6                      	       0        2        0        0        2
25349 libasyncqt1.6t64                   	       0        2        0        0        2
25350 libatasmart-bin                    	       0        4        4        0        0
25351 libatasmart-dev                    	       0        3        3        0        0
25352 libatf-c++-2                       	       0        1        0        0        1
25353 libatf-c-1                         	       0        1        0        0        1
25354 libatfs1                           	       0        3        3        0        0
25355 libatinject-jsr330-api-java        	       0      541        0        0      541
25356 libatinject-jsr330-api-java-doc    	       0        5        0        0        5
25357 libation                           	       0        1        1        0        0
25358 libatk-adaptor-data                	       0        4        0        0        4
25359 libatk-wrapper-java                	       0     1477        7        0     1470
25360 libatk-wrapper-java-jni            	       0     1476        0        0     1476
25361 libatk1-ruby                       	       0        1        0        0        1
25362 libatk1-ruby1.8                    	       0        2        1        0        1
25363 libatk1.0-doc                      	       0       74        0        0       74
25364 libatk3.0-cil                      	       0       62        0        0       62
25365 libatkmm-1.6-1                     	       0       10        1        0        9
25366 libatkmm-1.6-doc                   	       0        2        0        0        2
25367 libatlas-base-dev                  	       0        8        8        0        0
25368 libatlas-cpp-0.6-1                 	       0        1        0        0        1
25369 libatlas-dev                       	       0        1        1        0        0
25370 libatlas-ecmwf-0                   	       0        1        0        0        1
25371 libatlas-test                      	       0        1        0        0        1
25372 libatlas3gf-base                   	       0        2        0        0        2
25373 libatm1                            	       0      134        0        0      134
25374 libatm1t64                         	       0        2        1        0        1
25375 libatomic-ops-dev                  	       0       28       28        0        0
25376 libatomic1-alpha-cross             	       0        3        0        0        3
25377 libatomic1-arm64-cross             	       0       39        0        0       39
25378 libatomic1-armel-cross             	       0       23        0        0       23
25379 libatomic1-armhf-cross             	       0       31        0        0       31
25380 libatomic1-hppa-cross              	       0        3        0        0        3
25381 libatomic1-i386-cross              	       0       14        0        0       14
25382 libatomic1-m68k-cross              	       0        1        0        0        1
25383 libatomic1-mips-cross              	       0        5        0        0        5
25384 libatomic1-mips64-cross            	       0        1        0        0        1
25385 libatomic1-mips64el-cross          	       0        1        0        0        1
25386 libatomic1-mips64r6el-cross        	       0        1        0        0        1
25387 libatomic1-mipsel-cross            	       0        5        0        0        5
25388 libatomic1-powerpc-cross           	       0        5        0        0        5
25389 libatomic1-ppc64-cross             	       0        6        0        0        6
25390 libatomic1-ppc64el-cross           	       0        1        0        0        1
25391 libatomic1-riscv64-cross           	       0       10        0        0       10
25392 libatomic1-s390x-cross             	       0        4        0        0        4
25393 libatomic1-sparc64-cross           	       0        3        0        0        3
25394 libatomic1-x32-cross               	       0        6        0        0        6
25395 libatomicparsley-dev               	       0        1        0        0        1
25396 libatomicparsley0                  	       0       11        0        0       11
25397 libatopology2                      	       0     2837        0        0     2837
25398 libatopology2t64                   	       0      224        0        0      224
25399 libatrilview3t64                   	       0       82        1        0       81
25400 libatspi1.0-0                      	       0        3        0        0        3
25401 libaubio-dev                       	       0       11       11        0        0
25402 libaubio-doc                       	       0        1        0        0        1
25403 libaubio5                          	       0       96        0        0       96
25404 libaudclient-dev                   	       0        2        2        0        0
25405 libaudcore1                        	       0        2        0        0        2
25406 libaudcore2                        	       0        4        0        0        4
25407 libaudcore3                        	       0        9        0        0        9
25408 libaudcore5t64                     	       0       31        0        0       31
25409 libaudgui3                         	       0        9        0        0        9
25410 libaudgui5t64                      	       0       12        0        0       12
25411 libaudgui6                         	       0       20        0        0       20
25412 libaudio-cd-perl                   	       0       12        0        0       12
25413 libaudio-dev                       	       0       15       15        0        0
25414 libaudio-ecasound-perl             	       0        1        0        0        1
25415 libaudio-file-perl                 	       0        4        4        0        0
25416 libaudio-flac-decoder-perl         	       0        4        0        0        4
25417 libaudio-flac-header-perl          	       0       22        0        0       22
25418 libaudio-mixer-perl                	       0        2        0        0        2
25419 libaudio-moosic-perl               	       0        1        1        0        0
25420 libaudio-mpd-common-perl           	       0        5        5        0        0
25421 libaudio-mpd-perl                  	       0        5        5        0        0
25422 libaudio-musepack-perl             	       0        3        3        0        0
25423 libaudio-rpld-perl                 	       0        2        2        0        0
25424 libaudio-scan-perl                 	       0       16        0        0       16
25425 libaudio-wav-perl                  	       0        3        3        0        0
25426 libaudio-wma-perl                  	       0        2        2        0        0
25427 libaudiofile-dev                   	       0       20       19        1        0
25428 libaudiofile0                      	       0        9        0        0        9
25429 libaudiomask1                      	       0        1        0        0        1
25430 libaudit-common                    	       0     4168        0        0     4168
25431 libaudqt0                          	       0        8        0        0        8
25432 libaudqt2                          	       0      496        3        1      492
25433 libaudqt2t64                       	       0       12        0        0       12
25434 libaudqt3                          	       0       20        0        0       20
25435 libaudtag2                         	       0        9        0        0        9
25436 libaudtag3t64                      	       0       31        0        0       31
25437 libaugeas-dev                      	       0        3        3        0        0
25438 libaugeas-ruby1.9.1                	       0        1        0        0        1
25439 libauparse-dev                     	       0        1        1        0        0
25440 libauth-yubikey-webclient-perl     	       0        1        1        0        0
25441 libauthen-dechpwd-perl             	       0        3        0        0        3
25442 libauthen-htpasswd-perl            	       0        1        1        0        0
25443 libauthen-libwrap-perl             	       0        5        0        0        5
25444 libauthen-ntlm-perl                	       0       20       20        0        0
25445 libauthen-passphrase-perl          	       0        3        3        0        0
25446 libauthen-radius-perl              	       0        3        3        0        0
25447 libauthen-sasl-cyrus-perl          	       0        1        0        0        1
25448 libauthen-sasl-saslprep-perl       	       0        4        4        0        0
25449 libauthen-scram-perl               	       0        2        2        0        0
25450 libauthen-simple-pam-perl          	       0        2        2        0        0
25451 libauthen-simple-perl              	       0        2        2        0        0
25452 libauthen-u2f-perl                 	       0        1        1        0        0
25453 libauthen-u2f-tester-perl          	       0        1        1        0        0
25454 libautobox-core-perl               	       0        1        1        0        0
25455 libautobox-perl                    	       0        2        0        0        2
25456 libautocomplete-java               	       0        7        0        0        7
25457 libautomaton-java                  	       0        2        0        0        2
25458 libautotrace3                      	       0        3        0        0        3
25459 libautovivification-perl           	       0      112        0        0      112
25460 libavahi-common-data               	       0     3975        1        0     3974
25461 libavahi-core-dev                  	       0        9        9        0        0
25462 libavahi-core5                     	       0        1        0        0        1
25463 libavahi-core6                     	       0        1        0        0        1
25464 libavahi-glib-dev                  	       0       14       14        0        0
25465 libavahi-gobject0                  	       0      381        0        0      381
25466 libavahi-qt3-1                     	       0        2        0        0        2
25467 libavahi-tqt-dev                   	       0        1        1        0        0
25468 libavahi-ui-gtk3-dev               	       0        6        6        0        0
25469 libavahi-ui0                       	       0        3        0        0        3
25470 libavalon-framework-java           	       0      109        0        0      109
25471 libavalon-framework-java-doc       	       0        8        0        0        8
25472 libavc1394-dev                     	       0       21       21        0        0
25473 libavc1394-tools                   	       0        8        8        0        0
25474 libavcall1                         	       0        2        0        0        2
25475 libavcodec-5-dev                   	       0        1        1        0        0
25476 libavcodec-extra                   	       0       90        0        0       90
25477 libavcodec-extra-55                	       0        1        0        0        1
25478 libavcodec-extra-56                	       0        3        0        0        3
25479 libavcodec-extra57                 	       0       14        0        0       14
25480 libavcodec-extra58-dbgsym          	       0        1        1        0        0
25481 libavcodec-extra60                 	       0       18        3        0       15
25482 libavcodec-ffmpeg56                	       0        1        0        0        1
25483 libavcodec0d                       	       0        3        0        0        3
25484 libavcodec52                       	       0        7        2        0        5
25485 libavcodec53                       	       0       19        0        0       19
25486 libavcodec54                       	       0       10        0        0       10
25487 libavcodec55                       	       0        5        0        0        5
25488 libavcodec56                       	       0       82        2        0       80
25489 libavcodec57                       	       0      337        2        0      335
25490 libavcodec58-dbgsym                	       0        1        1        0        0
25491 libavcodec61-dbgsym                	       0        1        1        0        0
25492 libavdevice-dev                    	       0       68       67        1        0
25493 libavdevice52                      	       0        2        0        0        2
25494 libavdevice53                      	       0        8        0        0        8
25495 libavdevice54                      	       0        6        0        0        6
25496 libavdevice55                      	       0       11        0        0       11
25497 libavdevice56                      	       0        4        0        0        4
25498 libavdevice57                      	       0       58        0        0       58
25499 libavdevice58-dbgsym               	       0        1        1        0        0
25500 libavdevice61-dbgsym               	       0        1        1        0        0
25501 libavfilter-extra                  	       0        6        0        0        6
25502 libavfilter-extra10                	       0        1        0        0        1
25503 libavfilter-extra6                 	       0        2        0        0        2
25504 libavfilter-extra7                 	       0        5        0        0        5
25505 libavfilter-extra7-dbgsym          	       0        1        1        0        0
25506 libavfilter-extra8                 	       0        7        2        0        5
25507 libavfilter-extra9                 	       0        3        0        0        3
25508 libavfilter0                       	       0        1        0        0        1
25509 libavfilter1                       	       0        1        0        0        1
25510 libavfilter10-dbgsym               	       0        1        1        0        0
25511 libavfilter2                       	       0        5        0        0        5
25512 libavfilter3                       	       0        8        0        0        8
25513 libavfilter5                       	       0       11        0        0       11
25514 libavfilter6                       	       0       96        0        0       96
25515 libavfilter7-dbgsym                	       0        1        1        0        0
25516 libavformat-extra59                	       0        3        0        0        3
25517 libavformat-extra60                	       0        2        0        0        2
25518 libavformat-extra61                	       0        3        0        0        3
25519 libavformat0d                      	       0        2        0        0        2
25520 libavformat52                      	       0        7        2        0        5
25521 libavformat53                      	       0       17        0        0       17
25522 libavformat54                      	       0        8        0        0        8
25523 libavformat55                      	       0        2        0        0        2
25524 libavformat56                      	       0       38        1        0       37
25525 libavformat57                      	       0      145        0        0      145
25526 libavformat58-dbgsym               	       0        1        1        0        0
25527 libavformat61-dbgsym               	       0        1        1        0        0
25528 libavidemux0                       	       0        1        0        0        1
25529 libavidemux6                       	       0        1        0        0        1
25530 libavidemux6-cli                   	       0        1        0        0        1
25531 libavidemux6-qt                    	       0        1        0        0        1
25532 libavif-bin                        	       0       13       13        0        0
25533 libavif-dev                        	       0      107      103        4        0
25534 libavif-gdk-pixbuf                 	       0       41        0        0       41
25535 libavif13                          	       0        1        0        0        1
25536 libavif7                           	       0        1        0        0        1
25537 libavif7-gdk-pixbuf                	       0        1        0        0        1
25538 libavifile-0.7-bin                 	       0        2        2        0        0
25539 libavifile-0.7-common              	       0       24        0        0       24
25540 libavifile-0.7-dev                 	       0        2        2        0        0
25541 libavifile-0.7c2                   	       0       22        0        0       22
25542 libavkys7                          	       0        2        0        0        2
25543 libavkys8                          	       0        9        0        0        9
25544 libavkys9                          	       0       42        0        0       42
25545 libavl-dev                         	       0        1        1        0        0
25546 libavl1                            	       0        1        0        0        1
25547 libavogadro-data                   	       0       36        0        0       36
25548 libavogadro1                       	       0        1        1        0        0
25549 libavogadro2-1                     	       0       46        0        0       46
25550 libavogadro2-1t64                  	       0        7        0        0        7
25551 libavresample-dev                  	       0       16       16        0        0
25552 libavresample-ffmpeg2              	       0        5        0        0        5
25553 libavresample1                     	       0        6        0        0        6
25554 libavresample2                     	       0       81        2        0       79
25555 libavresample3                     	       0      137        0        0      137
25556 libavresample4-dbgsym              	       0        1        1        0        0
25557 libavro-compiler-java              	       0        1        0        0        1
25558 libavro-java                       	       0        1        0        0        1
25559 libavro-maven-plugin-java          	       0        1        0        0        1
25560 libavtp0                           	       0      211        0        0      211
25561 libavutil-5-dev                    	       0        1        1        0        0
25562 libavutil-ffmpeg54                 	       0        6        0        0        6
25563 libavutil49                        	       0        7        2        0        5
25564 libavutil50                        	       0        6        3        0        3
25565 libavutil51                        	       0       26        0        0       26
25566 libavutil52                        	       0        6        0        0        6
25567 libavutil53                        	       0        9        0        0        9
25568 libavutil54                        	       0       90        2        0       88
25569 libavutil55                        	       0      353        2        0      351
25570 libavutil56-dbgsym                 	       0        1        1        0        0
25571 libavutil59-dbgsym                 	       0        1        1        0        0
25572 libawl-php                         	       0        2        2        0        0
25573 libaws-bin                         	       0        1        1        0        0
25574 libaws20-dev                       	       0        1        1        0        0
25575 libaws6                            	       0        1        0        0        1
25576 libax25                            	       0       16        0        0       16
25577 libax25-dev                        	       0        4        4        0        0
25578 libaxis-java                       	       0        2        0        0        2
25579 libaxmlrpc-java                    	       0        2        0        0        2
25580 libayatana-appindicator-dev        	       0        2        2        0        0
25581 libayatana-appindicator3-dev       	       0       11       11        0        0
25582 libayatana-ido3-dev                	       0        9        9        0        0
25583 libayatana-indicator-dev           	       0        2        2        0        0
25584 libayatana-indicator3-dev          	       0       12       12        0        0
25585 libayatana-indicator3-tools        	       0        2        0        0        2
25586 libb-debug-perl                    	       0        3        3        0        0
25587 libb-hooks-op-check-perl           	       0     1213        0        0     1213
25588 libb-lint-perl                     	       0        1        1        0        0
25589 libb-utils-perl                    	       0        4        0        0        4
25590 libb2-dev                          	       0        2        2        0        0
25591 libb64-dev                         	       0        7        7        0        0
25592 libbabeltrace-ctf-dev              	       0        2        0        0        2
25593 libbabeltrace-ctf1                 	       0       37        0        0       37
25594 libbabeltrace2-0                   	       0        1        0        0        1
25595 libbabeltrace2-dev                 	       0        1        1        0        0
25596 libbabeltrace2-python-plugin-provider	       0        1        0        0        1
25597 libbabl-0.0-0                      	       0        5        5        0        0
25598 libbabl-0.0-0-dev                  	       0        1        1        0        0
25599 libbabl-0.0-doc                    	       0        1        0        0        1
25600 libbabl-dev                        	       0       24       24        0        0
25601 libbackport-util-concurrent-java   	       0        5        0        0        5
25602 libbackport9-java                  	       0        3        0        0        3
25603 libbacktrace-dev                   	       0        1        1        0        0
25604 libbacktrace0                      	       0        1        0        0        1
25605 libball1.5                         	       0        1        0        0        1
25606 libball1.5-data                    	       0        1        0        0        1
25607 libballview1.5                     	       0        1        0        0        1
25608 libbaloocore4                      	       0        5        0        0        5
25609 libbaloofiles4                     	       0        5        0        0        5
25610 libbaloopim4                       	       0        4        1        0        3
25611 libbalooqueryparser4               	       0        5        0        0        5
25612 libbaloowidgets4                   	       0        5        0        0        5
25613 libbalooxapian4                    	       0        5        0        0        5
25614 libbambamc0                        	       0        2        0        0        2
25615 libbamf3-2t64                      	       0       26        7        0       19
25616 libbamf3-dev                       	       0        1        1        0        0
25617 libbamtools2.4.0                   	       0        1        0        0        1
25618 libbarclay-java                    	       0        5        0        0        5
25619 libbarcode-code128-perl            	       0        3        3        0        0
25620 libbarcode-zbar-perl               	       0        3        0        0        3
25621 libbaresip                         	       0        1        0        0        1
25622 libbareword-filehandles-perl       	       0       24        0        0       24
25623 libbase-java                       	       0      747        0        0      747
25624 libbase-java-openoffice.org        	       0        1        0        0        1
25625 libbase1                           	       0        2        0        0        2
25626 libbase58-0                        	       0        1        0        0        1
25627 libbaseencode1                     	       0       13        0        0       13
25628 libbash-doc                        	       0        1        0        0        1
25629 libbasicplayer-java                	       0        2        0        0        2
25630 libbasicusageenvironment0          	       0       25        1        0       24
25631 libbasicusageenvironment1          	       0      200        0        0      200
25632 libbasicusageenvironment2          	       0       37        1        0       36
25633 libbasix-dev                       	       0        1        1        0        0
25634 libbasix0.5                        	       0        1        0        0        1
25635 libbcel-java                       	       0       90        0        0       90
25636 libbcel-java-doc                   	       0        7        0        0        7
25637 libbcg729-dev                      	       0        2        2        0        0
25638 libbcmail-java                     	       0      808        0        0      808
25639 libbcmail-java-doc                 	       0        1        0        0        1
25640 libbcmail-java-gcj                 	       0        1        1        0        0
25641 libbcpg-java                       	       0      134        0        0      134
25642 libbcpg-java-doc                   	       0        2        0        0        2
25643 libbcpkix-java                     	       0      820        0        0      820
25644 libbcpkix-java-doc                 	       0        2        0        0        2
25645 libbcprov-java                     	       0     1000        0        0     1000
25646 libbcprov-java-doc                 	       0        2        0        0        2
25647 libbcprov-java-gcj                 	       0        1        1        0        0
25648 libbctls-java                      	       0        3        0        0        3
25649 libbctoolbox-dev                   	       0        5        5        0        0
25650 libbctoolbox1t64                   	       0        4        0        0        4
25651 libbctsp-java                      	       0        5        0        0        5
25652 libbcutil-java                     	       0      506        0        0      506
25653 libbdd0c2                          	       0        2        0        0        2
25654 libbde-utils                       	       0        1        1        0        0
25655 libbde1                            	       0       16        0        0       16
25656 libbdplus-dev                      	       0        1        1        0        0
25657 libbdplus0                         	       0     3209        0        0     3209
25658 libbeam-java                       	       0        2        0        0        2
25659 libbeansbinding-java               	       0        3        0        0        3
25660 libbearssl0                        	       0        1        0        0        1
25661 libbeckon-clojure                  	       0        1        0        0        1
25662 libbeecrypt-dev                    	       0        1        1        0        0
25663 libbeecrypt6                       	       0        2        0        0        2
25664 libbeecrypt7                       	       0        1        0        0        1
25665 libbeegfs-ib                       	       0        1        0        0        1
25666 libbelcard-dev                     	       0        3        0        0        3
25667 libbellesip-dev                    	       0        2        2        0        0
25668 libbellesip2                       	       0        1        0        0        1
25669 libbellesip2t64                    	       0        4        0        0        4
25670 libbelr-dev                        	       0        2        2        0        0
25671 libbemenu-wayland                  	       0       11        0        0       11
25672 libbemenu-x11                      	       0        1        0        0        1
25673 libbemenu0                         	       0       12        0        0       12
25674 libbenchmark-dev                   	       0        6        6        0        0
25675 libbenchmark-timer-perl            	       0        3        3        0        0
25676 libbenchmark-tools                 	       0        1        0        0        1
25677 libbenchmark1                      	       0        2        0        0        2
25678 libbenchmark1debian                	       0       18        0        0       18
25679 libbencode-perl                    	       0        2        2        0        0
25680 libberylsettings-dev               	       0        1        1        0        0
25681 libberylsettings0                  	       0        1        1        0        0
25682 libbetter-appframework-java        	       0        3        0        0        3
25683 libbfb0                            	       0       17        0        0       17
25684 libbfb0t64                         	       0        2        0        0        2
25685 libbfio-dev                        	       0        2        2        0        0
25686 libbfio1                           	       0      171        0        0      171
25687 libbg1                             	       0        2        0        0        2
25688 libbg1-doc                         	       0        1        0        0        1
25689 libbgcode-dev                      	       0        1        1        0        0
25690 libbiblesync1.1                    	       0       14        0        0       14
25691 libbiblio-thesaurus-perl           	       0        2        2        0        0
25692 libbibtex-parser-perl              	       0       21       21        0        0
25693 libbibutils1                       	       0        1        0        0        1
25694 libbibutils2                       	       0        3        0        0        3
25695 libbibutils7                       	       0        7        0        0        7
25696 libbibutils8                       	       0       10        0        0       10
25697 libbidi-clojure                    	       0        2        0        0        2
25698 libbigarray-compat-ocaml           	       0        3        2        0        1
25699 libbigarray-compat-ocaml-dev       	       0        2        2        0        0
25700 libbigwig0                         	       0        1        0        0        1
25701 libbind-config-parser-perl         	       0        1        1        0        0
25702 libbind-export-dev                 	       0        2        2        0        0
25703 libbind9-0                         	       0        3        0        0        3
25704 libbind9-140                       	       0      190        0        0      190
25705 libbind9-161                       	       0      312        0        0      312
25706 libbind9-60                        	       0       18        0        0       18
25707 libbind9-80                        	       0       21        0        0       21
25708 libbind9-90                        	       0       83        0        0       83
25709 libbindex-java                     	       0       48        0        0       48
25710 libbinio-dev                       	       0        4        4        0        0
25711 libbinio1ldbl                      	       0        6        0        0        6
25712 libbio-asn1-entrezgene-perl        	       0        3        3        0        0
25713 libbio-cluster-perl                	       0        3        3        0        0
25714 libbio-eutilities-perl             	       0        3        3        0        0
25715 libbio-featureio-perl              	       0        3        3        0        0
25716 libbio-perl-perl                   	       0        3        3        0        0
25717 libbio-perl-run-perl               	       0        3        3        0        0
25718 libbio-tools-run-alignment-clustalw-perl	       0        3        3        0        0
25719 libbio-tools-run-remoteblast-perl  	       0        3        3        0        0
25720 libbio-variation-perl              	       0        3        3        0        0
25721 libbiojava6-java                   	       0        1        0        0        1
25722 libbiosig2                         	       0        1        0        0        1
25723 libbiosig3                         	       0        2        0        0        2
25724 libbison-dev                       	       0       89        0        0       89
25725 libbit-vector-minimal-perl         	       0        1        1        0        0
25726 libbit-vector-perl                 	       0      437        0        0      437
25727 libbit4xpki                        	       0        4        4        0        0
25728 libbitcoinconsensus-dev            	       0        1        1        0        0
25729 libbitcoinconsensus0               	       0        1        0        0        1
25730 libbitmask-dev                     	       0        2        2        0        0
25731 libbitmask1                        	       0        2        0        0        2
25732 libbitstream-dev                   	       0        3        3        0        0
25733 libbitstring-ocaml                 	       0        3        3        0        0
25734 libbitstring-ocaml-dev             	       0        3        3        0        0
25735 libblacs-openmpi1                  	       0        1        0        0        1
25736 libbladerf-dev                     	       0        2        2        0        0
25737 libbladerf1                        	       0        1        1        0        0
25738 libblas-common                     	       0      161        0        0      161
25739 libblas3gf                         	       0       12        0        0       12
25740 libblas64-3                        	       0        4        0        0        4
25741 libblas64-dev                      	       0        3        3        0        0
25742 libblasr5.3.4                      	       0        1        0        0        1
25743 libblasr5.3.5                      	       0        1        0        0        1
25744 libblis3-openmp                    	       0        1        1        0        0
25745 libblis3-pthread                   	       0        1        0        0        1
25746 libblis3-serial                    	       0        1        0        0        1
25747 libbliss-dev                       	       0        1        0        0        1
25748 libbliss-dev-common                	       0        1        1        0        0
25749 libbliss2                          	       0        6        0        0        6
25750 libblitz-doc                       	       0        1        0        0        1
25751 libblitz0-dev                      	       0        1        1        0        0
25752 libblitz0v5                        	       0        2        0        0        2
25753 libblkid1-dbgsym                   	       0        2        2        0        0
25754 libblkio1                          	       0       43        0        0       43
25755 libblkmaker-0.1-6                  	       0        1        0        0        1
25756 libblockdev-btrfs2                 	       0       11        0        0       11
25757 libblockdev-btrfs3                 	       0        5        0        0        5
25758 libblockdev-dev                    	       0        2        2        0        0
25759 libblockdev-dm2                    	       0        1        0        0        1
25760 libblockdev-fs-dev                 	       0        1        1        0        0
25761 libblockdev-kbd2                   	       0        4        0        0        4
25762 libblockdev-lvm-dbus2              	       0        1        0        0        1
25763 libblockdev-lvm2                   	       0        5        0        0        5
25764 libblockdev-lvm3                   	       0        5        0        0        5
25765 libblockdev-part-dev               	       0        1        1        0        0
25766 libblocksruntime-dev               	       0        2        2        0        0
25767 libblocksruntime0                  	       0        2        0        0        2
25768 libblocksruntime1                  	       0        1        0        0        1
25769 libbloom-dev                       	       0        1        1        0        0
25770 libblosc-dev                       	       0       30       29        1        0
25771 libblosc2-2                        	       0        1        0        0        1
25772 libblosc2-3                        	       0        1        0        0        1
25773 libblosc2-4                        	       0        6        0        0        6
25774 libbluedevil1                      	       0        1        1        0        0
25775 libbluedevil2                      	       0        2        0        0        2
25776 libbluetooth2                      	       0        2        0        0        2
25777 libbluray-bdj                      	       0       34        1        0       33
25778 libbluray-bin                      	       0       25       24        1        0
25779 libbluray-dev                      	       0       39       38        1        0
25780 libbluray-doc                      	       0        8        0        0        8
25781 libbluray0                         	       0        1        0        0        1
25782 libbluray1                         	       0      171        1        0      170
25783 libbmusb-dev                       	       0        1        1        0        0
25784 libbmusb6                          	       0        1        1        0        0
25785 libbobcat3                         	       0        1        0        0        1
25786 libbobcat4                         	       0        4        0        0        4
25787 libbobcat5                         	       0        4        0        0        4
25788 libbogl-dev                        	       0        4        4        0        0
25789 libbogl0                           	       0        4        0        0        4
25790 libboilerpipe-java                 	       0        1        0        0        1
25791 libboinc-app7                      	       0        1        0        0        1
25792 libboinc7t64                       	       0        1        1        0        0
25793 libbolt-16-dev                     	       0        1        1        0        0
25794 libbond-dev                        	       0        2        2        0        0
25795 libbondcpp-dev                     	       0        2        2        0        0
25796 libbondcpp1d                       	       0        3        0        0        3
25797 libbonobo2-0                       	       0      170        0        0      170
25798 libbonobo2-bin                     	       0        1        1        0        0
25799 libbonobo2-common                  	       0      171        1        0      170
25800 libbonobo2-dev                     	       0        5        5        0        0
25801 libbonoboui2-0                     	       0       96        0        0       96
25802 libbonoboui2-common                	       0      133        0        0      133
25803 libbonoboui2-dev                   	       0        3        3        0        0
25804 libboo2.0.9-cil                    	       0        1        1        0        0
25805 libboogie-cil                      	       0        1        1        0        0
25806 libboost-all-dev                   	       0       55        0        0       55
25807 libboost-atomic-dev                	       0       63        0        0       63
25808 libboost-atomic1.55-dev            	       0        3        0        0        3
25809 libboost-atomic1.55.0              	       0        4        0        0        4
25810 libboost-atomic1.62-dev            	       0        3        0        0        3
25811 libboost-atomic1.62.0              	       0       23        0        0       23
25812 libboost-atomic1.67-dev            	       0        7        0        0        7
25813 libboost-atomic1.71-dev            	       0        1        0        0        1
25814 libboost-atomic1.71.0              	       0        1        0        0        1
25815 libboost-atomic1.71.0-dbgsym       	       0        1        1        0        0
25816 libboost-atomic1.74-dev            	       0      127        0        0      127
25817 libboost-atomic1.74.0              	       0      128        0        0      128
25818 libboost-atomic1.74.0-dbgsym       	       0        1        1        0        0
25819 libboost-atomic1.81-dev            	       0        3        0        0        3
25820 libboost-atomic1.81.0              	       0        3        0        0        3
25821 libboost-atomic1.83-dev            	       0       16        0        0       16
25822 libboost-atomic1.83.0              	       0       16        0        0       16
25823 libboost-chrono-dev                	       0       76        0        0       76
25824 libboost-chrono1.49-dev            	       0        1        1        0        0
25825 libboost-chrono1.49.0              	       0        3        0        0        3
25826 libboost-chrono1.55-dev            	       0        3        0        0        3
25827 libboost-chrono1.55.0              	       0        6        0        0        6
25828 libboost-chrono1.62-dev            	       0        3        0        0        3
25829 libboost-chrono1.67-dev            	       0        8        0        0        8
25830 libboost-chrono1.71-dev            	       0        1        0        0        1
25831 libboost-chrono1.71.0              	       0        2        0        0        2
25832 libboost-chrono1.71.0-dbgsym       	       0        1        1        0        0
25833 libboost-chrono1.74-dev            	       0      128        0        0      128
25834 libboost-chrono1.74.0              	       0      535        5        0      530
25835 libboost-chrono1.74.0-dbgsym       	       0        1        1        0        0
25836 libboost-chrono1.81-dev            	       0        2        0        0        2
25837 libboost-chrono1.81.0              	       0        2        0        0        2
25838 libboost-chrono1.83-dev            	       0       13        0        0       13
25839 libboost-chrono1.83.0              	       0        2        0        0        2
25840 libboost-chrono1.83.0t64           	       0       54        1        0       53
25841 libboost-container-dev             	       0       54        0        0       54
25842 libboost-container1.67-dev         	       0        5        0        0        5
25843 libboost-container1.67.0           	       0        7        0        0        7
25844 libboost-container1.74-dev         	       0       59        0        0       59
25845 libboost-container1.74.0           	       0       59        0        0       59
25846 libboost-container1.81-dev         	       0        2        0        0        2
25847 libboost-container1.81.0           	       0        2        0        0        2
25848 libboost-container1.83-dev         	       0        9        0        0        9
25849 libboost-container1.83.0           	       0        9        0        0        9
25850 libboost-context-dev               	       0       67        0        0       67
25851 libboost-context1.55-dev           	       0        2        0        0        2
25852 libboost-context1.55.0             	       0        3        0        0        3
25853 libboost-context1.62-dev           	       0        2        0        0        2
25854 libboost-context1.62.0             	       0       11        0        0       11
25855 libboost-context1.67-dev           	       0        5        0        0        5
25856 libboost-context1.67.0             	       0        9        0        0        9
25857 libboost-context1.74-dev           	       0       66        0        0       66
25858 libboost-context1.74.0-dbgsym      	       0        1        1        0        0
25859 libboost-context1.81-dev           	       0        2        0        0        2
25860 libboost-context1.81.0             	       0        2        0        0        2
25861 libboost-context1.83-dev           	       0        9        0        0        9
25862 libboost-contract-dev              	       0        1        0        0        1
25863 libboost-contract1.74-dev          	       0        4        0        0        4
25864 libboost-contract1.74.0            	       0        4        0        0        4
25865 libboost-coroutine-dev             	       0       65        0        0       65
25866 libboost-coroutine1.55-dev         	       0        2        0        0        2
25867 libboost-coroutine1.62-dev         	       0        2        0        0        2
25868 libboost-coroutine1.62.0           	       0        2        0        0        2
25869 libboost-coroutine1.67-dev         	       0        4        0        0        4
25870 libboost-coroutine1.67.0           	       0        7        0        0        7
25871 libboost-coroutine1.74-dev         	       0       62        0        0       62
25872 libboost-coroutine1.74.0-dbgsym    	       0        1        1        0        0
25873 libboost-coroutine1.81-dev         	       0        2        0        0        2
25874 libboost-coroutine1.81.0           	       0        2        0        0        2
25875 libboost-coroutine1.83-dev         	       0        9        0        0        9
25876 libboost-date-time-dev             	       0      100        0        0      100
25877 libboost-date-time1.33.1           	       0        1        0        0        1
25878 libboost-date-time1.34.1           	       0        1        0        0        1
25879 libboost-date-time1.42.0           	       0        2        0        0        2
25880 libboost-date-time1.49-dev         	       0        1        1        0        0
25881 libboost-date-time1.49.0           	       0        4        0        0        4
25882 libboost-date-time1.55-dev         	       0        3        0        0        3
25883 libboost-date-time1.55.0           	       0       17        0        0       17
25884 libboost-date-time1.58.0           	       0        2        0        0        2
25885 libboost-date-time1.62-dev         	       0        4        0        0        4
25886 libboost-date-time1.62.0           	       0       82        0        0       82
25887 libboost-date-time1.67-dev         	       0        8        0        0        8
25888 libboost-date-time1.67.0           	       0      181        1        0      180
25889 libboost-date-time1.71-dev         	       0        1        0        0        1
25890 libboost-date-time1.71.0           	       0        4        0        0        4
25891 libboost-date-time1.71.0-dbgsym    	       0        1        1        0        0
25892 libboost-date-time1.74-dev         	       0      143        0        0      143
25893 libboost-date-time1.74.0           	       0      146        0        0      146
25894 libboost-date-time1.74.0-dbgsym    	       0        1        1        0        0
25895 libboost-date-time1.81-dev         	       0        2        0        0        2
25896 libboost-date-time1.81.0           	       0        2        0        0        2
25897 libboost-date-time1.83-dev         	       0       15        0        0       15
25898 libboost-date-time1.83.0           	       0       15        0        0       15
25899 libboost-dev                       	       0      524        0        0      524
25900 libboost-doc                       	       0        9        0        0        9
25901 libboost-exception-dev             	       0       61        0        0       61
25902 libboost-exception1.55-dev         	       0        2        0        0        2
25903 libboost-exception1.62-dev         	       0        2        0        0        2
25904 libboost-exception1.67-dev         	       0        4        0        0        4
25905 libboost-exception1.74-dev         	       0       62        0        0       62
25906 libboost-exception1.81-dev         	       0        2        0        0        2
25907 libboost-exception1.83-dev         	       0        9        0        0        9
25908 libboost-fiber-dev                 	       0       58        0        0       58
25909 libboost-fiber1.62-dev             	       0        2        0        0        2
25910 libboost-fiber1.62.0               	       0        2        0        0        2
25911 libboost-fiber1.67-dev             	       0        5        0        0        5
25912 libboost-fiber1.67.0               	       0        8        0        0        8
25913 libboost-fiber1.74-dev             	       0       59        0        0       59
25914 libboost-fiber1.74.0               	       0       59        0        0       59
25915 libboost-fiber1.81-dev             	       0        2        0        0        2
25916 libboost-fiber1.81.0               	       0        2        0        0        2
25917 libboost-fiber1.83-dev             	       0        9        0        0        9
25918 libboost-fiber1.83.0               	       0        9        0        0        9
25919 libboost-filesystem-dev            	       0      118        0        0      118
25920 libboost-filesystem1.33.1          	       0        1        0        0        1
25921 libboost-filesystem1.34.1          	       0        1        0        0        1
25922 libboost-filesystem1.42.0          	       0        2        0        0        2
25923 libboost-filesystem1.49-dev        	       0        1        1        0        0
25924 libboost-filesystem1.49.0          	       0        5        0        0        5
25925 libboost-filesystem1.54.0          	       0        1        0        0        1
25926 libboost-filesystem1.55-dev        	       0        2        0        0        2
25927 libboost-filesystem1.55.0          	       0        9        0        0        9
25928 libboost-filesystem1.58.0          	       0        1        0        0        1
25929 libboost-filesystem1.61.0          	       0        2        0        0        2
25930 libboost-filesystem1.62-dev        	       0        3        0        0        3
25931 libboost-filesystem1.67-dev        	       0       10        0        0       10
25932 libboost-filesystem1.71-dev        	       0        1        0        0        1
25933 libboost-filesystem1.71.0          	       0        7        0        0        7
25934 libboost-filesystem1.71.0-dbgsym   	       0        1        1        0        0
25935 libboost-filesystem1.74-dev        	       0      138        0        0      138
25936 libboost-filesystem1.74.0-dbgsym   	       0        1        1        0        0
25937 libboost-filesystem1.81-dev        	       0        3        0        0        3
25938 libboost-filesystem1.81.0          	       0        3        0        0        3
25939 libboost-filesystem1.83-dev        	       0       16        0        0       16
25940 libboost-geometry-utils-perl       	       0       27        0        0       27
25941 libboost-graph-dev                 	       0       69        0        0       69
25942 libboost-graph-parallel-dev        	       0       60        0        0       60
25943 libboost-graph-parallel1.49-dev    	       0        1        1        0        0
25944 libboost-graph-parallel1.55-dev    	       0        2        0        0        2
25945 libboost-graph-parallel1.55.0      	       0        2        0        0        2
25946 libboost-graph-parallel1.62-dev    	       0        2        0        0        2
25947 libboost-graph-parallel1.62.0      	       0        2        0        0        2
25948 libboost-graph-parallel1.67-dev    	       0        5        0        0        5
25949 libboost-graph-parallel1.67.0      	       0        6        0        0        6
25950 libboost-graph-parallel1.74-dev    	       0       59        0        0       59
25951 libboost-graph-parallel1.74.0      	       0       59        0        0       59
25952 libboost-graph-parallel1.81-dev    	       0        2        0        0        2
25953 libboost-graph-parallel1.81.0      	       0        2        0        0        2
25954 libboost-graph-parallel1.83-dev    	       0        9        0        0        9
25955 libboost-graph-parallel1.83.0      	       0        9        0        0        9
25956 libboost-graph1.34.1               	       0        1        0        0        1
25957 libboost-graph1.49-dev             	       0        1        1        0        0
25958 libboost-graph1.49.0               	       0        2        0        0        2
25959 libboost-graph1.55-dev             	       0        2        0        0        2
25960 libboost-graph1.55.0               	       0        3        0        0        3
25961 libboost-graph1.62-dev             	       0        2        0        0        2
25962 libboost-graph1.62.0               	       0        2        0        0        2
25963 libboost-graph1.67-dev             	       0        6        0        0        6
25964 libboost-graph1.67.0               	       0        9        0        0        9
25965 libboost-graph1.74-dev             	       0       68        0        0       68
25966 libboost-graph1.74.0               	       0       68        0        0       68
25967 libboost-graph1.81-dev             	       0        2        0        0        2
25968 libboost-graph1.81.0               	       0        2        0        0        2
25969 libboost-graph1.83-dev             	       0        9        0        0        9
25970 libboost-graph1.83.0               	       0        9        0        0        9
25971 libboost-iostreams-dev             	       0       85        0        0       85
25972 libboost-iostreams1.34.1           	       0        1        0        0        1
25973 libboost-iostreams1.42.0           	       0       20        0        0       20
25974 libboost-iostreams1.46.1           	       0        2        0        0        2
25975 libboost-iostreams1.48.0           	       0        1        0        0        1
25976 libboost-iostreams1.49-dev         	       0        1        1        0        0
25977 libboost-iostreams1.49.0           	       0       62        0        0       62
25978 libboost-iostreams1.53.0           	       0        1        0        0        1
25979 libboost-iostreams1.54.0           	       0        4        0        0        4
25980 libboost-iostreams1.55-dev         	       0        2        0        0        2
25981 libboost-iostreams1.55.0           	       0      216        0        0      216
25982 libboost-iostreams1.58.0           	       0        1        0        0        1
25983 libboost-iostreams1.62-dev         	       0        3        0        0        3
25984 libboost-iostreams1.62.0           	       0      159        0        0      159
25985 libboost-iostreams1.67-dev         	       0        5        0        0        5
25986 libboost-iostreams1.71.0           	       0        4        0        0        4
25987 libboost-iostreams1.74-dev         	       0       83        0        0       83
25988 libboost-iostreams1.74.0-dbgsym    	       0        1        1        0        0
25989 libboost-iostreams1.81-dev         	       0        2        0        0        2
25990 libboost-iostreams1.81.0           	       0        3        0        0        3
25991 libboost-iostreams1.83-dev         	       0       10        0        0       10
25992 libboost-json-dev                  	       0        4        0        0        4
25993 libboost-json1.83-dev              	       0        8        0        0        8
25994 libboost-json1.83.0                	       0        8        0        0        8
25995 libboost-locale-dev                	       0       73        0        0       73
25996 libboost-locale1.49-dev            	       0        1        1        0        0
25997 libboost-locale1.49.0              	       0        2        0        0        2
25998 libboost-locale1.55-dev            	       0        2        0        0        2
25999 libboost-locale1.55.0              	       0        5        0        0        5
26000 libboost-locale1.62-dev            	       0        3        0        0        3
26001 libboost-locale1.62.0              	       0       24        0        0       24
26002 libboost-locale1.67-dev            	       0        4        0        0        4
26003 libboost-locale1.67.0              	       0      165        0        0      165
26004 libboost-locale1.71-dev            	       0        1        0        0        1
26005 libboost-locale1.71.0              	       0        4        0        0        4
26006 libboost-locale1.71.0-dbgsym       	       0        1        1        0        0
26007 libboost-locale1.74-dev            	       0       70        0        0       70
26008 libboost-locale1.74.0-dbgsym       	       0        1        1        0        0
26009 libboost-locale1.81-dev            	       0        2        0        0        2
26010 libboost-locale1.81.0              	       0        3        0        0        3
26011 libboost-locale1.83-dev            	       0        9        0        0        9
26012 libboost-log-dev                   	       0       66        0        0       66
26013 libboost-log1.55-dev               	       0        2        0        0        2
26014 libboost-log1.55.0                 	       0        2        0        0        2
26015 libboost-log1.62-dev               	       0        2        0        0        2
26016 libboost-log1.62.0                 	       0        3        0        0        3
26017 libboost-log1.67-dev               	       0        4        0        0        4
26018 libboost-log1.67.0                 	       0       21        0        0       21
26019 libboost-log1.74-dev               	       0       65        0        0       65
26020 libboost-log1.74.0-dbgsym          	       0        1        1        0        0
26021 libboost-log1.81-dev               	       0        2        0        0        2
26022 libboost-log1.81.0                 	       0        2        0        0        2
26023 libboost-log1.83-dev               	       0       10        0        0       10
26024 libboost-log1.83.0                 	       0       13        0        0       13
26025 libboost-math-dev                  	       0       62        0        0       62
26026 libboost-math1.49-dev              	       0        1        1        0        0
26027 libboost-math1.49.0                	       0        2        0        0        2
26028 libboost-math1.55-dev              	       0        2        0        0        2
26029 libboost-math1.55.0                	       0        3        0        0        3
26030 libboost-math1.62-dev              	       0        2        0        0        2
26031 libboost-math1.62.0                	       0        2        0        0        2
26032 libboost-math1.67-dev              	       0        5        0        0        5
26033 libboost-math1.67.0                	       0        8        0        0        8
26034 libboost-math1.74-dev              	       0       64        0        0       64
26035 libboost-math1.74.0                	       0       64        0        0       64
26036 libboost-math1.81-dev              	       0        2        0        0        2
26037 libboost-math1.81.0                	       0        2        0        0        2
26038 libboost-math1.83-dev              	       0        9        0        0        9
26039 libboost-math1.83.0                	       0        9        0        0        9
26040 libboost-mpi-dev                   	       0       58        0        0       58
26041 libboost-mpi-python-dev            	       0       56        0        0       56
26042 libboost-mpi-python1.55-dev        	       0        1        0        0        1
26043 libboost-mpi-python1.55.0          	       0        1        1        0        0
26044 libboost-mpi-python1.62-dev        	       0        2        0        0        2
26045 libboost-mpi-python1.62.0          	       0        2        2        0        0
26046 libboost-mpi-python1.67-dev        	       0        2        0        0        2
26047 libboost-mpi-python1.67.0          	       0        2        2        0        0
26048 libboost-mpi-python1.74-dev        	       0       54        0        0       54
26049 libboost-mpi-python1.74.0          	       0       54       54        0        0
26050 libboost-mpi-python1.81-dev        	       0        2        0        0        2
26051 libboost-mpi-python1.81.0          	       0        2        2        0        0
26052 libboost-mpi-python1.83-dev        	       0        5        0        0        5
26053 libboost-mpi-python1.83.0          	       0        5        5        0        0
26054 libboost-mpi1.55-dev               	       0        1        0        0        1
26055 libboost-mpi1.55.0                 	       0        2        0        0        2
26056 libboost-mpi1.62-dev               	       0        2        0        0        2
26057 libboost-mpi1.62.0                 	       0        3        0        0        3
26058 libboost-mpi1.67-dev               	       0        3        0        0        3
26059 libboost-mpi1.67.0                 	       0        6        0        0        6
26060 libboost-mpi1.74-dev               	       0       57        0        0       57
26061 libboost-mpi1.74.0                 	       0       61        0        0       61
26062 libboost-mpi1.81-dev               	       0        2        0        0        2
26063 libboost-mpi1.81.0                 	       0        2        0        0        2
26064 libboost-mpi1.83-dev               	       0        8        0        0        8
26065 libboost-mpi1.83.0                 	       0        9        0        0        9
26066 libboost-nowide-dev                	       0       53        0        0       53
26067 libboost-nowide1.74-dev            	       0       59        0        0       59
26068 libboost-nowide1.74.0              	       0       81        0        0       81
26069 libboost-nowide1.74.0-dbgsym       	       0        1        1        0        0
26070 libboost-nowide1.81-dev            	       0        2        0        0        2
26071 libboost-nowide1.81.0              	       0        2        0        0        2
26072 libboost-nowide1.83-dev            	       0        9        0        0        9
26073 libboost-nowide1.83.0              	       0       10        0        0       10
26074 libboost-numpy-dev                 	       0       53        0        0       53
26075 libboost-numpy1.67-dev             	       0        6        0        0        6
26076 libboost-numpy1.67.0               	       0        7        0        0        7
26077 libboost-numpy1.74-dev             	       0       58        0        0       58
26078 libboost-numpy1.74.0               	       0       58        0        0       58
26079 libboost-numpy1.81-dev             	       0        2        0        0        2
26080 libboost-numpy1.81.0               	       0        2        0        0        2
26081 libboost-numpy1.83-dev             	       0        9        0        0        9
26082 libboost-numpy1.83.0               	       0        9        0        0        9
26083 libboost-program-options-dev       	       0      106        0        0      106
26084 libboost-program-options1.34.1     	       0        1        0        0        1
26085 libboost-program-options1.42.0     	       0        1        0        0        1
26086 libboost-program-options1.49-dev   	       0        1        1        0        0
26087 libboost-program-options1.49.0     	       0        7        0        0        7
26088 libboost-program-options1.55-dev   	       0        2        0        0        2
26089 libboost-program-options1.55.0     	       0       13        0        0       13
26090 libboost-program-options1.58.0     	       0        1        0        0        1
26091 libboost-program-options1.62-dev   	       0        3        0        0        3
26092 libboost-program-options1.67-dev   	       0        8        0        0        8
26093 libboost-program-options1.71.0     	       0        5        0        0        5
26094 libboost-program-options1.74-dev   	       0      128        0        0      128
26095 libboost-program-options1.74.0-dbgsym	       0        1        1        0        0
26096 libboost-program-options1.81-dev   	       0        2        0        0        2
26097 libboost-program-options1.81.0     	       0        2        0        0        2
26098 libboost-program-options1.83-dev   	       0       14        0        0       14
26099 libboost-python-dev                	       0       71        0        0       71
26100 libboost-python1.33.1              	       0        1        0        0        1
26101 libboost-python1.34.1              	       0        2        0        0        2
26102 libboost-python1.42.0              	       0        3        0        0        3
26103 libboost-python1.49-dev            	       0        1        1        0        0
26104 libboost-python1.49.0              	       0        4        0        0        4
26105 libboost-python1.55-dev            	       0        2        2        0        0
26106 libboost-python1.55.0              	       0       13        0        0       13
26107 libboost-python1.58.0              	       0        1        0        0        1
26108 libboost-python1.62-dev            	       0        2        2        0        0
26109 libboost-python1.62.0              	       0       11        0        0       11
26110 libboost-python1.67-dev            	       0        3        0        0        3
26111 libboost-python1.67.0              	       0       40        0        0       40
26112 libboost-python1.74-dev            	       0       68        0        0       68
26113 libboost-python1.74.0-dbgsym       	       0        1        1        0        0
26114 libboost-python1.81-dev            	       0        2        0        0        2
26115 libboost-python1.81.0              	       0        2        0        0        2
26116 libboost-python1.83-dev            	       0        9        0        0        9
26117 libboost-python1.83.0              	       0       43        0        0       43
26118 libboost-random-dev                	       0       69        0        0       69
26119 libboost-random1.49-dev            	       0        1        1        0        0
26120 libboost-random1.49.0              	       0        2        0        0        2
26121 libboost-random1.55-dev            	       0        2        0        0        2
26122 libboost-random1.55.0              	       0        4        0        0        4
26123 libboost-random1.62-dev            	       0        2        0        0        2
26124 libboost-random1.62.0              	       0       36        0        0       36
26125 libboost-random1.67-dev            	       0        5        0        0        5
26126 libboost-random1.67.0              	       0       35        0        0       35
26127 libboost-random1.71-dev            	       0        1        0        0        1
26128 libboost-random1.71.0              	       0        1        0        0        1
26129 libboost-random1.71.0-dbgsym       	       0        1        1        0        0
26130 libboost-random1.74-dev            	       0       68        0        0       68
26131 libboost-random1.74.0-dbgsym       	       0        1        1        0        0
26132 libboost-random1.81-dev            	       0        2        0        0        2
26133 libboost-random1.81.0              	       0        2        0        0        2
26134 libboost-random1.83-dev            	       0        9        0        0        9
26135 libboost-random1.83.0              	       0       18        0        0       18
26136 libboost-regex-dev                 	       0      105        0        0      105
26137 libboost-regex1.33.1               	       0        1        0        0        1
26138 libboost-regex1.34.1               	       0        1        0        0        1
26139 libboost-regex1.42.0               	       0        5        0        0        5
26140 libboost-regex1.49-dev             	       0        1        1        0        0
26141 libboost-regex1.49.0               	       0        6        0        0        6
26142 libboost-regex1.54.0               	       0        1        0        0        1
26143 libboost-regex1.55-dev             	       0        2        0        0        2
26144 libboost-regex1.55.0               	       0       12        0        0       12
26145 libboost-regex1.62-dev             	       0        3        0        0        3
26146 libboost-regex1.67-dev             	       0        6        0        0        6
26147 libboost-regex1.71-dev             	       0        1        0        0        1
26148 libboost-regex1.71.0               	       0        4        0        0        4
26149 libboost-regex1.71.0-dbgsym        	       0        1        1        0        0
26150 libboost-regex1.74-dev             	       0      135        0        0      135
26151 libboost-regex1.74.0-dbgsym        	       0        1        1        0        0
26152 libboost-regex1.81-dev             	       0        2        0        0        2
26153 libboost-regex1.81.0               	       0        2        0        0        2
26154 libboost-regex1.83-dev             	       0       16        0        0       16
26155 libboost-regex1.83.0               	       0       16        0        0       16
26156 libboost-serialization-dev         	       0       75        0        0       75
26157 libboost-serialization1.34.1       	       0        1        0        0        1
26158 libboost-serialization1.42.0       	       0        2        0        0        2
26159 libboost-serialization1.49-dev     	       0        1        1        0        0
26160 libboost-serialization1.49.0       	       0        5        0        0        5
26161 libboost-serialization1.55-dev     	       0        3        0        0        3
26162 libboost-serialization1.55.0       	       0        6        0        0        6
26163 libboost-serialization1.62-dev     	       0        4        0        0        4
26164 libboost-serialization1.62.0       	       0       11        0        0       11
26165 libboost-serialization1.67-dev     	       0       11        0        0       11
26166 libboost-serialization1.67.0       	       0       28        0        0       28
26167 libboost-serialization1.71-dev     	       0        1        0        0        1
26168 libboost-serialization1.71.0       	       0        1        0        0        1
26169 libboost-serialization1.71.0-dbgsym	       0        1        1        0        0
26170 libboost-serialization1.74-dev     	       0      146        0        0      146
26171 libboost-serialization1.74.0       	       0      210        5        0      205
26172 libboost-serialization1.74.0-dbgsym	       0        1        1        0        0
26173 libboost-serialization1.81-dev     	       0        2        0        0        2
26174 libboost-serialization1.81.0       	       0        2        0        0        2
26175 libboost-serialization1.83-dev     	       0       16        0        0       16
26176 libboost-serialization1.83.0       	       0       27        1        0       26
26177 libboost-signals-dev               	       0        6        0        0        6
26178 libboost-signals1.34.1             	       0        1        0        0        1
26179 libboost-signals1.42.0             	       0        1        0        0        1
26180 libboost-signals1.49.0             	       0        2        0        0        2
26181 libboost-signals1.55-dev           	       0        2        0        0        2
26182 libboost-signals1.55.0             	       0        8        0        0        8
26183 libboost-signals1.62-dev           	       0        2        0        0        2
26184 libboost-signals1.62.0             	       0       15        0        0       15
26185 libboost-signals1.67-dev           	       0        5        0        0        5
26186 libboost-signals1.67.0             	       0       11        0        0       11
26187 libboost-stacktrace-dev            	       0       55        0        0       55
26188 libboost-stacktrace1.67-dev        	       0        5        0        0        5
26189 libboost-stacktrace1.67.0          	       0        7        0        0        7
26190 libboost-stacktrace1.74-dev        	       0       60        0        0       60
26191 libboost-stacktrace1.74.0          	       0       60        0        0       60
26192 libboost-stacktrace1.81-dev        	       0        2        0        0        2
26193 libboost-stacktrace1.81.0          	       0        2        0        0        2
26194 libboost-stacktrace1.83-dev        	       0        9        0        0        9
26195 libboost-stacktrace1.83.0          	       0        9        0        0        9
26196 libboost-system-dev                	       0      118        0        0      118
26197 libboost-system1.42.0              	       0        3        0        0        3
26198 libboost-system1.49-dev            	       0        1        1        0        0
26199 libboost-system1.49.0              	       0        6        0        0        6
26200 libboost-system1.54.0              	       0        3        0        0        3
26201 libboost-system1.55-dev            	       0        3        0        0        3
26202 libboost-system1.55.0              	       0       43        0        0       43
26203 libboost-system1.58.0              	       0        1        0        0        1
26204 libboost-system1.61.0              	       0        2        0        0        2
26205 libboost-system1.62-dev            	       0        3        0        0        3
26206 libboost-system1.67-dev            	       0       12        0        0       12
26207 libboost-system1.71-dev            	       0        1        0        0        1
26208 libboost-system1.71.0              	       0        1        0        0        1
26209 libboost-system1.71.0-dbgsym       	       0        1        1        0        0
26210 libboost-system1.74-dev            	       0      149        0        0      149
26211 libboost-system1.74.0              	       0      155        0        0      155
26212 libboost-system1.74.0-dbgsym       	       0        1        1        0        0
26213 libboost-system1.81-dev            	       0        3        0        0        3
26214 libboost-system1.81.0              	       0        3        0        0        3
26215 libboost-system1.83-dev            	       0       17        0        0       17
26216 libboost-system1.83.0              	       0       17        0        0       17
26217 libboost-test-dev                  	       0       79        0        0       79
26218 libboost-test1.34.1                	       0        1        0        0        1
26219 libboost-test1.49-dev              	       0        1        1        0        0
26220 libboost-test1.49.0                	       0        2        0        0        2
26221 libboost-test1.55-dev              	       0        2        0        0        2
26222 libboost-test1.55.0                	       0        3        0        0        3
26223 libboost-test1.62-dev              	       0        2        0        0        2
26224 libboost-test1.62.0                	       0        7        0        0        7
26225 libboost-test1.67-dev              	       0        9        0        0        9
26226 libboost-test1.67.0                	       0       17        0        0       17
26227 libboost-test1.74-dev              	       0      112        0        0      112
26228 libboost-test1.74.0                	       0      115        0        0      115
26229 libboost-test1.74.0-dbgsym         	       0        1        1        0        0
26230 libboost-test1.81-dev              	       0        3        0        0        3
26231 libboost-test1.81.0                	       0        3        0        0        3
26232 libboost-test1.83-dev              	       0       14        0        0       14
26233 libboost-test1.83.0                	       0       14        0        0       14
26234 libboost-thread-dev                	       0       99        0        0       99
26235 libboost-thread1.33.1              	       0        1        0        0        1
26236 libboost-thread1.34.1              	       0        1        0        0        1
26237 libboost-thread1.42.0              	       0        2        0        0        2
26238 libboost-thread1.49-dev            	       0        1        1        0        0
26239 libboost-thread1.49.0              	       0        6        0        0        6
26240 libboost-thread1.54.0              	       0        1        0        0        1
26241 libboost-thread1.55-dev            	       0        3        0        0        3
26242 libboost-thread1.55.0              	       0       30        0        0       30
26243 libboost-thread1.62-dev            	       0        3        0        0        3
26244 libboost-thread1.67-dev            	       0        6        0        0        6
26245 libboost-thread1.71-dev            	       0        1        0        0        1
26246 libboost-thread1.71.0              	       0        6        0        0        6
26247 libboost-thread1.71.0-dbgsym       	       0        1        1        0        0
26248 libboost-thread1.74-dev            	       0      125        0        0      125
26249 libboost-thread1.74.0-dbgsym       	       0        1        1        0        0
26250 libboost-thread1.81-dev            	       0        2        0        0        2
26251 libboost-thread1.81.0              	       0        3        0        0        3
26252 libboost-thread1.83-dev            	       0       13        0        0       13
26253 libboost-timer-dev                 	       0       63        0        0       63
26254 libboost-timer1.49-dev             	       0        1        1        0        0
26255 libboost-timer1.49.0               	       0        2        0        0        2
26256 libboost-timer1.55-dev             	       0        2        0        0        2
26257 libboost-timer1.55.0               	       0        3        0        0        3
26258 libboost-timer1.62-dev             	       0        2        0        0        2
26259 libboost-timer1.62.0               	       0        7        0        0        7
26260 libboost-timer1.67-dev             	       0        5        0        0        5
26261 libboost-timer1.67.0               	       0       16        0        0       16
26262 libboost-timer1.74-dev             	       0       65        0        0       65
26263 libboost-timer1.74.0               	       0       65        0        0       65
26264 libboost-timer1.81-dev             	       0        2        0        0        2
26265 libboost-timer1.81.0               	       0        2        0        0        2
26266 libboost-timer1.83-dev             	       0        9        0        0        9
26267 libboost-timer1.83.0               	       0        9        0        0        9
26268 libboost-tools-dev                 	       0       63        0        0       63
26269 libboost-type-erasure-dev          	       0       58        0        0       58
26270 libboost-type-erasure1.62-dev      	       0        2        0        0        2
26271 libboost-type-erasure1.62.0        	       0        2        0        0        2
26272 libboost-type-erasure1.67-dev      	       0        4        0        0        4
26273 libboost-type-erasure1.67.0        	       0        7        0        0        7
26274 libboost-type-erasure1.74-dev      	       0       59        0        0       59
26275 libboost-type-erasure1.74.0        	       0       59        0        0       59
26276 libboost-type-erasure1.81-dev      	       0        2        0        0        2
26277 libboost-type-erasure1.81.0        	       0        2        0        0        2
26278 libboost-type-erasure1.83-dev      	       0        9        0        0        9
26279 libboost-type-erasure1.83.0        	       0        9        0        0        9
26280 libboost-url-dev                   	       0        4        0        0        4
26281 libboost-url1.83-dev               	       0        8        0        0        8
26282 libboost-url1.83.0                 	       0        8        0        0        8
26283 libboost-wave-dev                  	       0       62        0        0       62
26284 libboost-wave1.34.1                	       0        1        0        0        1
26285 libboost-wave1.49-dev              	       0        1        1        0        0
26286 libboost-wave1.49.0                	       0        2        0        0        2
26287 libboost-wave1.55-dev              	       0        2        0        0        2
26288 libboost-wave1.55.0                	       0        2        0        0        2
26289 libboost-wave1.62-dev              	       0        3        0        0        3
26290 libboost-wave1.62.0                	       0        4        0        0        4
26291 libboost-wave1.67-dev              	       0        4        0        0        4
26292 libboost-wave1.67.0                	       0        7        0        0        7
26293 libboost-wave1.74-dev              	       0       61        0        0       61
26294 libboost-wave1.74.0                	       0       61        0        0       61
26295 libboost-wave1.81-dev              	       0        2        0        0        2
26296 libboost-wave1.81.0                	       0        2        0        0        2
26297 libboost-wave1.83-dev              	       0       10        0        0       10
26298 libboost-wave1.83.0                	       0       10        0        0       10
26299 libboost1.46-doc                   	       0        1        1        0        0
26300 libboost1.49-dev                   	       0        1        1        0        0
26301 libboost1.49-doc                   	       0        1        1        0        0
26302 libboost1.55-dev                   	       0        5        5        0        0
26303 libboost1.55-tools-dev             	       0        2        2        0        0
26304 libboost1.62-dev                   	       0        6        6        0        0
26305 libboost1.62-tools-dev             	       0        2        2        0        0
26306 libboost1.67-doc                   	       0        1        1        0        0
26307 libboost1.67-tools-dev             	       0        6        6        0        0
26308 libboost1.71-dev                   	       0        1        1        0        0
26309 libboost1.71-doc                   	       0        1        1        0        0
26310 libboost1.74-all-dev               	       0        4        0        0        4
26311 libboost1.74-doc                   	       0        7        7        0        0
26312 libboost1.74-tools-dev             	       0       63       63        0        0
26313 libboost1.81-all-dev               	       0        2        0        0        2
26314 libboost1.81-dev                   	       0        3        3        0        0
26315 libboost1.81-doc                   	       0        1        1        0        0
26316 libboost1.81-tools-dev             	       0        3        3        0        0
26317 libboost1.83-all-dev               	       0        1        0        0        1
26318 libboost1.83-dev                   	       0       30       30        0        0
26319 libboost1.83-tools-dev             	       0       10       10        0        0
26320 libbos-ocaml                       	       0        1        1        0        0
26321 libbos-ocaml-dev                   	       0        1        1        0        0
26322 libbotan-1.10-0                    	       0        2        0        0        2
26323 libbotan-1.10-1                    	       0        7        0        0        7
26324 libbotan-2-15                      	       0        1        0        0        1
26325 libbotan-2-18                      	       0        2        0        0        2
26326 libbotan-2-9                       	       0       45        0        0       45
26327 libbotan-2-dev                     	       0       10       10        0        0
26328 libbotan-2-doc                     	       0        4        0        0        4
26329 libbotan-kpxc-2                    	       0        1        1        0        0
26330 libbox2d-dev                       	       0        4        4        0        0
26331 libbox2d-doc                       	       0        1        0        0        1
26332 libbox2d2                          	       0     2206        0        0     2206
26333 libbox2d2.3.0                      	       0       19        0        0       19
26334 libboxfort-dev                     	       0        1        1        0        0
26335 libbpf-dev                         	       0       13       13        0        0
26336 libbpf-tools                       	       0        2        2        0        0
26337 libbpf0                            	       0     1055        0        0     1055
26338 libbpf4.19                         	       0        1        0        0        1
26339 libbpfcc                           	       0        8        0        0        8
26340 libbpfcc-dev                       	       0        1        1        0        0
26341 libbpfjit-dev                      	       0        1        1        0        0
26342 libbpfjit1                         	       0        1        0        0        1
26343 libbpg-bin                         	       0        1        1        0        0
26344 libbpp-core4                       	       0        1        0        0        1
26345 libbpp-phyl-omics3                 	       0        1        0        0        1
26346 libbpp-phyl12                      	       0        1        0        0        1
26347 libbpp-seq-omics3                  	       0        1        0        0        1
26348 libbpp-seq12                       	       0        1        0        0        1
26349 libbrahe-1.3-3                     	       0        2        0        0        2
26350 libbrahe-dev                       	       0        2        2        0        0
26351 libbraiding-dev                    	       0        8        8        0        0
26352 libbraiding0                       	       0        9        0        0        9
26353 libbrasero-media3-1                	       0      588        5        0      583
26354 libbrasero-media3-dev              	       0        1        1        0        0
26355 libbrial-dev                       	       0        8        8        0        0
26356 libbrial-groebner-dev              	       0        8        8        0        0
26357 libbrial-groebner3                 	       0       10        0        0       10
26358 libbrial3                          	       0       10        0        0       10
26359 libbrlapi-dev                      	       0        9        9        0        0
26360 libbrlapi0.5                       	       0        7        0        0        7
26361 libbrlapi0.6                       	       0      277        1        0      276
26362 libbrlapi0.7                       	       0        3        0        0        3
26363 libbrlapi0.8-dbgsym                	       0        1        1        0        0
26364 libbroadvoice1                     	       0        1        0        0        1
26365 libbrowser-open-perl               	       0        2        2        0        0
26366 libbs2b-dev                        	       0       19       19        0        0
26367 libbsapi                           	       0        1        1        0        0
26368 libbsc-dev                         	       0        1        1        0        0
26369 libbsc3                            	       0        1        0        0        1
26370 libbsd-arc4random-perl             	       0        1        0        0        1
26371 libbsd-resource-perl               	       0       85        0        0       85
26372 libbsf-java                        	       0      125        0        0      125
26373 libbsf-java-doc                    	       0        1        0        0        1
26374 libbsh-java                        	       0      642        0        0      642
26375 libbson-1.0-0                      	       0       62        0        0       62
26376 libbson-1.0-0t64                   	       0        6        0        0        6
26377 libbson-dev                        	       0        3        3        0        0
26378 libbson-doc                        	       0        1        0        0        1
26379 libbson-perl                       	       0        3        3        0        0
26380 libbson-xs-perl                    	       0        1        0        0        1
26381 libbt-dev                          	       0        1        0        0        1
26382 libbt0                             	       0       18        0        0       18
26383 libbt0v5                           	       0        3        0        0        3
26384 libbtbb-dev                        	       0        3        3        0        0
26385 libbtbb1                           	       0        8        0        0        8
26386 libbtf1                            	       0       91        0        0       91
26387 libbtf1.1.0                        	       0        1        0        0        1
26388 libbtf1.2.0                        	       0        1        0        0        1
26389 libbtf2                            	       0        5        0        0        5
26390 libbtparse1                        	       0        9        0        0        9
26391 libbtparse2                        	       0      113        0        0      113
26392 libbtrfs-dev                       	       0        2        2        0        0
26393 libbtrfs0                          	       0       17        0        0       17
26394 libbtrfs0t64                       	       0        4        0        0        4
26395 libbtrfsutil-dev                   	       0        1        1        0        0
26396 libbtrfsutil1                      	       0        2        0        0        2
26397 libbtrfsutil1t64                   	       0        2        0        0        2
26398 libbuild-helper-maven-plugin-java  	       0        2        0        0        2
26399 libbuilder-ruby1.9.1               	       0        1        0        0        1
26400 libbullet-dev                      	       0        7        7        0        0
26401 libbullet-extras-dev               	       0        1        1        0        0
26402 libbullet-extras3.06               	       0        1        0        0        1
26403 libbullet-extras3.24               	       0        1        0        0        1
26404 libbullet3.06                      	       0        4        0        0        4
26405 libbullet3.24                      	       0       16        0        0       16
26406 libbullet3.24t64                   	       0        1        0        0        1
26407 libbulletcollision2.82             	       0        1        0        0        1
26408 libbulletdynamics2.82              	       0        1        0        0        1
26409 libbulletml-dev                    	       0        1        1        0        0
26410 libbulletml0v5                     	       0       16        0        0       16
26411 libbulletsoftbody2.82              	       0        1        0        0        1
26412 libbunny-ruby1.9.1                 	       0        1        0        0        1
26413 libburn-dev                        	       0        3        3        0        0
26414 libburn4                           	       0     2064        0        0     2064
26415 libburn4t64                        	       0      154        0        0      154
26416 libburner-media3-1                 	       0       13        0        0       13
26417 libbusiness-creditcard-perl        	       0        1        1        0        0
26418 libbusiness-ismn-perl              	       0      113      110        3        0
26419 libbusiness-onlinepayment-authorizenet-perl	       0        1        1        0        0
26420 libbusiness-onlinepayment-payflowpro-perl	       0        1        1        0        0
26421 libbusiness-onlinepayment-perl     	       0        1        1        0        0
26422 libbyte-buddy-java                 	       0        8        0        0        8
26423 libbytelist-java                   	       0        6        0        0        6
26424 libbytesize-common                 	       0      248        0        0      248
26425 libbzip3-0                         	       0       18        0        0       18
26426 libbzip3-dev                       	       0        3        3        0        0
26427 libbzrtp-dev                       	       0        1        1        0        0
26428 libbzrtp1                          	       0        5        0        0        5
26429 libc++-11-dev                      	       0        4        3        0        1
26430 libc++-14-dev                      	       0        5        5        0        0
26431 libc++-14-dev-wasm32               	       0        4        4        0        0
26432 libc++-16-dev                      	       0        3        3        0        0
26433 libc++-19-dev                      	       0        2        2        0        0
26434 libc++-19-dev-wasm32               	       0        2        2        0        0
26435 libc++-7-dev                       	       0        1        1        0        0
26436 libc++-9-dev                       	       0        1        1        0        0
26437 libc++-dev                         	       0        6        0        0        6
26438 libc++-dev-wasm32                  	       0        4        0        0        4
26439 libc++1                            	       0       34        0        0       34
26440 libc++1-11                         	       0        9        8        0        1
26441 libc++1-19                         	       0       24       24        0        0
26442 libc++1-7                          	       0        4        4        0        0
26443 libc++1-9                          	       0        1        1        0        0
26444 libc++abi-11-dev                   	       0        4        3        0        1
26445 libc++abi-14-dev-wasm32            	       0        4        4        0        0
26446 libc++abi-19-dev                   	       0        2        2        0        0
26447 libc++abi-19-dev-wasm32            	       0        2        2        0        0
26448 libc++abi-9-dev                    	       0        1        1        0        0
26449 libc++abi-dev                      	       0        1        0        0        1
26450 libc++abi1-11                      	       0        9        8        0        1
26451 libc++abi1-19                      	       0       24       24        0        0
26452 libc++abi1-7                       	       0        4        4        0        0
26453 libc++abi1-9                       	       0        1        1        0        0
26454 libc-bin-dbgsym                    	       0        1        1        0        0
26455 libc-client2007e-dev               	       0       19       19        0        0
26456 libc-icap-mod-virus-scan           	       0        3        0        0        3
26457 libc3p0-java                       	       0        6        0        0        6
26458 libc3p0-java-doc                   	       0        1        0        0        1
26459 libc6-amd64                        	       0       20        0        0       20
26460 libc6-amd64-cross                  	       0        5        0        0        5
26461 libc6-amd64-i386-cross             	       0        5        0        0        5
26462 libc6-amd64-x32-cross              	       0        6        0        0        6
26463 libc6-arm64-cross                  	       0       39        0        0       39
26464 libc6-armel-cross                  	       0       25        0        0       25
26465 libc6-armhf-cross                  	       0       33        0        0       33
26466 libc6-dev-amd64                    	       0        7        7        0        0
26467 libc6-dev-amd64-cross              	       0        2        2        0        0
26468 libc6-dev-amd64-i386-cross         	       0        4        3        1        0
26469 libc6-dev-amd64-x32-cross          	       0        5        5        0        0
26470 libc6-dev-armel-cross              	       0       23       22        1        0
26471 libc6-dev-hppa-cross               	       0        1        1        0        0
26472 libc6-dev-i386-amd64-cross         	       0        1        1        0        0
26473 libc6-dev-i386-x32-cross           	       0        5        5        0        0
26474 libc6-dev-m68k-cross               	       0        1        1        0        0
26475 libc6-dev-mips-cross               	       0        5        5        0        0
26476 libc6-dev-mips64-cross             	       0        1        1        0        0
26477 libc6-dev-mips64-mips-cross        	       0        2        2        0        0
26478 libc6-dev-mips64-mipsel-cross      	       0        1        1        0        0
26479 libc6-dev-mips64el-cross           	       0        1        1        0        0
26480 libc6-dev-mips64r6el-cross         	       0        1        1        0        0
26481 libc6-dev-mipsel-cross             	       0        5        5        0        0
26482 libc6-dev-mipsn32-mips-cross       	       0        2        2        0        0
26483 libc6-dev-mipsn32-mipsel-cross     	       0        1        1        0        0
26484 libc6-dev-powerpc-cross            	       0        3        3        0        0
26485 libc6-dev-powerpc-ppc64-cross      	       0        1        1        0        0
26486 libc6-dev-ppc64-cross              	       0        4        4        0        0
26487 libc6-dev-ppc64el-cross            	       0        1        1        0        0
26488 libc6-dev-s390x-cross              	       0        2        2        0        0
26489 libc6-dev-sparc64-cross            	       0        1        1        0        0
26490 libc6-dev-x32-cross                	       0        6        6        0        0
26491 libc6-dev-x32-i386-cross           	       0        4        3        1        0
26492 libc6-hppa-cross                   	       0        3        0        0        3
26493 libc6-i386                         	       0      633        2        0      631
26494 libc6-i386-amd64-cross             	       0        2        0        0        2
26495 libc6-i386-cross                   	       0       18        0        0       18
26496 libc6-i386-x32-cross               	       0        5        0        0        5
26497 libc6-m68k-cross                   	       0        1        0        0        1
26498 libc6-mips-cross                   	       0        5        0        0        5
26499 libc6-mips64-cross                 	       0        1        0        0        1
26500 libc6-mips64-mips-cross            	       0        2        0        0        2
26501 libc6-mips64-mipsel-cross          	       0        1        0        0        1
26502 libc6-mips64el-cross               	       0        1        0        0        1
26503 libc6-mips64r6el-cross             	       0        1        0        0        1
26504 libc6-mipsel-cross                 	       0        5        0        0        5
26505 libc6-mipsn32-mips-cross           	       0        2        0        0        2
26506 libc6-mipsn32-mipsel-cross         	       0        1        0        0        1
26507 libc6-powerpc-cross                	       0        5        0        0        5
26508 libc6-powerpc-ppc64-cross          	       0        1        0        0        1
26509 libc6-ppc64-cross                  	       0        6        0        0        6
26510 libc6-ppc64el-cross                	       0        1        0        0        1
26511 libc6-riscv64-cross                	       0       10        0        0       10
26512 libc6-s390x-cross                  	       0        4        0        0        4
26513 libc6-sparc64-cross                	       0        3        0        0        3
26514 libc6-x32                          	       0      196        0        0      196
26515 libc6-x32-cross                    	       0        7        0        0        7
26516 libc6-x32-i386-cross               	       0        4        0        0        4
26517 libc6.1-alpha-cross                	       0        4        0        0        4
26518 libc6.1-dev-alpha-cross            	       0        2        2        0        0
26519 libcacard-dev                      	       0        7        7        0        0
26520 libcache-fastmmap-perl             	       0        7        0        0        7
26521 libcache-lru-perl                  	       0        4        4        0        0
26522 libcache-perl                      	       0       15       15        0        0
26523 libcache-simple-timedexpiry-perl   	       0        2        2        0        0
26524 libcaf-openmpi-3                   	       0       95        0        0       95
26525 libcaf-openmpi-3t64                	       0        6        0        0        6
26526 libcaffe-cpu1                      	       0        1        0        0        1
26527 libcairo-5c-dev                    	       0        1        0        0        1
26528 libcairo-5c0                       	       0        8        0        0        8
26529 libcairo-ocaml                     	       0        1        1        0        0
26530 libcairo-ruby                      	       0        1        0        0        1
26531 libcairo-ruby1.8                   	       0        2        1        0        1
26532 libcairo1.10-cil                   	       0       62        0        0       62
26533 libcairo2-doc                      	       0       21        0        0       21
26534 libcairo2-ocaml                    	       0        6        6        0        0
26535 libcairo2-ocaml-dev                	       0        5        5        0        0
26536 libcairomm-1.0-1                   	       0       10        1        0        9
26537 libcairomm-1.0-doc                 	       0        1        0        0        1
26538 libcairomm-1.16-dev                	       0        8        8        0        0
26539 libcairomm-1.16-doc                	       0        1        0        0        1
26540 libcaja-extension-dev              	       0        1        1        0        0
26541 libcal3d12                         	       0        2        2        0        0
26542 libcaldav                          	       0       32        0        0       32
26543 libcalendar-simple-perl            	       0       16       15        1        0
26544 libcalendaring                     	       0        1        0        0        1
26545 libcalendarsupport-data            	       0        6        0        0        6
26546 libcalendarsupport4                	       0        4        1        0        3
26547 libcallaudio-tools                 	       0        2        1        1        0
26548 libcallback1                       	       0        2        0        0        2
26549 libcamd2.2.0                       	       0        2        0        0        2
26550 libcamd2.3.1                       	       0       27        0        0       27
26551 libcamel-1.2-33                    	       0        6        0        0        6
26552 libcamel-1.2-43                    	       0        1        0        0        1
26553 libcamel-1.2-49                    	       0        8        0        0        8
26554 libcamel-1.2-54                    	       0        1        0        0        1
26555 libcamel-1.2-59                    	       0       14        0        0       14
26556 libcamel-1.2-63                    	       0        4        1        0        3
26557 libcamel1.2-dev                    	       0        6        6        0        0
26558 libcamera-calibration-parsers-dev  	       0        1        1        0        0
26559 libcamera-calibration-parsers0d    	       0        1        0        0        1
26560 libcamera-dev                      	       0        3        3        0        0
26561 libcamera-doc                      	       0        1        0        0        1
26562 libcamera-info-manager-dev         	       0        1        1        0        0
26563 libcamera-info-manager0d           	       0        1        0        0        1
26564 libcamera-ipa                      	       0       18        0        0       18
26565 libcamera-tools                    	       0        6        5        1        0
26566 libcamera-v4l2                     	       0        9        0        0        9
26567 libcamera0.1                       	       0        1        0        0        1
26568 libcamitk4                         	       0        1        1        0        0
26569 libcamlp-streams-ocaml             	       0       13        3        0       10
26570 libcamlp-streams-ocaml-dev         	       0        1        1        0        0
26571 libcamlp4-ocaml-dev                	       0       15       15        0        0
26572 libcamomile-ocaml-data             	       0        5        0        0        5
26573 libcamomile-ocaml-dev              	       0        2        2        0        0
26574 libcamp0.8                         	       0        1        0        0        1
26575 libcanary-stability-perl           	       0        1        1        0        0
26576 libcanberra-dev                    	       0       25       24        1        0
26577 libcanberra-doc                    	       0        2        0        0        2
26578 libcanberra-gstreamer              	       0        5        0        0        5
26579 libcanberra-gtk-common-dev         	       0       17       17        0        0
26580 libcanberra-gtk-dev                	       0        3        0        0        3
26581 libcanberra-gtk3-0t64              	       0       10        1        0        9
26582 libcanberra-gtk3-dev               	       0       14        0        0       14
26583 libcanl-c2                         	       0        1        0        0        1
26584 libcanl-c4                         	       0        1        0        0        1
26585 libcanlock-dev                     	       0        1        1        0        0
26586 libcanlock2                        	       0       29        0        0       29
26587 libcanna1g                         	       0      278        0        0      278
26588 libcantorlibs-data                 	       0       40        0        0       40
26589 libcantorlibs28                    	       0       10        0        0       10
26590 libcantorlibs28abi1                	       0       30        0        0       30
26591 libcantorlibs28abi1t64             	       0       10        0        0       10
26592 libcap1                            	       0       10        1        0        9
26593 libcapi20-3                        	       0      503        1        0      502
26594 libcapi20-3t64                     	       0       67        0        0       67
26595 libcapi20-dev                      	       0       13       13        0        0
26596 libcapnp-0.7.0                     	       0       12        1        0       11
26597 libcapnp-0.8.0                     	       0        1        0        0        1
26598 libcapnp-0.9.1                     	       0        1        0        0        1
26599 libcapnp-0.9.2                     	       0       27        0        0       27
26600 libcapnp-1.0.1                     	       0        2        0        0        2
26601 libcapnp-dev                       	       0        3        3        0        0
26602 libcapstone-dev                    	       0       16       16        0        0
26603 libcapstone3                       	       0       56        1        0       55
26604 libcapstone5                       	       0       14        0        0       14
26605 libcapsule-maven-nextflow-java     	       0        1        0        0        1
26606 libcaptcha-recaptcha-perl          	       0        2        2        0        0
26607 libcarddav                         	       0       32        0        0       32
26608 libcaribou-common                  	       0      303        0        0      303
26609 libcaribou-gtk-module              	       0       10        0        0       10
26610 libcaribou-gtk3-module             	       0       12        0        0       12
26611 libcarp-always-perl                	       0       24       24        0        0
26612 libcarrotsearch-hppc-java          	       0        1        0        0        1
26613 libcasa-casa2                      	       0        2        0        0        2
26614 libcasa-casa5                      	       0        5        0        0        5
26615 libcasa-casa7                      	       0        1        0        0        1
26616 libcasa-coordinates2               	       0        1        0        0        1
26617 libcasa-coordinates5               	       0        4        0        0        4
26618 libcasa-coordinates7               	       0        1        0        0        1
26619 libcasa-derivedmscal2              	       0        1        0        0        1
26620 libcasa-derivedmscal5              	       0        4        0        0        4
26621 libcasa-derivedmscal7              	       0        1        0        0        1
26622 libcasa-fits2                      	       0        1        0        0        1
26623 libcasa-fits5                      	       0        4        0        0        4
26624 libcasa-fits7                      	       0        1        0        0        1
26625 libcasa-images2                    	       0        1        0        0        1
26626 libcasa-images5                    	       0        4        0        0        4
26627 libcasa-images7                    	       0        1        0        0        1
26628 libcasa-lattices2                  	       0        1        0        0        1
26629 libcasa-lattices5                  	       0        4        0        0        4
26630 libcasa-lattices7                  	       0        1        0        0        1
26631 libcasa-meas2                      	       0        1        0        0        1
26632 libcasa-meas5                      	       0        4        0        0        4
26633 libcasa-meas7                      	       0        1        0        0        1
26634 libcasa-measures2                  	       0        1        0        0        1
26635 libcasa-measures5                  	       0        5        0        0        5
26636 libcasa-measures7                  	       0        1        0        0        1
26637 libcasa-mirlib2                    	       0        1        0        0        1
26638 libcasa-mirlib5                    	       0        4        0        0        4
26639 libcasa-mirlib7                    	       0        1        0        0        1
26640 libcasa-ms2                        	       0        1        0        0        1
26641 libcasa-ms5                        	       0        5        0        0        5
26642 libcasa-ms7                        	       0        1        0        0        1
26643 libcasa-msfits2                    	       0        1        0        0        1
26644 libcasa-msfits5                    	       0        1        0        0        1
26645 libcasa-python3-5                  	       0        4        0        0        4
26646 libcasa-python3-7                  	       0        1        0        0        1
26647 libcasa-scimath-f2                 	       0        1        0        0        1
26648 libcasa-scimath-f5                 	       0        5        0        0        5
26649 libcasa-scimath-f7                 	       0        1        0        0        1
26650 libcasa-scimath2                   	       0        1        0        0        1
26651 libcasa-scimath5                   	       0        5        0        0        5
26652 libcasa-scimath7                   	       0        1        0        0        1
26653 libcasa-tables2                    	       0        1        0        0        1
26654 libcasa-tables5                    	       0        5        0        0        5
26655 libcasa-tables7                    	       0        1        0        0        1
26656 libcassie-dev                      	       0        1        1        0        0
26657 libcassie-doc                      	       0        1        0        0        1
26658 libcassie1v5                       	       0        3        0        0        3
26659 libcastor-core-java                	       0        3        0        0        3
26660 libcastor-java-doc                 	       0        1        0        0        1
26661 libcastor-xml-java                 	       0        3        0        0        3
26662 libcatalyst-action-renderview-perl 	       0        2        2        0        0
26663 libcatalyst-authentication-credential-authen-simple-perl	       0        1        1        0        0
26664 libcatalyst-authentication-credential-http-perl	       0        1        1        0        0
26665 libcatalyst-authentication-store-dbix-class-perl	       0        1        1        0        0
26666 libcatalyst-component-instancepercontext-perl	       0        2        2        0        0
26667 libcatalyst-controller-formbuilder-perl	       0        1        1        0        0
26668 libcatalyst-controller-html-formfu-perl	       0        1        1        0        0
26669 libcatalyst-devel-perl             	       0        2        2        0        0
26670 libcatalyst-dispatchtype-regex-perl	       0        2        2        0        0
26671 libcatalyst-log-log4perl-perl      	       0        1        1        0        0
26672 libcatalyst-model-dbi-perl         	       0        1        1        0        0
26673 libcatalyst-model-dbic-schema-perl 	       0        2        2        0        0
26674 libcatalyst-modules-perl           	       0        1        0        0        1
26675 libcatalyst-perl                   	       0        2        2        0        0
26676 libcatalyst-plugin-authentication-perl	       0        2        2        0        0
26677 libcatalyst-plugin-authorization-acl-perl	       0        1        1        0        0
26678 libcatalyst-plugin-authorization-roles-perl	       0        1        1        0        0
26679 libcatalyst-plugin-cache-perl      	       0        1        1        0        0
26680 libcatalyst-plugin-cache-store-fastmmap-perl	       0        1        1        0        0
26681 libcatalyst-plugin-configloader-perl	       0        2        2        0        0
26682 libcatalyst-plugin-i18n-perl       	       0        1        1        0        0
26683 libcatalyst-plugin-log-dispatch-perl	       0        1        1        0        0
26684 libcatalyst-plugin-session-perl    	       0        2        2        0        0
26685 libcatalyst-plugin-session-state-cookie-perl	       0        2        2        0        0
26686 libcatalyst-plugin-session-store-cache-perl	       0        1        1        0        0
26687 libcatalyst-plugin-session-store-dbi-perl	       0        1        1        0        0
26688 libcatalyst-plugin-session-store-dbic-perl	       0        1        1        0        0
26689 libcatalyst-plugin-session-store-delegate-perl	       0        1        1        0        0
26690 libcatalyst-plugin-session-store-fastmmap-perl	       0        2        2        0        0
26691 libcatalyst-plugin-session-store-file-perl	       0        1        1        0        0
26692 libcatalyst-plugin-stacktrace-perl 	       0        2        2        0        0
26693 libcatalyst-plugin-static-simple-perl	       0        2        2        0        0
26694 libcatalyst-plugin-subrequest-perl 	       0        1        1        0        0
26695 libcatalyst-plugin-unicode-perl    	       0        1        1        0        0
26696 libcatalyst-view-email-perl        	       0        1        1        0        0
26697 libcatalyst-view-json-perl         	       0        1        1        0        0
26698 libcatalyst-view-mason-perl        	       0        1        1        0        0
26699 libcatalyst-view-petal-perl        	       0        1        1        0        0
26700 libcatalyst-view-tt-perl           	       0        1        1        0        0
26701 libcatalystx-component-traits-perl 	       0        2        2        0        0
26702 libcatch2-dev                      	       0        6        6        0        0
26703 libcatmandu-marc-perl              	       0        1        1        0        0
26704 libcatmandu-perl                   	       0        2        2        0        0
26705 libcatmandu-store-elasticsearch-perl	       0        1        1        0        0
26706 libcattle-1.0-0                    	       0        2        0        0        2
26707 libcava-java                       	       0        1        0        0        1
26708 libcbf1                            	       0        4        0        0        4
26709 libcbor-dev                        	       0        3        3        0        0
26710 libcbor-xs-perl                    	       0        5        0        0        5
26711 libcbor0                           	       0      717        0        0      717
26712 libcbor0-dbgsym                    	       0        1        1        0        0
26713 libcc1-0                           	       0     2545        0        0     2545
26714 libcc1-0-dbgsym                    	       0        1        1        0        0
26715 libccd-dev                         	       0        1        1        0        0
26716 libccd2                            	       0       39        0        0       39
26717 libccfits-dev                      	       0        4        4        0        0
26718 libccfits-doc                      	       0        1        0        0        1
26719 libccfits0v5                       	       0        5        0        0        5
26720 libccgnu2-1.7-0                    	       0        2        0        0        2
26721 libccgnu2-1.8-0                    	       0        1        0        0        1
26722 libccgnu2-1.8-0v5                  	       0        2        0        0        2
26723 libccolamd2.7.1                    	       0        2        0        0        2
26724 libccolamd2.8.0                    	       0       27        0        0       27
26725 libccp4-data                       	       0        1        0        0        1
26726 libccp4-dev                        	       0        1        1        0        0
26727 libccp4c0                          	       0        1        0        0        1
26728 libccp4f0                          	       0        1        0        0        1
26729 libccrtp-dev                       	       0        3        3        0        0
26730 libccrtp-doc                       	       0        1        1        0        0
26731 libccrtp0                          	       0        1        0        0        1
26732 libccrtp1-1.7-0                    	       0        2        0        0        2
26733 libccrtp2                          	       0        1        0        0        1
26734 libccrtp2t64                       	       0        2        1        0        1
26735 libccrtp2v5                        	       0       17        1        0       16
26736 libcctz-dev                        	       0        1        1        0        0
26737 libcctz-doc                        	       0        1        0        0        1
26738 libcctz2                           	       0        1        0        0        1
26739 libcdaudio-dev                     	       0        3        3        0        0
26740 libcdaudio1                        	       0       48        0        0       48
26741 libcdb-dev                         	       0        1        1        0        0
26742 libcdb-file-perl                   	       0        2        0        0        2
26743 libcdd-dev                         	       0        9        9        0        0
26744 libcdd-doc                         	       0        9        0        0        9
26745 libcdd-tools                       	       0       10       10        0        0
26746 libcdd0d                           	       0       15        0        0       15
26747 libcddb-file-perl                  	       0        4        4        0        0
26748 libcddb-get-perl                   	       0       33       33        0        0
26749 libcddb-perl                       	       0       15       15        0        0
26750 libcddb2-dev                       	       0       15       15        0        0
26751 libcdi-api-java                    	       0      472        0        0      472
26752 libcdi-dev                         	       0        1        1        0        0
26753 libcdi0                            	       0        5        0        0        5
26754 libcdio++-dev                      	       0        1        0        0        1
26755 libcdio++1t64                      	       0        1        0        0        1
26756 libcdio-cdda-dev                   	       0       38       37        1        0
26757 libcdio-cdda0                      	       0        5        0        0        5
26758 libcdio-cdda1                      	       0      125        0        0      125
26759 libcdio-dev                        	       0       46       45        1        0
26760 libcdio-paranoia-dev               	       0       37       36        1        0
26761 libcdio-paranoia0                  	       0        5        0        0        5
26762 libcdio-paranoia1                  	       0      123        0        0      123
26763 libcdio-utils                      	       0       21       20        1        0
26764 libcdio10                          	       0        9        0        0        9
26765 libcdio13                          	       0      153        0        0      153
26766 libcdio18                          	       0      184        1        0      183
26767 libcdio6                           	       0        2        0        0        2
26768 libcdio7                           	       0        3        0        0        3
26769 libcdk-java                        	       0        2        0        0        2
26770 libcdk-perl                        	       0        3        0        0        3
26771 libcdk5                            	       0        5        0        0        5
26772 libcdk5nc6                         	       0       65        0        0       65
26773 libcdk5t64                         	       0       13        0        0       13
26774 libcdparanoia-dev                  	       0        9        9        0        0
26775 libcdr-0.0-0                       	       0        1        0        0        1
26776 libcdr-dev                         	       0        1        1        0        0
26777 libcdr-tools                       	       0        1        1        0        0
26778 libcds-healpix-java                	       0        2        0        0        2
26779 libcds-moc-java                    	       0        1        0        0        1
26780 libcds-savot-java                  	       0        1        0        0        1
26781 libcdt4                            	       0        7        0        0        7
26782 libcdt5                            	       0     1723        0        0     1723
26783 libcec-dev                         	       0        8        8        0        0
26784 libcec-platform1v5                 	       0        1        0        0        1
26785 libcec1                            	       0        1        0        0        1
26786 libcec2                            	       0        3        0        0        3
26787 libcec4                            	       0        8        0        0        8
26788 libcegui-mk2-0.7.6                 	       0        1        0        0        1
26789 libcegui-mk2-0.8.7                 	       0        2        0        0        2
26790 libcegui-mk2-data                  	       0        2        0        0        2
26791 libcegui-mk2-dev                   	       0        2        2        0        0
26792 libcelestia1.7                     	       0        1        0        0        1
26793 libcelt0-0                         	       0        7        0        0        7
26794 libcephfs-dev                      	       0        2        2        0        0
26795 libcephfs1                         	       0        3        0        0        3
26796 libcephfs2                         	       0      288        0        0      288
26797 libcereal-dev                      	       0        5        1        0        4
26798 libceres-dev                       	       0        1        1        0        0
26799 libceres1                          	       0        3        0        0        3
26800 libceres3                          	       0        1        0        0        1
26801 libcerf1                           	       0        7        0        0        7
26802 libcerf2                           	       0        2        0        0        2
26803 libcext-dev                        	       0        2        2        0        0
26804 libcext0                           	       0        3        0        0        3
26805 libcf0                             	       0        1        0        0        1
26806 libcfg-dev                         	       0       13       13        0        0
26807 libcfg4                            	       0        3        0        0        3
26808 libcfg6                            	       0       14        0        0       14
26809 libcfitsio-bin                     	       0       35       35        0        0
26810 libcfitsio-dev                     	       0       50       48        2        0
26811 libcfitsio-doc                     	       0       45        0        0       45
26812 libcfitsio2                        	       0        4        0        0        4
26813 libcfitsio3                        	       0        1        0        0        1
26814 libcfitsio5                        	       0        5        0        0        5
26815 libcfitsio7                        	       0       30        0        0       30
26816 libcfitsio8                        	       0        1        0        0        1
26817 libcfitsio9                        	       0      207        4        0      203
26818 libcg                              	       0       10        0        0       10
26819 libcg3-1                           	       0        6        0        0        6
26820 libcg3-dev                         	       0        1        1        0        0
26821 libcgal-demo                       	       0        2        0        0        2
26822 libcgal-dev                        	       0       19       19        0        0
26823 libcgal-ipelets                    	       0        1        1        0        0
26824 libcgal-qt5-dev                    	       0        2        2        0        0
26825 libcgal-qt6-dev                    	       0        1        1        0        0
26826 libcgal10                          	       0        1        0        0        1
26827 libcgal12                          	       0        4        0        0        4
26828 libcgal13                          	       0        9        0        0        9
26829 libcggl                            	       0       10        0        0       10
26830 libcgi-application-dispatch-perl   	       0        2        2        0        0
26831 libcgi-application-perl            	       0        9        9        0        0
26832 libcgi-compress-gzip-perl          	       0        1        1        0        0
26833 libcgi-expand-perl                 	       0        2        2        0        0
26834 libcgi-formbuilder-perl            	       0        6        6        0        0
26835 libcgi-formbuilder-source-perl-perl	       0        1        1        0        0
26836 libcgi-psgi-perl                   	       0        1        1        0        0
26837 libcgi-session-driver-memcached-perl	       0        2        2        0        0
26838 libcgi-simple-perl                 	       0        8        8        0        0
26839 libcgi-struct-xs-perl              	       0        2        0        0        2
26840 libcgic-dev                        	       0        1        1        0        0
26841 libcgic2                           	       0        1        0        0        1
26842 libcgicc-dev                       	       0        2        2        0        0
26843 libcgicc-doc                       	       0        1        1        0        0
26844 libcgicc3                          	       0        2        0        0        2
26845 libcgif-dev                        	       0        4        3        1        0
26846 libcglib-java                      	       0      290        0        0      290
26847 libcglib-nodep-java                	       0        2        0        0        2
26848 libcglib3-java                     	       0        4        0        0        4
26849 libcglm-dev                        	       0        1        1        0        0
26850 libcglm0                           	       0        1        0        0        1
26851 libcgns-dev                        	       0        2        2        0        0
26852 libcgns3.3                         	       0        1        0        0        1
26853 libcgns3.4                         	       0        3        0        0        3
26854 libcgraph5                         	       0        3        0        0        3
26855 libcgraph6                         	       0     1723        0        0     1723
26856 libcgroup-dev                      	       0        5        5        0        0
26857 libcgroup1                         	       0       18        0        0       18
26858 libcgroup2                         	       0       32        0        0       32
26859 libcgroup3                         	       0        6        0        0        6
26860 libchafa-dev                       	       0        2        2        0        0
26861 libchafa0                          	       0      383        0        0      383
26862 libchafa0t64                       	       0       32        0        0       32
26863 libchamplain-0.12-dev              	       0        2        2        0        0
26864 libchamplain-gtk-0.12-dev          	       0        2        0        0        2
26865 libchardet1                        	       0        5        0        0        5
26866 libchardet1t64                     	       0        3        0        0        3
26867 libcharls-dev                      	       0       14       14        0        0
26868 libcharls1                         	       0        6        0        0        6
26869 libcharls2                         	       0      538        5        0      533
26870 libchart-gnuplot-perl              	       0        1        1        0        0
26871 libchart-perl                      	       0        1        1        0        0
26872 libchatbot-eliza-perl              	       0        1        1        0        0
26873 libchealpix-dev                    	       0        3        3        0        0
26874 libchealpix0                       	       0        3        0        0        3
26875 libcheck-isa-perl                  	       0        2        2        0        0
26876 libchecker-framework-java          	       0        2        0        0        2
26877 libcheese-dev                      	       0        1        1        0        0
26878 libcheese-doc                      	       0        3        0        0        3
26879 libcheese-gtk-dev                  	       0        1        1        0        0
26880 libcheese-gtk25                    	       0      525        2        0      523
26881 libcheese8                         	       0      541        2        0      539
26882 libchemps2-3                       	       0        1        0        0        1
26883 libcherokee-base0                  	       0        2        0        0        2
26884 libcherokee-mod-libssl             	       0        2        0        0        2
26885 libcherokee-mod-mysql              	       0        2        0        0        2
26886 libcherokee-server0                	       0        2        0        0        2
26887 libcheshire-clojure                	       0        1        0        0        1
26888 libchewing3                        	       0        4        0        0        4
26889 libchewing3-data                   	       0        4        0        0        4
26890 libchi-perl                        	       0        5        5        0        0
26891 libchicken-dev                     	       0        7        7        0        0
26892 libchicken11                       	       0        6        0        0        6
26893 libchicken11t64                    	       0        1        0        0        1
26894 libchipcard-data                   	       0        6        0        0        6
26895 libchipcard-dev                    	       0        1        1        0        0
26896 libchipcard-libgwenhywfar60-plugins	       0        2        0        0        2
26897 libchipcard-tools                  	       0        3        3        0        0
26898 libchipcard6                       	       0        5        0        0        5
26899 libchipmunk-dev                    	       0        1        1        0        0
26900 libchipmunk7                       	       0       12        0        0       12
26901 libchm-dev                         	       0        4        4        0        0
26902 libchm1                            	       0      340        0        1      339
26903 libcholmod1.7.1                    	       0        2        0        0        2
26904 libcholmod2.1.2                    	       0       25        0        0       25
26905 libcholmod4                        	       0       14        0        0       14
26906 libchromaprint-dev                 	       0       20       20        0        0
26907 libchromaprint0                    	       0       26        0        0       26
26908 libcib4                            	       0        4        0        0        4
26909 libcifpp-data                      	       0        1        0        0        1
26910 libcifpp5                          	       0        1        0        0        1
26911 libciftools-java                   	       0        1        0        0        1
26912 libcilkrts5                        	       0      428        0        0      428
26913 libcilkrts5-dbg                    	       0        3        3        0        0
26914 libcimcclient0                     	       0        1        0        0        1
26915 libcinnamon-control-center-dev     	       0        1        1        0        0
26916 libcinnamon-control-center1        	       0      276        5        5      266
26917 libcinnamon-desktop-dev            	       0        2        2        0        0
26918 libcinnamon-menu-3-dev             	       0        1        1        0        0
26919 libcitadel2                        	       0        1        0        0        1
26920 libcitadel3                        	       0        1        0        0        1
26921 libcitadel4                        	       0        4        0        0        4
26922 libcitygml-dev                     	       0        1        1        0        0
26923 libcitygml2                        	       0        1        0        0        1
26924 libcivetweb1                       	       0        2        1        0        1
26925 libcjose0                          	       0        1        0        0        1
26926 libcjs-dev                         	       0        1        1        0        0
26927 libcjson-dev                       	       0       10       10        0        0
26928 libck-connector-dev                	       0        1        1        0        0
26929 libckyapplet1                      	       0        6        0        0        6
26930 libckyapplet1t64                   	       0        1        0        0        1
26931 libclalsadrv-dev                   	       0        7        7        0        0
26932 libclalsadrv2                      	       0       22        0        0       22
26933 libclamav11t64                     	       0        1        0        0        1
26934 libclamav5                         	       0        1        0        0        1
26935 libclamav6                         	       0        3        0        0        3
26936 libclamav7                         	       0        6        0        0        6
26937 libclamunrar                       	       0       16        0        0       16
26938 libclamunrar12                     	       0        1        1        0        0
26939 libclamunrar6                      	       0        1        0        0        1
26940 libclamunrar9                      	       0        7        1        0        6
26941 libclan2c2a-mikmod                 	       0        1        0        0        1
26942 libclan2c2a-sound                  	       0        1        0        0        1
26943 libclanapp-1.0v5                   	       0        5        0        0        5
26944 libclang-11-dev                    	       0        9        9        0        0
26945 libclang-13-dev                    	       0        4        4        0        0
26946 libclang-17-dev                    	       0        3        2        1        0
26947 libclang-18-dev                    	       0        1        0        1        0
26948 libclang-19-dev                    	       0        9        8        1        0
26949 libclang-20-dev                    	       0        1        1        0        0
26950 libclang-7-dev                     	       0        1        1        0        0
26951 libclang-9-dev                     	       0        1        1        0        0
26952 libclang-common-10-dev             	       0        5        5        0        0
26953 libclang-common-13-dev             	       0       29       29        0        0
26954 libclang-common-16-dev             	       0       58       58        0        0
26955 libclang-common-17-dev             	       0       18       17        1        0
26956 libclang-common-18-dev             	       0       11       10        1        0
26957 libclang-common-20-dev             	       0        2        2        0        0
26958 libclang-common-3.5-dev            	       0       10       10        0        0
26959 libclang-common-3.6-dev            	       0        1        1        0        0
26960 libclang-common-3.8-dev            	       0        5        5        0        0
26961 libclang-common-3.9-dev            	       0        2        2        0        0
26962 libclang-common-6.0-dev            	       0        7        7        0        0
26963 libclang-common-7-dev              	       0       19       19        0        0
26964 libclang-common-8-dev              	       0        2        2        0        0
26965 libclang-cpp-dev                   	       0        8        0        0        8
26966 libclang-cpp10                     	       0        5        5        0        0
26967 libclang-cpp11-dev                 	       0        2        2        0        0
26968 libclang-cpp13-dev                 	       0        3        3        0        0
26969 libclang-cpp14-dev                 	       0        4        4        0        0
26970 libclang-cpp14t64                  	       0       16       16        0        0
26971 libclang-cpp16                     	       0       28       28        0        0
26972 libclang-cpp17                     	       0        1        1        0        0
26973 libclang-cpp17-dev                 	       0        3        2        1        0
26974 libclang-cpp17t64                  	       0       21       20        1        0
26975 libclang-cpp18                     	       0       26       25        1        0
26976 libclang-cpp18-dev                 	       0        1        0        1        0
26977 libclang-cpp19-dev                 	       0        2        1        1        0
26978 libclang-cpp20                     	       0        2        1        0        1
26979 libclang-dev                       	       0       58        0        0       58
26980 libclang-perl                      	       0        3        0        0        3
26981 libclang-rt-14-dev-wasm32          	       0        3        3        0        0
26982 libclang-rt-15-dev                 	       0        9        9        0        0
26983 libclang-rt-16-dev                 	       0       52       52        0        0
26984 libclang-rt-17-dev                 	       0       16       15        1        0
26985 libclang-rt-18-dev                 	       0       10        9        1        0
26986 libclang-rt-19-dev                 	       0       35       33        2        0
26987 libclang-rt-19-dev-wasm32          	       0        1        1        0        0
26988 libclang-rt-20-dev                 	       0        2        2        0        0
26989 libclang-rt-dev                    	       0        1        0        0        1
26990 libclang-rt-dev-wasm32             	       0        3        0        0        3
26991 libclang1                          	       0       10        0        0       10
26992 libclang1-10                       	       0        5        5        0        0
26993 libclang1-13                       	       0       32       32        0        0
26994 libclang1-14t64                    	       0       12       12        0        0
26995 libclang1-16                       	       0       24       24        0        0
26996 libclang1-17                       	       0        1        1        0        0
26997 libclang1-17t64                    	       0       19       18        1        0
26998 libclang1-18                       	       0       23       22        1        0
26999 libclang1-19                       	       0       52       48        4        0
27000 libclang1-20                       	       0        2        2        0        0
27001 libclang1-3.5                      	       0       12       12        0        0
27002 libclang1-3.8                      	       0        5        5        0        0
27003 libclang1-3.9                      	       0       11       11        0        0
27004 libclang1-6.0                      	       0       21       21        0        0
27005 libclang1-7                        	       0       34       34        0        0
27006 libclang1-8                        	       0        2        2        0        0
27007 libclang1-9                        	       0        4        4        0        0
27008 libclanlib-dev                     	       0        1        1        0        0
27009 libclanlib2c2a                     	       0        1        0        0        1
27010 libclansdl-1.0v5                   	       0        2        0        0        2
27011 libclass-accessor-lite-perl        	       0        5        5        0        0
27012 libclass-adapter-perl              	       0        3        3        0        0
27013 libclass-autouse-perl              	       0        1        1        0        0
27014 libclass-c3-adopt-next-perl        	       0        2        2        0        0
27015 libclass-c3-xs-perl                	       0      648        0        0      648
27016 libclass-container-perl            	       0        2        2        0        0
27017 libclass-csv-perl                  	       0        1        1        0        0
27018 libclass-data-accessor-perl        	       0        3        3        0        0
27019 libclass-dbi-abstractsearch-perl   	       0       23       23        0        0
27020 libclass-dbi-pg-perl               	       0        2        2        0        0
27021 libclass-dbi-plugin-perl           	       0        1        1        0        0
27022 libclass-dbi-sqlite-perl           	       0        3        3        0        0
27023 libclass-errorhandler-perl         	       0       13       13        0        0
27024 libclass-load-xs-perl              	       0      105        0        0      105
27025 libclass-loader-dev                	       0        2        0        0        2
27026 libclass-loader1d                  	       0        1        0        0        1
27027 libclass-loader3d                  	       0        3        0        0        3
27028 libclass-makemethods-perl          	       0        6        6        0        0
27029 libclass-measure-perl              	       0        5        5        0        0
27030 libclass-methodmaker-perl          	       0       30        0        0       30
27031 libclass-mix-perl                  	       0       24       24        0        0
27032 libclass-returnvalue-perl          	       0        6        6        0        0
27033 libclass-std-fast-perl             	       0       12       12        0        0
27034 libclass-throwable-perl            	       0        1        1        0        0
27035 libclass-unload-perl               	       0        6        6        0        0
27036 libclass-virtual-perl              	       0        1        1        0        0
27037 libclassmate-java                  	       0        2        0        0        2
27038 libclassworlds-java                	       0        5        0        0        5
27039 libclassworlds-java-doc            	       0        2        0        0        2
27040 libclassycle-java                  	       0        1        0        0        1
27041 libclaw-application1               	       0        1        0        0        1
27042 libclaw-application1v5             	       0        3        0        0        3
27043 libclaw-configuration-file1        	       0        1        0        0        1
27044 libclaw-configuration-file1v5      	       0        3        0        0        3
27045 libclaw-dev                        	       0        1        1        0        0
27046 libclaw-dynamic-library1           	       0        1        0        0        1
27047 libclaw-dynamic-library1v5         	       0        3        0        0        3
27048 libclaw-graphic1                   	       0        1        0        0        1
27049 libclaw-graphic1v5                 	       0        4        0        0        4
27050 libclaw-logger1                    	       0        1        0        0        1
27051 libclaw-logger1v5                  	       0        3        0        0        3
27052 libclaw-net1                       	       0        1        0        0        1
27053 libclaw-net1v5                     	       0        3        0        0        3
27054 libclaw-tween1                     	       0        1        0        0        1
27055 libclaw-tween1v5                   	       0        3        0        0        3
27056 libclaws-mail-dev                  	       0        2        2        0        0
27057 libclblas-dev                      	       0        4        4        0        0
27058 libclblas-doc                      	       0        2        0        0        2
27059 libclblas2                         	       0        4        0        0        4
27060 libclblast-dev                     	       0        3        3        0        0
27061 libclblast1                        	       0        3        0        0        3
27062 libclc-15                          	       0       38       36        2        0
27063 libclc-15-dev                      	       0       39       37        2        0
27064 libclc-16                          	       0        1        1        0        0
27065 libclc-16-dev                      	       0        2        2        0        0
27066 libclc-17                          	       0        1        1        0        0
27067 libclc-17-dev                      	       0        3        3        0        0
27068 libclc-18                          	       0        1        1        0        0
27069 libclc-18-dev                      	       0        1        1        0        0
27070 libclc-19                          	       0        3        2        1        0
27071 libclc-19-dev                      	       0        3        2        1        0
27072 libclc-amdgcn                      	       0       12       12        0        0
27073 libclc-ptx                         	       0        1        1        0        0
27074 libclc-r600                        	       0       12       12        0        0
27075 libcld2-0                          	       0       14        1        0       13
27076 libcld2-dev                        	       0        4        4        0        0
27077 libclfft-dev                       	       0        2        2        0        0
27078 libclfft-doc                       	       0        1        0        0        1
27079 libclfft2                          	       0        4        0        0        4
27080 libcli-osprey-perl                 	       0        3        3        0        0
27081 libcli1.10                         	       0        5        0        0        5
27082 libcli1.10t64                      	       0        2        0        0        2
27083 libcli1.9                          	       0        4        0        0        4
27084 libcli11-dev                       	       0        1        0        0        1
27085 libclipboard-perl                  	       0        7        7        0        0
27086 libclipper-dev                     	       0        1        1        0        0
27087 libclipper2                        	       0        1        0        0        1
27088 libclippoly0                       	       0        1        0        0        1
27089 libclips                           	       0        5        0        0        5
27090 libcliquer-dev                     	       0        8        8        0        0
27091 libcliquer1                        	       0       12        0        0       12
27092 libclj-digest-clojure              	       0        1        0        0        1
27093 libclj-time-clojure                	       0        2        0        0        2
27094 libclj-yaml-clojure                	       0        1        0        0        1
27095 libcln-dev                         	       0        6        6        0        0
27096 libcln4                            	       0        1        0        0        1
27097 libcln5                            	       0        1        0        0        1
27098 libcln6                            	       0       38        1        0       37
27099 libclojure-java                    	       0       17        0        0       17
27100 libclojure-maven-plugin-java       	       0        1        0        0        1
27101 libclone-pp-perl                   	       0        1        1        0        0
27102 libcloog-isl4                      	       0      164        0        0      164
27103 libcloog-ppl-dev                   	       0        1        1        0        0
27104 libcloog-ppl1                      	       0        5        0        0        5
27105 libclosure-compiler-java           	       0        6        0        0        6
27106 libclosure-compiler-java-doc       	       0        1        0        0        1
27107 libcloudflare-ddns2                	       0        1        0        0        1
27108 libcloudproviders-dev              	       0       33       31        2        0
27109 libclout-clojure                   	       0        1        0        0        1
27110 libclsparse-dev                    	       0        1        1        0        0
27111 libclsparse1                       	       0        1        0        0        1
27112 libclthreads-dev                   	       0        5        5        0        0
27113 libclthreads2                      	       0       47        0        0       47
27114 libclthreads2t64                   	       0        2        0        0        2
27115 libclucene-contribs1               	       0       13        0        0       13
27116 libclucene-core1                   	       0       16        0        0       16
27117 libclucene-dev                     	       0        1        1        0        0
27118 libclucene0ldbl                    	       0        5        0        0        5
27119 libclustalo-dev                    	       0        1        1        0        0
27120 libclustalo-doc                    	       0        1        0        0        1
27121 libclutter-1.0-common              	       0      779        6        0      773
27122 libclutter-1.0-dev                 	       0        6        6        0        0
27123 libclutter-1.0-doc                 	       0        2        0        0        2
27124 libclutter-gst-1.0-0               	       0        4        0        0        4
27125 libclutter-gst-2.0-0               	       0       10        0        0       10
27126 libclutter-gst-3.0-0               	       0      572        3        0      569
27127 libclutter-gst-3.0-dev             	       0        1        1        0        0
27128 libclutter-gtk-0.10-0              	       0        1        0        0        1
27129 libclutter-gtk-1.0-dev             	       0        6        6        0        0
27130 libclutter-gtk-1.0-doc             	       0        2        0        0        2
27131 libclutter-imcontext-0.1-0         	       0       70        0        0       70
27132 libclutter-imcontext-0.1-bin       	       0       69       69        0        0
27133 libcluttergesture-0.0.2-0          	       0        6        0        0        6
27134 libclxclient-dev                   	       0        4        4        0        0
27135 libclxclient3                      	       0       46        0        0       46
27136 libclxclient3t64                   	       0        2        0        0        2
27137 libcmark-dev                       	       0        4        4        0        0
27138 libcmark-gfm-dev                   	       0        1        1        0        0
27139 libcmark-gfm-extensions-dev        	       0        1        1        0        0
27140 libcmark-gfm-extensions0           	       0       48        0        0       48
27141 libcmark-gfm-extensions0.29.0.gfm.2	       0        2        0        0        2
27142 libcmark-gfm-extensions0.29.0.gfm.3	       0        4        0        0        4
27143 libcmark-gfm-extensions0.29.0.gfm.6	       0       25        0        0       25
27144 libcmark-gfm0                      	       0       48        0        0       48
27145 libcmark-gfm0.29.0.gfm.2           	       0        2        0        0        2
27146 libcmark-gfm0.29.0.gfm.3           	       0        4        0        0        4
27147 libcmark-gfm0.29.0.gfm.6           	       0       25        0        0       25
27148 libcmark0                          	       0        4        0        0        4
27149 libcmark0.29.0                     	       0       18        0        0       18
27150 libcmdliner-ocaml-dev              	       0        1        1        0        0
27151 libcminpack-dev                    	       0        4        4        0        0
27152 libcminpack1                       	       0       19        0        0       19
27153 libcmis-0.2-0                      	       0        8        0        0        8
27154 libcmis-0.4-4                      	       0       14        0        0       14
27155 libcmis-0.5-5v5                    	       0      653        0        0      653
27156 libcmis-0.6-6                      	       0        5        0        0        5
27157 libcmis-0.6-6t64                   	       0      189        0        0      189
27158 libcmis-dev                        	       0        2        2        0        0
27159 libcmlxom-java                     	       0        2        0        0        2
27160 libcmocka-dev                      	       0       20       20        0        0
27161 libcmocka0                         	       0       21        0        0       21
27162 libcmocka0-dbgsym                  	       0        1        1        0        0
27163 libcmpicppimpl0                    	       0        1        0        0        1
27164 libcneartree-dev                   	       0        1        1        0        0
27165 libcneartree7                      	       0        4        0        0        4
27166 libcoarrays-openmpi-dev            	       0      101        0        0      101
27167 libcob4                            	       0        5        0        0        5
27168 libcob4-dev                        	       0       10       10        0        0
27169 libcob4t64                         	       0        5        0        0        5
27170 libcob5                            	       0        1        0        0        1
27171 libcob5-dev                        	       0        3        3        0        0
27172 libcob5t64                         	       0        2        0        0        2
27173 libcobertura-java                  	       0        1        0        0        1
27174 libcobra-java                      	       0        1        0        0        1
27175 libcoda-dev                        	       0        1        1        0        0
27176 libcoda15                          	       0        4        0        0        4
27177 libcode-tidyall-perl               	       0        1        1        0        0
27178 libcode-tidyall-plugin-clangformat-perl	       0        1        1        0        0
27179 libcodeblocks0                     	       0       62        2        0       60
27180 libcodeblocks0t64                  	       0        4        0        0        4
27181 libcodec2-0.4                      	       0        4        0        0        4
27182 libcodec2-1.1                      	       0        9        2        0        7
27183 libcodec2-dev                      	       0       24       24        0        0
27184 libcodec21                         	       0        1        0        0        1
27185 libcodecserver                     	       0        1        0        0        1
27186 libcodemodel-java                  	       0      338        0        0      338
27187 libcodenarc-groovy-java            	       0        1        0        0        1
27188 libcodesize-java                   	       0        4        0        0        4
27189 libcofoja-java                     	       0        1        0        0        1
27190 libcogl-common                     	       0      782        5        0      777
27191 libcogl-dev                        	       0        7        7        0        0
27192 libcogl-doc                        	       0        1        0        0        1
27193 libcogl-pango-dev                  	       0        6        6        0        0
27194 libcogl-pango0                     	       0        1        0        0        1
27195 libcogl-path-dev                   	       0        7        0        0        7
27196 libcogl9                           	       0        1        0        0        1
27197 libcoin-dev                        	       0        7        7        0        0
27198 libcoin80                          	       0        1        0        0        1
27199 libcoin80c                         	       0      229        1        0      228
27200 libcoin80t64                       	       0       28        0        0       28
27201 libcoin80v5                        	       0        7        0        0        7
27202 libcolamd2.7.1                     	       0        7        0        0        7
27203 libcolamd2.8.0                     	       0       30        0        0       30
27204 libcollada-dom-dev                 	       0        2        2        0        0
27205 libcollada-dom2.4-dp-dev           	       0        1        0        0        1
27206 libcollada-dom2.4-dp0              	       0        1        0        0        1
27207 libcollada-dom2.5-dp0              	       0      160        0        0      160
27208 libcollada-parser-dev              	       0        1        1        0        0
27209 libcollada-parser1d                	       0        1        0        0        1
27210 libcollada-urdf-dev                	       0        1        1        0        0
27211 libcollada-urdf1d                  	       0        1        0        0        1
27212 libcollada2gltfconvert-dev         	       0        1        1        0        0
27213 libcollectdclient1                 	       0        6        0        0        6
27214 libcolor-ansi-util-perl            	       0        1        1        0        0
27215 libcolor-rgb-util-perl             	       0        1        1        0        0
27216 libcolorblind0                     	       0        3        0        0        3
27217 libcolord-dev                      	       0        9        9        0        0
27218 libcolord-gtk-dev                  	       0        2        0        0        2
27219 libcolord-gtk-headers              	       0        3        3        0        0
27220 libcolord-gtk1                     	       0      210        2        0      208
27221 libcolord-gtk1t64                  	       0       21        0        0       21
27222 libcolord-gtk4-1                   	       0      301        3        3      295
27223 libcolord-gtk4-1t64                	       0       21        0        0       21
27224 libcolord-gtk4-dev                 	       0        1        0        0        1
27225 libcolord1                         	       0       14        0        0       14
27226 libcolorhug2                       	       0     2864        0        0     2864
27227 libcolorpicker-java                	       0        5        0        0        5
27228 libcolpack-dev                     	       0        1        1        0        0
27229 libcolpack0v5                      	       0        2        0        0        2
27230 libcolt-free-java                  	       0        1        0        0        1
27231 libcom-dev                         	       0        2        0        0        2
27232 libcom-err2t64                     	       0       20        1        0       19
27233 libcom3.17.6                       	       0        2        0        0        2
27234 libcombblas1.16.0                  	       0       56        0        0       56
27235 libcombblas2.0.0                   	       0        2        0        0        2
27236 libcombblas2.0.0t64                	       0        2        0        0        2
27237 libcomedi-dev                      	       0        1        1        0        0
27238 libcomerr2                         	       0      751        0        0      751
27239 libcomidi-clojure                  	       0        1        0        0        1
27240 libcommon-sense-perl               	       0     1035        0        0     1035
27241 libcommoncpp2-dev                  	       0        1        1        0        0
27242 libcommons-beanutils-java          	       0       33        0        0       33
27243 libcommons-cli-java                	       0      514        0        0      514
27244 libcommons-codec-java              	       0      546        0        0      546
27245 libcommons-codec-java-doc          	       0        1        0        0        1
27246 libcommons-collections-java        	       0        1        0        0        1
27247 libcommons-collections3-java       	       0      802        0        0      802
27248 libcommons-collections3-java-doc   	       0        7        0        0        7
27249 libcommons-collections4-java       	       0      301        0        0      301
27250 libcommons-compress-java           	       0      499        0        0      499
27251 libcommons-configuration-java      	       0       13        0        0       13
27252 libcommons-configuration-java-doc  	       0        1        0        0        1
27253 libcommons-configuration2-java     	       0       21        0        0       21
27254 libcommons-csv-java                	       0       11        0        0       11
27255 libcommons-daemon-java             	       0       14        1        0       13
27256 libcommons-dbcp-java               	       0       21        0        0       21
27257 libcommons-dbcp-java-doc           	       0        1        0        0        1
27258 libcommons-dbcp2-java              	       0       20        0        0       20
27259 libcommons-digester-java           	       0       32        0        0       32
27260 libcommons-discovery-java          	       0        3        0        0        3
27261 libcommons-el-java                 	       0        2        0        0        2
27262 libcommons-exec-java               	       0       99        0        0       99
27263 libcommons-fileupload-java         	       0        7        0        0        7
27264 libcommons-httpclient-java         	       0       27        0        0       27
27265 libcommons-httpclient-java-doc     	       0        2        0        0        2
27266 libcommons-io-java                 	       0      924        0        0      924
27267 libcommons-io-java-doc             	       0       10        0        0       10
27268 libcommons-jexl-java               	       0        2        0        0        2
27269 libcommons-jexl2-java              	       0       13        0        0       13
27270 libcommons-jxpath-java             	       0        2        0        0        2
27271 libcommons-lang-java               	       0      115        0        0      115
27272 libcommons-lang-java-doc           	       0        3        0        0        3
27273 libcommons-lang3-java              	       0      716        0        0      716
27274 libcommons-lang3-java-doc          	       0        2        0        0        2
27275 libcommons-launcher-java           	       0        1        1        0        0
27276 libcommons-logging-java            	       0     1101        0        0     1101
27277 libcommons-logging-java-doc        	       0       10        0        0       10
27278 libcommons-math-java               	       0       33        0        0       33
27279 libcommons-math3-java              	       0      307        0        0      307
27280 libcommons-modeler-java            	       0        1        0        0        1
27281 libcommons-net-java                	       0      133        0        0      133
27282 libcommons-net-java-doc            	       0        4        0        0        4
27283 libcommons-net1-java               	       0        1        0        0        1
27284 libcommons-net2-java               	       0        1        0        0        1
27285 libcommons-parent-java             	       0     1195        0        0     1195
27286 libcommons-pool-java               	       0       22        0        0       22
27287 libcommons-pool-java-doc           	       0        1        0        0        1
27288 libcommons-pool2-java              	       0       23        0        0       23
27289 libcommons-text-java               	       0       52        0        0       52
27290 libcommons-validator-java          	       0       13        0        0       13
27291 libcommons-vfs-java                	       0        3        0        0        3
27292 libcompel1                         	       0       10        0        0       10
27293 libcompfaceg1-dev                  	       0        6        6        0        0
27294 libcompiler-libs-ocaml-dev         	       0       14       14        0        0
27295 libcompizconfig0-trinity           	       0        2        2        0        0
27296 libcompojure-clojure               	       0        1        0        0        1
27297 libcompress-bzip2-perl             	       0       55        0        0       55
27298 libcompress-lz4-perl               	       0        6        0        0        6
27299 libcompress-lzf-java               	       0        5        0        0        5
27300 libcompress-raw-bzip2-perl         	       0       31        5        0       26
27301 libcompress-raw-lzma-perl          	       0       63        5        0       58
27302 libcompress-snappy-perl            	       0        6        0        0        6
27303 libcomps0                          	       0        3        0        0        3
27304 libconcord4                        	       0        1        0        0        1
27305 libconcord6                        	       0        2        0        0        2
27306 libconcurrent-java                 	       0        2        0        0        2
27307 libconfdb-dev                      	       0        1        1        0        0
27308 libconfdb4                         	       0        1        0        0        1
27309 libconfig++-dev                    	       0        4        0        0        4
27310 libconfig++11                      	       0       23        0        0       23
27311 libconfig++9                       	       0        1        0        0        1
27312 libconfig-any-perl                 	       0       14       14        0        0
27313 libconfig-autoconf-perl            	       0        1        1        0        0
27314 libconfig-crontab-perl             	       0        1        1        0        0
27315 libconfig-dev                      	       0       23       21        2        0
27316 libconfig-doc                      	       0       18        0        0       18
27317 libconfig-find-perl                	       0        2        2        0        0
27318 libconfig-gitlike-perl             	       0        2        2        0        0
27319 libconfig-ini-perl                 	       0       11       11        0        0
27320 libconfig-model-backend-yaml-perl  	       0       20       20        0        0
27321 libconfig-model-cursesui-perl      	       0        1        1        0        0
27322 libconfig-model-dpkg-perl          	       0       18       18        0        0
27323 libconfig-mvp-perl                 	       0        1        1        0        0
27324 libconfig-mvp-reader-ini-perl      	       0        1        1        0        0
27325 libconfig-onion-perl               	       0        2        2        0        0
27326 libconfig-yaml-perl                	       0        3        3        0        0
27327 libconfigreader-perl               	       0        1        1        0        0
27328 libconfigreader-simple-perl        	       0        3        3        0        0
27329 libconfuse-common                  	       0      290        0        0      290
27330 libconfuse-dev                     	       0       11       11        0        0
27331 libconfuse-doc                     	       0        8        0        0        8
27332 libconfuse0                        	       0        5        0        0        5
27333 libconfuse1                        	       0        7        0        0        7
27334 libconsole                         	       0       10        0        0       10
27335 libconsole-bridge-dev              	       0        4        4        0        0
27336 libconsole-bridge0.4               	       0        2        0        0        2
27337 libconsole-bridge1.0               	       0        4        0        0        4
27338 libconsolekit1                     	       0        2        0        0        2
27339 libconstant-defer-perl             	       0       27       27        0        0
27340 libconstantine-java                	       0        2        0        0        2
27341 libcontrolsfx-java                 	       0       21        0        0       21
27342 libconversant-disruptor-java       	       0        4        0        0        4
27343 libconvert-ber-perl                	       0        1        1        0        0
27344 libconvert-binary-c-perl           	       0        4        4        0        0
27345 libconvert-color-perl              	       0       45       44        1        0
27346 libconvert-pem-perl                	       0        1        1        0        0
27347 libconvert-scalar-perl             	       0        4        0        0        4
27348 libconvert-uulib-perl              	       0       29        0        0       29
27349 libcoq-core-ocaml                  	       0        3        3        0        0
27350 libcoq-stdlib                      	       0        3        3        0        0
27351 libcore-async-clojure              	       0        1        0        0        1
27352 libcore-cache-clojure              	       0        1        0        0        1
27353 libcore-memoize-clojure            	       0        1        0        0        1
27354 libcore-specs-alpha-clojure        	       0       17        0        0       17
27355 libcoroipcc-dev                    	       0        1        1        0        0
27356 libcoroipcc4                       	       0        6        0        0        6
27357 libcoroipcs-dev                    	       0        1        1        0        0
27358 libcoroipcs4                       	       0        1        0        0        1
27359 libcorosync-common-dev             	       0       13       13        0        0
27360 libcorosync-dev                    	       0        1        0        0        1
27361 libcortado-java                    	       0       20        0        0       20
27362 libcos4-2                          	       0        2        0        0        2
27363 libcotp12                          	       0       13        0        0       13
27364 libcotp3                           	       0        5        0        0        5
27365 libcourier-unicode1                	       0        2        0        0        2
27366 libcoverart-dev                    	       0        2        2        0        0
27367 libcoverart1                       	       0        7        0        0        7
27368 libcoverartcc1v5                   	       0        7        0        0        7
27369 libcpan-common-index-perl          	       0        4        4        0        0
27370 libcpan-distnameinfo-perl          	       0       41       41        0        0
27371 libcpan-meta-check-perl            	       0       40       40        0        0
27372 libcpan-meta-requirements-perl     	       0        5        5        0        0
27373 libcpan-meta-yaml-perl             	       0        3        3        0        0
27374 libcpan-mini-perl                  	       0        1        1        0        0
27375 libcpan-perl-releases-perl         	       0        4        4        0        0
27376 libcpan-sqlite-perl                	       0        1        1        0        0
27377 libcpandb-perl                     	       0        1        1        0        0
27378 libcpanplus-dist-build-perl        	       0        3        3        0        0
27379 libcpanplus-perl                   	       0        3        3        0        0
27380 libcpath-clojure                   	       0        1        0        0        1
27381 libcpdb-dev                        	       0        1        1        0        0
27382 libcpdb-frontend-dev               	       0        1        1        0        0
27383 libcpdb-frontend2t64               	       0       61        0        0       61
27384 libcpdb-libs-common1               	       0        4        0        0        4
27385 libcpdb2t64                        	       0       62        0        0       62
27386 libcpg-dev                         	       0       13       13        0        0
27387 libcpgplot0                        	       0        6        0        0        6
27388 libcpl-dev                         	       0        2        2        0        0
27389 libcplcore26                       	       0        3        0        0        3
27390 libcpldfs26                        	       0        3        0        0        3
27391 libcpldrs26                        	       0        3        0        0        3
27392 libcplui26                         	       0        3        0        0        3
27393 libcpp-common0d                    	       0        5        0        0        5
27394 libcpp-hocon-dev                   	       0        1        1        0        0
27395 libcpp-hocon0.1.6                  	       0        1        0        0        1
27396 libcpp-hocon0.1.7                  	       0       10        0        0       10
27397 libcpp-hocon0.3.0                  	       0        4        0        0        4
27398 libcpp-httplib-dev                 	       0        1        1        0        0
27399 libcpp-httplib0.13                 	       0        2        0        0        2
27400 libcpp-httplib0.14t64              	       0        1        1        0        0
27401 libcpp-httplib0.16                 	       0        1        0        0        1
27402 libcppad-dev                       	       0        2        0        0        2
27403 libcppad-lib1456.0                 	       0        1        0        0        1
27404 libcppad-lib340.3                  	       0        1        0        0        1
27405 libcppgenerate-dev                 	       0        1        1        0        0
27406 libcppgenerate-dev-dbgsym          	       0        1        1        0        0
27407 libcppnetlib-doc                   	       0        1        1        0        0
27408 libcpprest-dev                     	       0        5        5        0        0
27409 libcpprest2.10                     	       0       27        1        0       26
27410 libcpprest2.9                      	       0        1        0        0        1
27411 libcpprspserver3                   	       0        2        0        0        2
27412 libcpptest-dev                     	       0        1        1        0        0
27413 libcpptest1                        	       0        1        0        0        1
27414 libcppunit-1.13-0v5                	       0        2        0        0        2
27415 libcppunit-1.14-0                  	       0       13        0        0       13
27416 libcppunit-1.15-0                  	       0       68        0        0       68
27417 libcppunit-doc                     	       0        4        4        0        0
27418 libcpputest-dev                    	       0        9        9        0        0
27419 libcpu-features-dev                	       0        1        1        0        0
27420 libcpufreq-dev                     	       0        4        4        0        0
27421 libcpuid-dev                       	       0        2        2        0        0
27422 libcpuid15                         	       0        6        0        0        6
27423 libcpuid16                         	       0       48        0        0       48
27424 libcpuid17                         	       0        3        0        0        3
27425 libcpuinfo-dev                     	       0        1        1        0        0
27426 libcpuinfo0                        	       0      141        0        0      141
27427 libcpupower-dev                    	       0        2        2        0        0
27428 libcpuset-dev                      	       0        2        2        0        0
27429 libcpuset1                         	       0        2        0        0        2
27430 libcql-parser-perl                 	       0        3        3        0        0
27431 libcqrlib2                         	       0        4        0        0        4
27432 libcr-dev                          	       0        2        2        0        0
27433 libcr0                             	       0        7        0        0        7
27434 libcrack2-dev                      	       0        9        9        0        0
27435 libcreaterepo-c0                   	       0        2        0        0        2
27436 libcreg-utils                      	       0        1        1        0        0
27437 libcreg1                           	       0       15        0        0       15
27438 libcriterion-dev                   	       0        1        1        0        0
27439 libcriterion3                      	       0        2        0        0        2
27440 libcriticism-perl                  	       0        1        1        0        0
27441 libcriu2                           	       0        1        0        0        1
27442 libcrmcluster4                     	       0        4        0        0        4
27443 libcrmcommon3                      	       0        4        0        0        4
27444 libcrmservice3                     	       0        4        0        0        4
27445 libcroco-tools                     	       0        1        1        0        0
27446 libcroco3-dev                      	       0        2        2        0        0
27447 libcrossguid-dev                   	       0        1        1        0        0
27448 libcrypt-blowfish-perl             	       0       11        0        0       11
27449 libcrypt-cast5-perl                	       0        1        0        0        1
27450 libcrypt-ciphersaber-perl          	       0        1        1        0        0
27451 libcrypt-cracklib-perl             	       0        2        0        0        2
27452 libcrypt-des-ede3-perl             	       0        1        1        0        0
27453 libcrypt-des-perl                  	       0       15        0        0       15
27454 libcrypt-dh-gmp-perl               	       0        5        0        0        5
27455 libcrypt-dh-perl                   	       0        3        3        0        0
27456 libcrypt-dsa-perl                  	       0        1        1        0        0
27457 libcrypt-ecb-perl                  	       0        1        1        0        0
27458 libcrypt-format-perl               	       0        1        1        0        0
27459 libcrypt-gcrypt-perl               	       0        1        0        0        1
27460 libcrypt-generatepassword-perl     	       0        1        1        0        0
27461 libcrypt-gpg-perl                  	       0        2        1        1        0
27462 libcrypt-hcesha-perl               	       0        2        2        0        0
27463 libcrypt-mysql-perl                	       0        4        0        0        4
27464 libcrypt-openssl-dsa-perl          	       0        8        0        0        8
27465 libcrypt-openssl-pkcs10-perl       	       0        2        0        0        2
27466 libcrypt-openssl-random-perl       	       0      151        0        0      151
27467 libcrypt-openssl-x509-perl         	       0       12        0        0       12
27468 libcrypt-pbkdf2-perl               	       0        7        7        0        0
27469 libcrypt-random-source-perl        	       0        3        3        0        0
27470 libcrypt-rijndael-perl             	       0       44        0        0       44
27471 libcrypt-rsa-parse-perl            	       0        1        1        0        0
27472 libcrypt-saltedhash-perl           	       0        1        1        0        0
27473 libcrypt-simple-perl               	       0        1        1        0        0
27474 libcrypt-smbhash-perl              	       0       11       11        0        0
27475 libcrypt-smime-perl                	       0        3        0        0        3
27476 libcrypt-ssleay-perl               	       0      194        0        0      194
27477 libcrypt-twofish-perl              	       0        2        0        0        2
27478 libcrypt-u2f-server-perl           	       0        1        0        0        1
27479 libcrypt-unixcrypt-perl            	       0        1        1        0        0
27480 libcrypt-unixcrypt-xs-perl         	       0        4        0        0        4
27481 libcrypt-urandom-perl              	       0       11       10        1        0
27482 libcrypt-util-perl                 	       0        2        2        0        0
27483 libcrypt-x509-perl                 	       0        3        3        0        0
27484 libcrypt2                          	       0        2        0        0        2
27485 libcryptgps-ocaml-dev              	       0        2        2        0        0
27486 libcrypto++-dev                    	       0       23       23        0        0
27487 libcrypto++-doc                    	       0        2        0        0        2
27488 libcrypto++-utils                  	       0        5        5        0        0
27489 libcrypto++6                       	       0       32        0        0       32
27490 libcrypto++6-dbg                   	       0        1        1        0        0
27491 libcrypto++8t64                    	       0       18        3        0       15
27492 libcrypto++9                       	       0        7        1        0        6
27493 libcrypto-equality-clojure         	       0        2        0        0        2
27494 libcrypto-random-clojure           	       0        2        0        0        2
27495 libcryptokit-ocaml                 	       0        2        1        0        1
27496 libcryptokit-ocaml-dev             	       0        2        2        0        0
27497 libcryptominisat5-5.11             	       0        1        0        0        1
27498 libcryptsetup-dev                  	       0        4        4        0        0
27499 libcryptsetup4                     	       0      116        0        0      116
27500 libcryptui-dev                     	       0        1        1        0        0
27501 libcryptui-doc                     	       0        1        0        0        1
27502 libcryptui0a                       	       0       66        0        0       66
27503 libcrystalhd-dev                   	       0       11       11        0        0
27504 libcsdr0                           	       0        1        0        0        1
27505 libcsfml-audio2.5                  	       0        4        0        0        4
27506 libcsfml-dev                       	       0        4        4        0        0
27507 libcsfml-doc                       	       0        1        0        0        1
27508 libcsfml-graphics2.5               	       0        4        0        0        4
27509 libcsfml-network2.5                	       0        4        0        0        4
27510 libcsfml-system2.5                 	       0        4        0        0        4
27511 libcsfml-window2.5                 	       0        4        0        0        4
27512 libcsiro0                          	       0        1        0        0        1
27513 libcsirocsa0                       	       0        8        0        0        8
27514 libcsironn0                        	       0        8        0        0        8
27515 libcsmith-dev                      	       0        2        2        0        0
27516 libcsmith0                         	       0        2        0        0        2
27517 libcsnd6-6.0v5                     	       0        7        0        0        7
27518 libcsnd6-java                      	       0        2        1        0        1
27519 libcsound64-6.0                    	       0       32        2        0       30
27520 libcsound64-dev                    	       0        1        1        0        0
27521 libcsparse2.2.3                    	       0        1        0        0        1
27522 libcsparse3.1.2                    	       0        1        0        0        1
27523 libcss-dom-perl                    	       0        3        3        0        0
27524 libcss-parser-pp0v5                	       0        4        0        0        4
27525 libcss-parser0                     	       0        5        0        0        5
27526 libcss-perl                        	       0        3        3        0        0
27527 libcss-squish-perl                 	       0        1        1        0        0
27528 libcsv-java                        	       0        9        0        0        9
27529 libcsv3                            	       0       20        0        0       20
27530 libcsxcad0                         	       0        6        0        0        6
27531 libct3                             	       0        1        0        0        1
27532 libctemplate-dev                   	       0        3        3        0        0
27533 libctemplate2                      	       0        3        0        0        3
27534 libctemplate3                      	       0        7        0        0        7
27535 libctemplate3t64                   	       0        1        0        0        1
27536 libctf-nobfd0                      	       0     2520        0        0     2520
27537 libctf0                            	       0     2520        0        0     2520
27538 libctl7                            	       0        6        0        0        6
27539 libctl7t64                         	       0        2        0        0        2
27540 libctpl-data                       	       0       60        0        0       60
27541 libctpl-dev                        	       0        1        1        0        0
27542 libctpl2                           	       0       68        0        0       68
27543 libctpl2t64                        	       0        2        0        0        2
27544 libctpp2-2v5                       	       0        1        0        0        1
27545 libctpp2-dev                       	       0        1        1        0        0
27546 libctypes-ocaml                    	       0        3        2        0        1
27547 libctypes-ocaml-dev                	       0        3        3        0        0
27548 libcu++-dev                        	       0       16       15        1        0
27549 libcub-dev                         	       0       22        0        0       22
27550 libcubeb-dev                       	       0        1        1        0        0
27551 libcubeb-doc                       	       0        1        0        0        1
27552 libcubeb0                          	       0       13        0        0       13
27553 libcublas-11-7                     	       0        1        0        0        1
27554 libcublas-12-4                     	       0        2        0        0        2
27555 libcublas-12-6                     	       0        3        0        0        3
27556 libcublas-12-8                     	       0        1        0        0        1
27557 libcublas-dev-11-7                 	       0        1        1        0        0
27558 libcublas-dev-12-4                 	       0        2        2        0        0
27559 libcublas-dev-12-6                 	       0        3        3        0        0
27560 libcublas-dev-12-8                 	       0        1        1        0        0
27561 libcublas11                        	       0       17        0        0       17
27562 libcublas12                        	       0        3        0        0        3
27563 libcublas4                         	       0        1        0        0        1
27564 libcublas5.5                       	       0        1        0        0        1
27565 libcublas6.0                       	       0        1        0        0        1
27566 libcublas6.5                       	       0        1        0        0        1
27567 libcublas8.0                       	       0        1        0        0        1
27568 libcublas9.2                       	       0        2        0        0        2
27569 libcublaslt11                      	       0       17        0        0       17
27570 libcublaslt12                      	       0        3        0        0        3
27571 libcucul0                          	       0        1        0        0        1
27572 libcuda1-i386                      	       0        5        0        0        5
27573 libcudadebugger1                   	       0        9        0        0        9
27574 libcudart-old-versions             	       0        1        0        0        1
27575 libcudart11.0                      	       0       17        0        0       17
27576 libcudart12                        	       0        3        0        0        3
27577 libcudart4                         	       0        2        0        0        2
27578 libcudart5.5                       	       0        1        0        0        1
27579 libcudart6.0                       	       0        1        0        0        1
27580 libcudart6.5                       	       0        1        0        0        1
27581 libcudart8.0                       	       0        1        0        0        1
27582 libcudart9.2                       	       0        2        0        0        2
27583 libcudnn8                          	       0        2        0        0        2
27584 libcudnn8-dev                      	       0        2        2        0        0
27585 libcudnn8-samples                  	       0        1        1        0        0
27586 libcue-dev                         	       0        2        2        0        0
27587 libcue1                            	       0       16        0        0       16
27588 libcufft-11-7                      	       0        1        0        0        1
27589 libcufft-12-4                      	       0        2        0        0        2
27590 libcufft-12-6                      	       0        3        0        0        3
27591 libcufft-12-8                      	       0        1        0        0        1
27592 libcufft-dev-11-7                  	       0        1        1        0        0
27593 libcufft-dev-12-4                  	       0        2        2        0        0
27594 libcufft-dev-12-6                  	       0        3        3        0        0
27595 libcufft-dev-12-8                  	       0        1        1        0        0
27596 libcufft10                         	       0       18        0        0       18
27597 libcufft11                         	       0        3        0        0        3
27598 libcufft4                          	       0        1        0        0        1
27599 libcufft5.5                        	       0        1        0        0        1
27600 libcufft6.0                        	       0        1        0        0        1
27601 libcufft6.5                        	       0        1        0        0        1
27602 libcufft8.0                        	       0        1        0        0        1
27603 libcufft9.2                        	       0        1        0        0        1
27604 libcufftw10                        	       0       17        0        0       17
27605 libcufftw11                        	       0        3        0        0        3
27606 libcufftw5.5                       	       0        1        0        0        1
27607 libcufftw6.0                       	       0        1        0        0        1
27608 libcufftw6.5                       	       0        1        0        0        1
27609 libcufftw8.0                       	       0        1        0        0        1
27610 libcufftw9.2                       	       0        1        0        0        1
27611 libcufile-11-7                     	       0        1        0        0        1
27612 libcufile-12-4                     	       0        2        0        0        2
27613 libcufile-12-6                     	       0        3        0        0        3
27614 libcufile-12-8                     	       0        1        0        0        1
27615 libcufile-dev-11-7                 	       0        1        1        0        0
27616 libcufile-dev-12-4                 	       0        2        2        0        0
27617 libcufile-dev-12-6                 	       0        3        3        0        0
27618 libcufile-dev-12-8                 	       0        1        1        0        0
27619 libcuinj64-11.2                    	       0        4        0        0        4
27620 libcuinj64-11.8                    	       0       13        0        0       13
27621 libcuinj64-12.2                    	       0        2        0        0        2
27622 libcuneiform-dev                   	       0        2        2        0        0
27623 libcuneiform0                      	       0       20        0        0       20
27624 libcunit1                          	       0       11        1        0       10
27625 libcunit1-dev                      	       0       10       10        0        0
27626 libcunit1-doc                      	       0        1        1        0        0
27627 libcunit1-ncurses                  	       0        2        0        0        2
27628 libcunit1-ncurses-dev              	       0        2        2        0        0
27629 libcups2-dev                       	       0       67       67        0        0
27630 libcupscgi1                        	       0       77        0        0       77
27631 libcupsdriver1                     	       0        2        0        0        2
27632 libcupsfilters-dev                 	       0       54       54        0        0
27633 libcupsfilters2                    	       0       10        0        0       10
27634 libcupsfilters2-common             	       0       10        0        0       10
27635 libcupsimage2                      	       0      809        2        0      807
27636 libcupsimage2-dev                  	       0       67       67        0        0
27637 libcupsimage2t64                   	       0       44        0        0       44
27638 libcupsmime1                       	       0       78        1        0       77
27639 libcupsppdc1                       	       0       78        0        0       78
27640 libcupt-common                     	       0        6        0        0        6
27641 libcupt3-0                         	       0        2        2        0        0
27642 libcupt3-0-downloadmethod-curl     	       0        1        1        0        0
27643 libcupt4-2                         	       0        3        3        0        0
27644 libcupt4-2-downloadmethod-curl     	       0        2        2        0        0
27645 libcupt4-2-downloadmethod-wget     	       0        2        2        0        0
27646 libcupt4-2t64                      	       0        3        3        0        0
27647 libcupti-dev                       	       0       23       22        1        0
27648 libcupti-doc                       	       0       21       20        1        0
27649 libcupti11.2                       	       0        5        0        0        5
27650 libcupti11.8                       	       0       15        0        0       15
27651 libcupti12                         	       0        5        0        0        5
27652 libcupti6.0                        	       0        1        0        0        1
27653 libcupti6.5                        	       0        1        0        0        1
27654 libcupti7.5                        	       0        1        0        0        1
27655 libcurand-11-7                     	       0        1        0        0        1
27656 libcurand-12-4                     	       0        2        0        0        2
27657 libcurand-12-6                     	       0        3        0        0        3
27658 libcurand-12-8                     	       0        1        0        0        1
27659 libcurand-dev-11-7                 	       0        1        1        0        0
27660 libcurand-dev-12-4                 	       0        2        2        0        0
27661 libcurand-dev-12-6                 	       0        3        3        0        0
27662 libcurand-dev-12-8                 	       0        1        1        0        0
27663 libcurand10                        	       0       20        0        0       20
27664 libcurand4                         	       0        1        0        0        1
27665 libcurand5.5                       	       0        1        0        0        1
27666 libcurand6.0                       	       0        1        0        0        1
27667 libcurand6.5                       	       0        1        0        0        1
27668 libcurand8.0                       	       0        1        0        0        1
27669 libcurand9.2                       	       0        1        0        0        1
27670 libcurl-ocaml                      	       0        1        1        0        0
27671 libcurl3-nss                       	       0      313        0        0      313
27672 libcurl4-doc                       	       0       20        0        0       20
27673 libcurl4-nss-dev                   	       0        9        9        0        0
27674 libcurlpp-dev                      	       0        4        4        0        0
27675 libcurlpp0                         	       0        4        0        0        4
27676 libcurlpp0t64                      	       0        2        0        0        2
27677 libcurry-perl                      	       0        3        3        0        0
27678 libcurses-ocaml                    	       0        1        1        0        0
27679 libcurses-perl                     	       0      232        0        0      232
27680 libcurses-widgets-perl             	       0        4        3        1        0
27681 libcurvesapi-java                  	       0      299        0        0      299
27682 libcusolver-11-7                   	       0        1        0        0        1
27683 libcusolver-12-4                   	       0        2        0        0        2
27684 libcusolver-12-6                   	       0        3        0        0        3
27685 libcusolver-12-8                   	       0        1        0        0        1
27686 libcusolver-dev-11-7               	       0        1        1        0        0
27687 libcusolver-dev-12-4               	       0        2        2        0        0
27688 libcusolver-dev-12-6               	       0        3        3        0        0
27689 libcusolver-dev-12-8               	       0        1        1        0        0
27690 libcusolver11                      	       0       20        0        0       20
27691 libcusolver8.0                     	       0        1        0        0        1
27692 libcusolver9.2                     	       0        1        0        0        1
27693 libcusolvermg11                    	       0       20        0        0       20
27694 libcusparse-11-7                   	       0        1        0        0        1
27695 libcusparse-12-4                   	       0        2        0        0        2
27696 libcusparse-12-6                   	       0        3        0        0        3
27697 libcusparse-12-8                   	       0        1        0        0        1
27698 libcusparse-dev-11-7               	       0        1        1        0        0
27699 libcusparse-dev-12-4               	       0        2        2        0        0
27700 libcusparse-dev-12-6               	       0        3        3        0        0
27701 libcusparse-dev-12-8               	       0        1        1        0        0
27702 libcusparse11                      	       0       17        0        0       17
27703 libcusparse12                      	       0        4        0        0        4
27704 libcusparse4                       	       0        1        0        0        1
27705 libcusparse5.5                     	       0        1        0        0        1
27706 libcusparse6.0                     	       0        1        0        0        1
27707 libcusparse8.0                     	       0        1        0        0        1
27708 libcusparse9.2                     	       0        1        0        0        1
27709 libcutl-1.10                       	       0        1        0        0        1
27710 libcutlass-dev                     	       0        1        1        0        0
27711 libcv-bridge-dev                   	       0        1        1        0        0
27712 libcv-bridge2d                     	       0        2        0        0        2
27713 libcv-dev                          	       0        1        1        0        0
27714 libcvaux-dev                       	       0        1        1        0        0
27715 libcvc4-7                          	       0        2        0        0        2
27716 libcvc4parser7                     	       0        2        0        0        2
27717 libcvc5-1                          	       0        1        0        0        1
27718 libcvc5parser1                     	       0        1        0        0        1
27719 libcvd-dev                         	       0        1        1        0        0
27720 libcvd-tools                       	       0        1        1        0        0
27721 libcvd2                            	       0        1        0        0        1
27722 libcvector2                        	       0        4        0        0        4
27723 libcvm1                            	       0        2        0        0        2
27724 libcvs-perl                        	       0        1        1        0        0
27725 libcvsservice0-trinity             	       0       25       25        0        0
27726 libcw8                             	       0        3        1        0        2
27727 libcwidget-dev                     	       0        7        6        1        0
27728 libcwidget-doc                     	       0        4        0        0        4
27729 libcwidget3                        	       0       57        0        0       57
27730 libcwidget3v5                      	       0      176        0        0      176
27731 libcwidget4-dbgsym                 	       0        1        1        0        0
27732 libcwiid-dev                       	       0        9        9        0        0
27733 libcwiid1                          	       0       81        2        0       79
27734 libcwiid1t64                       	       0        3        0        0        3
27735 libcxl1                            	       0        1        0        0        1
27736 libcxsparse2.2.3                   	       0        2        0        0        2
27737 libcxsparse3                       	       0      100        0        0      100
27738 libcxsparse3.1.2                   	       0        1        0        0        1
27739 libcxsparse4                       	       0        9        0        0        9
27740 libcxx-serial1                     	       0        5        0        0        5
27741 libcxxopts-dev                     	       0        1        1        0        0
27742 libcxxtools-dev                    	       0        3        3        0        0
27743 libcxxtools10                      	       0        1        0        0        1
27744 libcxxtools8                       	       0        1        0        0        1
27745 libcxxtools9                       	       0        1        0        0        1
27746 libcxxtools9v5                     	       0        3        0        0        3
27747 libcypher-parser8                  	       0        1        0        0        1
27748 libcyrus-imap-perl                 	       0        3        3        0        0
27749 libczmq-dev                        	       0        2        2        0        0
27750 libczmq4                           	       0        5        0        0        5
27751 libczmq4-dbgsym                    	       0        1        1        0        0
27752 libd3dadapter9-mesa                	       0       12        0        0       12
27753 libd3dadapter9-mesa-dev            	       0        3        2        1        0
27754 libdaemon-control-perl             	       0        1        1        0        0
27755 libdaemon-dev                      	       0        4        4        0        0
27756 libdaemon-doc                      	       0        1        0        0        1
27757 libdaemon-generic-perl             	       0        1        1        0        0
27758 libdancer-xml0                     	       0        1        0        0        1
27759 libdancer2-perl                    	       0        3        3        0        0
27760 libdanga-socket-perl               	       0        1        1        0        0
27761 libdap-bin                         	       0        1        1        0        0
27762 libdap-dev                         	       0       14       14        0        0
27763 libdap11                           	       0        5        0        0        5
27764 libdap23                           	       0       13        0        0       13
27765 libdap25                           	       0       58        0        0       58
27766 libdap27                           	       0      162        2        0      160
27767 libdap27t64                        	       0        1        0        0        1
27768 libdapclient3                      	       0        4        0        0        4
27769 libdapclient6t64                   	       0        1        0        0        1
27770 libdapclient6v5                    	       0      197        2        0      195
27771 libdapserver7                      	       0        1        0        0        1
27772 libdapserver7t64                   	       0        1        0        0        1
27773 libdapserver7v5                    	       0       82        0        0       82
27774 libdaq0                            	       0        1        0        0        1
27775 libdar-dev                         	       0        1        1        0        0
27776 libdar64-5000                      	       0        2        0        0        2
27777 libdar64-6000                      	       0       16        0        0       16
27778 libdar64-6000t64                   	       0        2        0        0        2
27779 libdata-alias-perl                 	       0        1        0        0        1
27780 libdata-amf-perl                   	       0        5        5        0        0
27781 libdata-binary-perl                	       0        1        1        0        0
27782 libdata-bitmask-perl               	       0        3        3        0        0
27783 libdata-buffer-perl                	       0        1        1        0        0
27784 libdata-compare-perl               	       0      127      124        3        0
27785 libdata-csv-clojure                	       0        1        0        0        1
27786 libdata-dump-streamer-perl         	       0        1        0        0        1
27787 libdata-dumper-compact-perl        	       0        1        1        0        0
27788 libdata-dumper-simple-perl         	       0        5        5        0        0
27789 libdata-format-html-perl           	       0        1        1        0        0
27790 libdata-guid-perl                  	       0        4        4        0        0
27791 libdata-hexdump-perl               	       0        1        1        0        0
27792 libdata-ical-perl                  	       0        5        5        0        0
27793 libdata-ieee754-perl               	       0        5        5        0        0
27794 libdata-integer-perl               	       0        3        3        0        0
27795 libdata-json-clojure               	       0        1        0        0        1
27796 libdata-messagepack-perl           	       0      606        0        0      606
27797 libdata-objectdriver-perl          	       0        2        2        0        0
27798 libdata-password-perl              	       0        8        8        0        0
27799 libdata-peek-perl                  	       0        3        0        0        3
27800 libdata-printer-perl               	       0        8        8        0        0
27801 libdata-priority-map-clojure       	       0        1        0        0        1
27802 libdata-random-perl                	       0        5        5        0        0
27803 libdata-record-perl                	       0        3        3        0        0
27804 libdata-rmap-perl                  	       0        1        1        0        0
27805 libdata-section-simple-perl        	       0        2        2        0        0
27806 libdata-serializer-perl            	       0        7        7        0        0
27807 libdata-stag-perl                  	       0        4        4        0        0
27808 libdata-stream-bulk-perl           	       0        2        2        0        0
27809 libdata-streamdeserializer-perl    	       0        1        0        0        1
27810 libdata-streamserializer-perl      	       0        1        0        0        1
27811 libdata-structure-util-perl        	       0        1        0        0        1
27812 libdata-table-perl                 	       0        1        1        0        0
27813 libdata-tablereader-perl           	       0        1        1        0        0
27814 libdata-treedumper-oo-perl         	       0        1        1        0        0
27815 libdata-treedumper-perl            	       0        2        2        0        0
27816 libdata-treedumper-renderer-gtk-perl	       0        1        1        0        0
27817 libdata-uniqid-perl                	       0      121      118        3        0
27818 libdata-url-java                   	       0       24        0        0       24
27819 libdata-util-perl                  	       0        2        2        0        0
27820 libdata-uuid-libuuid-perl          	       0        1        0        0        1
27821 libdata-uuid-perl                  	       0        5        0        0        5
27822 libdata-visitor-perl               	       0        6        6        0        0
27823 libdata-visitor-perl-build-deps    	       0        1        0        0        1
27824 libdatachannel-dev                 	       0        1        1        0        0
27825 libdatachannel0.21                 	       0        3        0        0        3
27826 libdataobjects-postgres-ruby1.9.1  	       0        1        0        0        1
27827 libdataobjects-ruby1.9.1           	       0        1        0        0        1
27828 libdataobjects-sqlite3-ruby1.9.1   	       0        1        0        0        1
27829 libdataquay-dev                    	       0        1        1        0        0
27830 libdataquay0                       	       0        2        0        0        2
27831 libdate-extract-perl               	       0        1        1        0        0
27832 libdate-iso8601-perl               	       0        1        1        0        0
27833 libdate-pcalc-perl                 	       0        1        0        0        1
27834 libdate-simple-perl                	       0      114        0        0      114
27835 libdatetime-calendar-julian-perl   	       0      113      110        3        0
27836 libdatetime-event-ical-perl        	       0        6        6        0        0
27837 libdatetime-event-recurrence-perl  	       0        6        6        0        0
27838 libdatetime-event-sunrise-perl     	       0        3        3        0        0
27839 libdatetime-format-duration-perl   	       0        1        1        0        0
27840 libdatetime-format-http-perl       	       0        2        2        0        0
27841 libdatetime-format-human-duration-perl	       0        1        1        0        0
27842 libdatetime-format-ical-perl       	       0        6        6        0        0
27843 libdatetime-format-mail-perl       	       0       32       31        1        0
27844 libdatetime-format-natural-perl    	       0       14       14        0        0
27845 libdatetime-format-pg-perl         	       0        2        2        0        0
27846 libdatetime-format-w3cdtf-perl     	       0       25       25        0        0
27847 libdatetime-set-perl               	       0        9        9        0        0
27848 libdatetimex-easy-perl             	       0        3        3        0        0
27849 libdatovka6                        	       0        1        0        0        1
27850 libdatrie-doc                      	       0        3        0        0        3
27851 libdav1d-dev                       	       0      185      177        8        0
27852 libdav1d1                          	       0        7        0        0        7
27853 libdavix0v5                        	       0        1        0        0        1
27854 libdavs2-dev                       	       0        2        2        0        0
27855 libdazzle-1.0-dev                  	       0        1        1        0        0
27856 libdazzle-common                   	       0       75        0        0       75
27857 libdazzle-doc                      	       0        1        0        0        1
27858 libdazzle-tools                    	       0        1        1        0        0
27859 libdb++-dev                        	       0        7        0        0        7
27860 libdb-dev                          	       0       66        0        0       66
27861 libdb-file-lock-perl               	       0        3        3        0        0
27862 libdb-java                         	       0        7        0        0        7
27863 libdb-je-java                      	       0       16        0        0       16
27864 libdb-sql-dev                      	       0        1        0        0        1
27865 libdb1-compat                      	       0        2        2        0        0
27866 libdb3                             	       0        1        0        0        1
27867 libdb4.2                           	       0        3        0        0        3
27868 libdb4.3                           	       0        3        1        0        2
27869 libdb4.4                           	       0        2        0        0        2
27870 libdb4.5                           	       0        6        0        0        6
27871 libdb4.6                           	       0       23        0        0       23
27872 libdb4.7                           	       0       17        0        0       17
27873 libdb4.7-java                      	       0        1        0        0        1
27874 libdb4.8                           	       0       30        1        0       29
27875 libdb4.8++                         	       0        5        0        0        5
27876 libdb4.8++-dev                     	       0        5        4        1        0
27877 libdb4.8-dev                       	       0        5        4        1        0
27878 libdb4o-cil-dev                    	       0        2        2        0        0
27879 libdb4o8.0-cil                     	       0        4        4        0        0
27880 libdb5.1                           	       0       57        2        0       55
27881 libdb5.1++                         	       0        1        0        0        1
27882 libdb5.1-java                      	       0        2        0        0        2
27883 libdb5.1-java-jni                  	       0        6        0        0        6
27884 libdb5.1-sql                       	       0        1        0        0        1
27885 libdb5.3++-dev                     	       0       10       10        0        0
27886 libdb5.3++t64                      	       0        2        0        0        2
27887 libdb5.3-dbg                       	       0        1        1        0        0
27888 libdb5.3-java                      	       0       12        0        0       12
27889 libdb5.3-java-dev                  	       0        1        0        0        1
27890 libdb5.3-java-jni                  	       0       12        0        0       12
27891 libdb5.3-sql                       	       0        5        0        0        5
27892 libdb5.3-sql-dev                   	       0        2        2        0        0
27893 libdb5.3-stl                       	       0        2        0        0        2
27894 libdb5.3-stl-dev                   	       0        2        2        0        0
27895 libdb5.3-tcl                       	       0        2        0        0        2
27896 libdballe8                         	       0        1        0        0        1
27897 libdbd-csv-perl                    	       0       11       10        1        0
27898 libdbd-mariadb-perl                	       0      176        0        0      176
27899 libdbd-mock-perl                   	       0        2        2        0        0
27900 libdbd-mysql-ruby1.9.1             	       0        1        0        0        1
27901 libdbd-odbc-perl                   	       0        3        0        0        3
27902 libdbd-pg-ruby1.9.1                	       0        2        0        0        2
27903 libdbd-pgsql                       	       0        9        1        0        8
27904 libdbd-sqlite                      	       0        1        0        0        1
27905 libdbd-sqlite2-perl                	       0        1        0        0        1
27906 libdbd-sqlite3                     	       0        8        2        0        6
27907 libdbd-sqlite3-ruby1.9.1           	       0        1        0        0        1
27908 libdbd-sybase-perl                 	       0        2        0        0        2
27909 libdbd-xbase-perl                  	       0        6        6        0        0
27910 libdbi-dev                         	       0        8        8        0        0
27911 libdbi-ruby1.9.1                   	       0        1        0        0        1
27912 libdbi-test-perl                   	       0        7        6        1        0
27913 libdbi0                            	       0        3        3        0        0
27914 libdbi1t64                         	       0       17        2        0       15
27915 libdbix-class-cursor-cached-perl   	       0        2        2        0        0
27916 libdbix-class-dynamicdefault-perl  	       0        1        1        0        0
27917 libdbix-class-schema-loader-perl   	       0        4        4        0        0
27918 libdbix-class-schema-populatemore-perl	       0        1        1        0        0
27919 libdbix-class-timestamp-perl       	       0        1        1        0        0
27920 libdbix-class-uuidcolumns-perl     	       0        2        2        0        0
27921 libdbix-connector-perl             	       0        4        4        0        0
27922 libdbix-dbschema-perl              	       0        2        2        0        0
27923 libdbix-runsql-perl                	       0        2        2        0        0
27924 libdbix-safe-perl                  	       0        1        1        0        0
27925 libdbix-searchbuilder-perl         	       0        2        2        0        0
27926 libdbix-simple-perl                	       0       54       52        2        0
27927 libdbus-1-3-dbgsym                 	       0        1        1        0        0
27928 libdbus-1-tqt-dev                  	       0        2        2        0        0
27929 libdbus-c++-1-0v5                  	       0       27        2        0       25
27930 libdbus-c++-bin                    	       0        4        4        0        0
27931 libdbus-c++-dev                    	       0        4        4        0        0
27932 libdbus-c++-doc                    	       0        1        0        0        1
27933 libdbus-cpp-dev                    	       0        1        1        0        0
27934 libdbus-cpp5                       	       0        2        0        0        2
27935 libdbus-glib-1-doc                 	       0        3        0        0        3
27936 libdbus-glib1.0-cil                	       0        1        0        0        1
27937 libdbus-glib2.0-cil                	       0       15        0        0       15
27938 libdbus-glib2.0-cil-dev            	       0        2        1        1        0
27939 libdbus-java                       	       0        2        0        0        2
27940 libdbus-tqt-1-1c2                  	       0        4        0        0        4
27941 libdbus1.0-cil                     	       0        1        0        0        1
27942 libdbus2.0-cil                     	       0       16        0        0       16
27943 libdbus2.0-cil-dev                 	       0        2        1        1        0
27944 libdbusextended-qt5-1              	       0        2        0        0        2
27945 libdbusextended-qt5-dev            	       0        1        1        0        0
27946 libdbuskit0                        	       0        4        3        1        0
27947 libdbusmenu-glib3                  	       0        1        0        0        1
27948 libdbusmenu-gtk-dev                	       0        1        1        0        0
27949 libdbusmenu-gtk-doc                	       0        1        0        0        1
27950 libdbusmenu-gtk3                   	       0        1        0        0        1
27951 libdbusmenu-qt5-dev                	       0        9        9        0        0
27952 libdbusmenu-qt5-doc                	       0        2        0        0        2
27953 libdbustest1                       	       0        2        0        0        2
27954 libdc1394-13                       	       0        3        0        0        3
27955 libdc1394-22                       	       0      315        0        0      315
27956 libdc1394-22-dev                   	       0        6        3        0        3
27957 libdc1394-dev                      	       0       59       59        0        0
27958 libdc1394-utils                    	       0        2        2        0        0
27959 libdca-dev                         	       0       26       25        1        0
27960 libdca-utils                       	       0        2        2        0        0
27961 libdcmtk-dev                       	       0        7        7        0        0
27962 libdcmtk14                         	       0       19        0        0       19
27963 libdcmtk15                         	       0       56        0        0       56
27964 libdcmtk16                         	       0        1        0        0        1
27965 libdcmtk17                         	       0      137        2        0      135
27966 libdcmtk17t64                      	       0        4        0        0        4
27967 libdcmtk18                         	       0       12        0        0       12
27968 libdcmtk2                          	       0        5        0        0        5
27969 libdcmtk2-dev                      	       0        1        1        0        0
27970 libdcmtk8                          	       0        3        0        0        3
27971 libdconf-dev                       	       0        2        2        0        0
27972 libdconf-doc                       	       0        2        0        0        2
27973 libdconf0                          	       0       12        0        0       12
27974 libdconf1-dbgsym                   	       0        1        1        0        0
27975 libdd-plist-java                   	       0       51        0        0       51
27976 libddcutil-dev                     	       0        4        3        1        0
27977 libddcutil3                        	       0       13        5        0        8
27978 libddcutil4                        	       0       20        5        1       14
27979 libdds0                            	       0        9        0        0        9
27980 libddsc0debian                     	       0        1        0        0        1
27981 libde265-dev                       	       0      163      158        5        0
27982 libde265-examples                  	       0        1        1        0        0
27983 libdebconf-kde0                    	       0        1        0        0        1
27984 libdebconf-kde1                    	       0      543        0        0      543
27985 libdebconfclient0                  	       0     4168        0        0     4168
27986 libdebian-copyright-perl           	       0        4        4        0        0
27987 libdebian-installer-extra4         	       0       16        0        0       16
27988 libdebian-installer4               	       0       26        0        0       26
27989 libdebian-source-perl              	       0       15       15        0        0
27990 libdebug-client-perl               	       0        1        1        0        0
27991 libdebug-trace-perl                	       0        1        1        0        0
27992 libdebug0                          	       0        2        0        0        2
27993 libdebuginfod-common               	       0      906        0        0      906
27994 libdebuginfod-dev                  	       0        1        1        0        0
27995 libdebuginfod1t64                  	       0       96        0        0       96
27996 libdecor-0-plugin-1-cairo          	       0     2379        0        0     2379
27997 libdecor-0-plugin-1-gtk            	       0      103        0        0      103
27998 libdecoration0-trinity             	       0        3        0        0        3
27999 libdecoration0t64                  	       0        2        0        1        1
28000 libdee-dev                         	       0        1        1        0        0
28001 libdelimmatch-perl                 	       0        1        1        0        0
28002 libdeprecated-ruby1.9.1            	       0        1        0        0        1
28003 libdevel-argnames-perl             	       0       14       14        0        0
28004 libdevel-caller-perl               	       0      578        0        0      578
28005 libdevel-confess-perl              	       0        4        3        1        0
28006 libdevel-cover-perl                	       0        3        3        0        0
28007 libdevel-cycle-perl                	       0        2        2        0        0
28008 libdevel-declare-perl              	       0        3        0        0        3
28009 libdevel-dprof-perl                	       0        7        7        0        0
28010 libdevel-dumpvar-perl              	       0        1        1        0        0
28011 libdevel-hide-perl                 	       0        4        4        0        0
28012 libdevel-lexalias-perl             	       0      577        0        0      577
28013 libdevel-mat-dumper-perl           	       0       18        0        0       18
28014 libdevel-nytprof-perl              	       0        3        3        0        0
28015 libdevel-patchperl-perl            	       0        4        4        0        0
28016 libdevel-profile-perl              	       0        5        5        0        0
28017 libdevel-ptkdb-perl                	       0        1        1        0        0
28018 libdevel-refactor-perl             	       0        1        1        0        0
28019 libdevel-repl-perl                 	       0        1        1        0        0
28020 libdevel-size-perl                 	       0      611        0        0      611
28021 libdevel-stacktrace-ashtml-perl    	       0       17       17        0        0
28022 libdevel-trace-perl                	       0        1        1        0        0
28023 libdevhelp-3-0                     	       0        1        0        0        1
28024 libdevhelp-3-2                     	       0        3        0        0        3
28025 libdevhelp-3-6                     	       0       60        0        0       60
28026 libdevice-serialport-perl          	       0       32       31        1        0
28027 libdevil-dev                       	       0        6        6        0        0
28028 libdevil1c2                        	       0       20       19        1        0
28029 libdevmapper-dev                   	       0       33       33        0        0
28030 libdex-1-1                         	       0        2        0        0        2
28031 libdexx-java                       	       0        2        0        0        2
28032 libdframeworkdbus2                 	       0       12        2        0       10
28033 libdfu1                            	       0        1        0        0        1
28034 libdiagnostic-msgs-dev             	       0        1        1        0        0
28035 libdialog15                        	       0      124        1        0      123
28036 libdico2                           	       0        2        1        0        1
28037 libdico2t64                        	       0        1        1        0        0
28038 libdicomscope-jni                  	       0        9        0        0        9
28039 libdictzip-java                    	       0        5        0        0        5
28040 libdieharder3                      	       0        9        0        0        9
28041 libdifflcs-ruby1.9.1               	       0        1        0        0        1
28042 libdiffutils-java                  	       0        2        0        0        2
28043 libdigest-bcrypt-perl              	       0        2        2        0        0
28044 libdigest-crc-perl                 	       0        9        0        0        9
28045 libdigest-jhash-perl               	       0        6        0        0        6
28046 libdigest-md2-perl                 	       0        1        0        0        1
28047 libdigest-md4-perl                 	       0       15        0        0       15
28048 libdigest-md5-file-perl            	       0       24       24        0        0
28049 libdigest-sha-perl                 	       0       10       10        0        0
28050 libdigest-sha3-perl                	       0       12       12        0        0
28051 libdigest-whirlpool-perl           	       0        1        1        0        0
28052 libdigidocpp-common                	       0        2        0        0        2
28053 libdigidocpp-tools                 	       0        2        2        0        0
28054 libdigidocpp1                      	       0        2        0        0        2
28055 libdigiham0                        	       0        1        0        0        1
28056 libdime-dev                        	       0        3        3        0        0
28057 libdime1                           	       0        5        0        0        5
28058 libdirac-decoder0                  	       0       18        1        0       17
28059 libdirac-dev                       	       0        5        5        0        0
28060 libdirac-doc                       	       0        1        0        0        1
28061 libdirac-encoder0                  	       0       44        1        0       43
28062 libdirectfb-0.9-25                 	       0        1        1        0        0
28063 libdirectfb-1.0-0                  	       0        4        0        0        4
28064 libdirectfb-1.2-0                  	       0        1        0        0        1
28065 libdirectfb-1.2-9                  	       0      118        0        0      118
28066 libdirectfb-1.7-7                  	       0     2584        4        0     2580
28067 libdirectfb-1.7-7t64               	       0      155        1        0      154
28068 libdirectfb-bin                    	       0        3        3        0        0
28069 libdirectfb-extra                  	       0       30        0        0       30
28070 libdirectory-scratch-perl          	       0        1        1        0        0
28071 libdirectory-scratch-structured-perl	       0        1        1        0        0
28072 libdirgra-java                     	       0        4        0        0        4
28073 libdirgra-java-doc                 	       0        1        0        0        1
28074 libdisasm0                         	       0       38        0        0       38
28075 libdiscid-dev                      	       0        3        3        0        0
28076 libdiscid0                         	       0      525        4        0      521
28077 libdiscover-dev                    	       0        1        1        0        0
28078 libdiscover2                       	       0     3991        0        0     3991
28079 libdislocker0.7                    	       0       28        0        0       28
28080 libdislocker0.7t64                 	       0        1        0        0        1
28081 libdisorder0                       	       0        1        0        0        1
28082 libdispatch-class-perl             	       0        2        2        0        0
28083 libdispatch1                       	       0        1        0        0        1
28084 libdisplay-info-bin                	       0        2        2        0        0
28085 libdisplay-info1                   	       0        8        0        0        8
28086 libdisruptor-java                  	       0        5        0        0        5
28087 libdistorm3-3                      	       0        7        0        0        7
28088 libdivsufsort-dev                  	       0        2        2        0        0
28089 libdivsufsort3                     	       0        5        0        0        5
28090 libdjinterop0                      	       0        4        0        0        4
28091 libdjvulibre-text                  	       0     3394        0        0     3394
28092 libdjvulibre15                     	       0        1        0        0        1
28093 libdleyna-connector-dbus-1.0-1     	       0      103        0        0      103
28094 libdleyna-core-1.0-3               	       0       34        0        0       34
28095 libdleyna-core-1.0-5               	       0       72        0        0       72
28096 libdleyna-core-1.0-6               	       0        1        0        0        1
28097 libdlib-data                       	       0        8        0        0        8
28098 libdlib-dev                        	       0        2        2        0        0
28099 libdlib19                          	       0        3        0        0        3
28100 libdlib19.1                        	       0        5        4        0        1
28101 libdlmcontrol3                     	       0        1        0        0        1
28102 libdlrestrictions-dev              	       0        1        1        0        0
28103 libdlt-dev                         	       0        1        1        0        0
28104 libdm0                             	       0        1        0        0        1
28105 libdmalloc-dev                     	       0        2        2        0        0
28106 libdmalloc5                        	       0        2        0        0        2
28107 libdmapsharing-3.0-2               	       0      445        6        0      439
28108 libdmapsharing-4.0-3               	       0        2        0        0        2
28109 libdmapsharing-4.0-3t64            	       0       32        0        0       32
28110 libdmr0.1                          	       0        5        0        0        5
28111 libdmraid1.0.0.rc16                	       0       31        0        0       31
28112 libdmrconf0                        	       0        4        0        0        4
28113 libdmrconf0.10                     	       0        3        0        0        3
28114 libdmtx-dev                        	       0        2        2        0        0
28115 libdmtx-utils                      	       0        1        0        0        1
28116 libdmtx0a                          	       0       10        1        0        9
28117 libdmx-dev                         	       0       53       52        1        0
28118 libdmx1                            	       0       58        0        0       58
28119 libdnet                            	       0        8        0        0        8
28120 libdnet-dev                        	       0        2        2        0        0
28121 libdnf2                            	       0        3        0        0        3
28122 libdnf2-common                     	       0        3        0        0        3
28123 libdnnl2                           	       0       13        0        0       13
28124 libdnnl3                           	       0       37        0        0       37
28125 libdnnl3.6                         	       0        5        0        0        5
28126 libdns-export100                   	       0      220        0        0      220
28127 libdns-export1100                  	       0        1        0        0        1
28128 libdns-export1104                  	       0      526        1        0      525
28129 libdns-export1109                  	       0        1        1        0        0
28130 libdns-export162                   	       0      483        1        0      482
28131 libdns-export169                   	       0        1        0        0        1
28132 libdns-export190                   	       0        1        0        0        1
28133 libdns-ruby                        	       0        1        0        0        1
28134 libdns100                          	       0       84        0        0       84
28135 libdns1104                         	       0      305        0        0      305
28136 libdns1109                         	       0        1        0        0        1
28137 libdns1110                         	       0       58        0        0       58
28138 libdns162                          	       0      190        0        0      190
28139 libdns22                           	       0        4        0        0        4
28140 libdns69                           	       0       18        0        0       18
28141 libdns81                           	       0        2        0        0        2
28142 libdns88                           	       0       21        0        0       21
28143 libdnsjava-java                    	       0        3        0        0        3
28144 libdnssec2                         	       0        1        0        0        1
28145 libdnssec6                         	       0        3        0        0        3
28146 libdnssec7                         	       0        1        0        0        1
28147 libdnssec8                         	       0        3        0        0        3
28148 libdnssec9t64                      	       0        2        2        0        0
28149 libdnswire-dev                     	       0        1        1        0        0
28150 libdnswire1t64                     	       0        1        0        0        1
28151 libdockapp-dev                     	       0        7        7        0        0
28152 libdockapp2                        	       0        2        0        0        2
28153 libdocopt0                         	       0       11        0        0       11
28154 libdogleg-dev                      	       0        1        1        0        0
28155 libdogleg2                         	       0        1        0        0        1
28156 libdokujclient-java                	       0        2        0        0        2
28157 libdolfinx-dev                     	       0        1        1        0        0
28158 libdolfinx-real-dev                	       0        1        0        0        1
28159 libdolfinx-real0.5                 	       0        1        0        0        1
28160 libdolphinvcs-dev                  	       0        1        1        0        0
28161 libdom4j-java                      	       0      479        0        0      479
28162 libdom4j-java-doc                  	       0        1        0        0        1
28163 libdomain-publicsuffix-perl        	       0        7        7        0        0
28164 libdoodle1                         	       0        1        0        0        1
28165 libdotconf-dev                     	       0        1        1        0        0
28166 libdotconf1.0                      	       0        4        0        0        4
28167 libdouble-conversion-dev           	       0       27       27        0        0
28168 libdovi3                           	       0        3        0        0        3
28169 libdoxia-core-java                 	       0       17        0        0       17
28170 libdoxia-java                      	       0       12        0        0       12
28171 libdoxia-java-doc                  	       0        1        0        0        1
28172 libdoxia-sitetools-java            	       0       10        0        0       10
28173 libdpdk-dev                        	       0        3        3        0        0
28174 libdpkg-dev                        	       0        4        4        0        0
28175 libdpkg-parse-perl                 	       0        6        6        0        0
28176 libdraco-dev                       	       0        8        8        0        0
28177 libdraco1                          	       0        1        0        0        1
28178 libdraco1a                         	       0        1        0        0        1
28179 libdraco3                          	       0        2        0        0        2
28180 libdraco4                          	       0        1        0        0        1
28181 libdraco7                          	       0       56        1        0       55
28182 libdraco8                          	       0        9        0        0        9
28183 libdrilbo-common                   	       0        5        0        0        5
28184 libdrilbo-dev                      	       0        1        1        0        0
28185 libdrm-amdgpu-common               	       0       18        0        0       18
28186 libdrm-amdgpu-dev                  	       0        7        6        1        0
28187 libdrm-amdgpu-static               	       0        1        0        0        1
28188 libdrm-amdgpu-utils                	       0        1        1        0        0
28189 libdrm-common                      	       0     3651        0        0     3651
28190 libdrm-etnaviv1                    	       0        5        0        0        5
28191 libdrm-exynos1                     	       0        1        0        0        1
28192 libdrm-freedreno1                  	       0        3        0        0        3
28193 libdrm-nouveau1                    	       0        1        0        0        1
28194 libdrm-nouveau1a                   	       0       13        0        0       13
28195 libdrm-omap1                       	       0        1        0        0        1
28196 libdrm-tegra0                      	       0        3        0        0        3
28197 libdrm-tests                       	       0        1        1        0        0
28198 libdrmaa1.0-java                   	       0        1        0        0        1
28199 libdropwizard-metrics-java         	       0        4        0        0        4
28200 libdrpm0                           	       0        2        0        0        2
28201 libdrumstick-alsa1                 	       0        7        0        0        7
28202 libdrumstick-alsa2                 	       0       24        0        0       24
28203 libdrumstick-alsa2t64              	       0        6        0        0        6
28204 libdrumstick-dev                   	       0        2        2        0        0
28205 libdrumstick-file1                 	       0        3        0        0        3
28206 libdrumstick-file2                 	       0        8        0        0        8
28207 libdrumstick-file2t64              	       0        1        0        0        1
28208 libdrumstick-plugins               	       0        9        0        0        9
28209 libdrumstick-rt-backends           	       0       25        0        0       25
28210 libdrumstick-rt1                   	       0        5        0        0        5
28211 libdrumstick-rt2                   	       0       19        0        0       19
28212 libdrumstick-rt2t64                	       0        6        0        0        6
28213 libdrumstick-widgets2              	       0       19        0        0       19
28214 libdrumstick-widgets2t64           	       0        6        0        0        6
28215 libdsdcc1                          	       0        4        0        0        4
28216 libdsdcc1t64                       	       0        2        0        0        2
28217 libdsdp-5.8gf                      	       0        9        0        0        9
28218 libdsfmt-19937-1                   	       0       17        0        0       17
28219 libdshconfig1                      	       0        5        0        0        5
28220 libdsk-utils                       	       0        4        4        0        0
28221 libdsk4                            	       0        8        0        0        8
28222 libdsk4-dev                        	       0        1        1        0        0
28223 libdsm3                            	       0       11        0        0       11
28224 libdsocksd0                        	       0        4        0        0        4
28225 libdsocksd0t64                     	       0        2        0        0        2
28226 libdspam7                          	       0        1        0        0        1
28227 libdspam7-drv-mysql                	       0        1        0        0        1
28228 libdspy-1-1                        	       0        1        0        0        1
28229 libdssialsacompat-dev              	       0        1        1        0        0
28230 libdssialsacompat0                 	       0        1        0        0        1
28231 libdtd-parser-java                 	       0      341        0        0      341
28232 libdtdinst-java                    	       0        1        0        0        1
28233 libdtkcommon                       	       0       14        0        0       14
28234 libdtkcore2                        	       0        4        0        0        4
28235 libdtkwidget2                      	       0        4        0        0        4
28236 libdtkwidget5                      	       0       16        2        0       14
28237 libdtkwm2                          	       0        2        0        0        2
28238 libdtkwm5                          	       0        5        0        0        5
28239 libdts-dev                         	       0        5        5        0        0
28240 libdublintraceroute0               	       0        4        0        0        4
28241 libdujour-version-check-clojure    	       0        1        0        0        1
28242 libduktape202                      	       0        1        0        0        1
28243 libduktape203                      	       0       51        0        0       51
28244 libduktape206                      	       0        5        0        0        5
28245 libdumb1                           	       0       84        0        0       84
28246 libdumb1-dbgsym                    	       0        1        1        0        0
28247 libdumb1-dev                       	       0        8        8        0        0
28248 libdumb1t64                        	       0        4        0        0        4
28249 libdumbnet-dev                     	       0        2        2        0        0
28250 libdune-common-dev                 	       0        1        1        0        0
28251 libdune-geometry-dev               	       0        1        1        0        0
28252 libdune-grid-dev                   	       0        1        1        0        0
28253 libdune-uggrid-dev                 	       0        1        1        0        0
28254 libdv-bin                          	       0       27       27        0        0
28255 libdv4-dev                         	       0       11       11        0        0
28256 libdvbcsa-dev                      	       0        7        7        0        0
28257 libdvbpsi-dev                      	       0       13       13        0        0
28258 libdvbpsi4                         	       0        1        0        0        1
28259 libdvbpsi6                         	       0        3        0        0        3
28260 libdvbpsi7                         	       0        6        0        0        6
28261 libdvbpsi9                         	       0       26        1        0       25
28262 libdvbv5-0                         	       0       37        0        0       37
28263 libdvbv5-0t64                      	       0        3        0        0        3
28264 libdvbv5-dev                       	       0        2        2        0        0
28265 libdvbv5-doc                       	       0        2        0        0        2
28266 libdvdcss                          	       0        1        1        0        0
28267 libdvdcss2-dbgsym                  	       0      151      148        2        1
28268 libdvdnav-dev                      	       0       40       39        1        0
28269 libdvdnav-doc                      	       0        5        0        0        5
28270 libdvdread-dev                     	       0       45       44        1        0
28271 libdvdread3                        	       0        1        0        0        1
28272 libdvdread4                        	       0      307        2        0      305
28273 libdvdread7                        	       0        2        0        0        2
28274 libdvilib2-16                      	       0        1        0        0        1
28275 libdwarf++0                        	       0        6        0        0        6
28276 libdwarf-dev                       	       0        8        8        0        0
28277 libdwarf1                          	       0       17        0        0       17
28278 libdx4                             	       0        6        6        0        0
28279 libdx4-dev                         	       0        1        1        0        0
28280 libdxflib-2.2.0.0                  	       0        1        0        0        1
28281 libdxflib-dev                      	       0        4        4        0        0
28282 libdxflib3                         	       0       23        0        0       23
28283 libdxfrw-bin                       	       0        1        1        0        0
28284 libdxfrw-dev                       	       0        1        1        0        0
28285 libdxfrw0                          	       0        1        0        0        1
28286 libdynamic-reconfigure-config-init-mutex-dev	       0        2        2        0        0
28287 libdynamic-reconfigure-config-init-mutex0d	       0        3        0        0        3
28288 libdynamite0                       	       0        6        0        0        6
28289 libdynapath-clojure                	       0        1        0        0        1
28290 libdynarmic6                       	       0        4        0        0        4
28291 libe-book-0.0-0                    	       0        1        0        0        1
28292 libeac-dev                         	       0        1        1        0        0
28293 libeantic-dev                      	       0        1        1        0        0
28294 libeantic1                         	       0       10        0        0       10
28295 libear                             	       0       11        0        0       11
28296 libeasybind-java                   	       0        1        0        0        1
28297 libeasyloggingpp-dev               	       0        1        1        0        0
28298 libeasymock-java                   	       0       10        0        0       10
28299 libeasymock-java-doc               	       0        1        0        0        1
28300 libeatmydata1                      	       0      106        1        0      105
28301 libeb16                            	       0       29        2        0       27
28302 libeb16t64                         	       0        3        0        0        3
28303 libebackend-1.2-2                  	       0        4        0        0        4
28304 libebackend-1.2-6                  	       0        1        0        0        1
28305 libebackend-1.2-7                  	       0        8        0        0        8
28306 libebackend1.2-dev                 	       0        4        4        0        0
28307 libebml-dev                        	       0       14       14        0        0
28308 libebml0                           	       0        3        0        0        3
28309 libebml3                           	       0        5        0        0        5
28310 libebml4                           	       0       13        1        0       12
28311 libebml4v5                         	       0      177        0        0      177
28312 libebook-1.2-13                    	       0        2        0        0        2
28313 libebook-1.2-14                    	       0        3        0        0        3
28314 libebook-1.2-16                    	       0       11        0        0       11
28315 libebook-1.2-19                    	       0       13        1        0       12
28316 libebook-contacts-1.2-0            	       0        3        0        0        3
28317 libebook-contacts-1.2-2            	       0       33        1        0       32
28318 libebook-contacts1.2-dev           	       0        4        4        0        0
28319 libebook-tools-perl                	       0        3        2        1        0
28320 libebook1.2-dev                    	       0        4        4        0        0
28321 libebur128-dev                     	       0        7        7        0        0
28322 libec-dev                          	       0        9        9        0        0
28323 libec10                            	       0       10        0        0       10
28324 libec4                             	       0        1        0        0        1
28325 libecal-1.2-11                     	       0        5        0        0        5
28326 libecal-1.2-16                     	       0        6        0        0        6
28327 libecal-1.2-19                     	       0       26        1        0       25
28328 libecap2                           	       0        2        0        0        2
28329 libecasoundc-dev                   	       0        1        1        0        0
28330 libecasoundc1v5                    	       0        9        0        0        9
28331 libeccodes-data                    	       0       11        0        0       11
28332 libeccodes-dev                     	       0        3        3        0        0
28333 libeccodes-tools                   	       0        3        3        0        0
28334 libeccodes0                        	       0       11        0        0       11
28335 libecholib1.3                      	       0        2        0        0        2
28336 libecholib1.3t64                   	       0        2        0        0        2
28337 libechonest2.1                     	       0        1        0        0        1
28338 libecj-java                        	       0       47        0        0       47
28339 libecj-java-gcj                    	       0        5        5        0        0
28340 libeckit-dev                       	       0        2        2        0        0
28341 libeckit-utils                     	       0        2        2        0        0
28342 libeckit0d                         	       0        7        0        0        7
28343 libecl-dev                         	       0       17       17        0        0
28344 libecl21.2                         	       0       17        0        0       17
28345 libecl21.2t64                      	       0        1        0        0        1
28346 libeclipse-aether-java             	       0        2        0        0        2
28347 libeclipse-cdt-java                	       0        1        0        0        1
28348 libeclipse-core-commands-java      	       0        4        4        0        0
28349 libeclipse-core-contenttype-java   	       0        4        4        0        0
28350 libeclipse-core-databinding-java   	       0        4        4        0        0
28351 libeclipse-core-databinding-observable-java	       0        4        4        0        0
28352 libeclipse-core-databinding-property-java	       0        4        4        0        0
28353 libeclipse-core-expressions-java   	       0        4        4        0        0
28354 libeclipse-core-filesystem-java    	       0        4        4        0        0
28355 libeclipse-core-jobs-java          	       0        4        4        0        0
28356 libeclipse-core-net-java           	       0        1        1        0        0
28357 libeclipse-core-resources-java     	       0        4        4        0        0
28358 libeclipse-core-runtime-java       	       0        4        4        0        0
28359 libeclipse-e4-core-commands-java   	       0        4        4        0        0
28360 libeclipse-e4-core-contexts-java   	       0        4        4        0        0
28361 libeclipse-e4-core-di-annotations-java	       0        4        4        0        0
28362 libeclipse-e4-core-di-extensions-java	       0        4        4        0        0
28363 libeclipse-e4-core-di-extensions-supplier-java	       0        4        4        0        0
28364 libeclipse-e4-core-di-java         	       0        4        4        0        0
28365 libeclipse-e4-core-services-java   	       0        4        4        0        0
28366 libeclipse-e4-emf-xpath-java       	       0        4        4        0        0
28367 libeclipse-e4-ui-bindings-java     	       0        4        4        0        0
28368 libeclipse-e4-ui-css-core-java     	       0        4        4        0        0
28369 libeclipse-e4-ui-css-swt-java      	       0        4        4        0        0
28370 libeclipse-e4-ui-css-swt-theme-java	       0        4        4        0        0
28371 libeclipse-e4-ui-di-java           	       0        4        4        0        0
28372 libeclipse-e4-ui-dialogs-java      	       0        4        4        0        0
28373 libeclipse-e4-ui-ide-java          	       0        1        1        0        0
28374 libeclipse-e4-ui-model-workbench-java	       0        4        4        0        0
28375 libeclipse-e4-ui-services-java     	       0        4        4        0        0
28376 libeclipse-e4-ui-widgets-java      	       0       23       23        0        0
28377 libeclipse-e4-ui-workbench-addons-swt-java	       0        4        4        0        0
28378 libeclipse-e4-ui-workbench-java    	       0        4        4        0        0
28379 libeclipse-e4-ui-workbench-renderers-swt-java	       0        4        4        0        0
28380 libeclipse-e4-ui-workbench-swt-java	       0        4        4        0        0
28381 libeclipse-e4-ui-workbench3-java   	       0        4        4        0        0
28382 libeclipse-emf-common-java         	       0        4        4        0        0
28383 libeclipse-emf-ecore-java          	       0        4        4        0        0
28384 libeclipse-emf-ecore-xmi-java      	       0        4        4        0        0
28385 libeclipse-help-java               	       0        4        4        0        0
28386 libeclipse-jdt-core-compiler-batch-java	       0        7        7        0        0
28387 libeclipse-jface-databinding-java  	       0        4        4        0        0
28388 libeclipse-jface-java              	       0        4        4        0        0
28389 libeclipse-jface-notifications-java	       0        4        4        0        0
28390 libeclipse-jface-text-java         	       0        4        4        0        0
28391 libeclipse-osgi-java               	       0        4        4        0        0
28392 libeclipse-osgi-services-java      	       0        4        4        0        0
28393 libeclipse-swtchart-java           	       0        3        0        0        3
28394 libeclipse-text-java               	       0        4        4        0        0
28395 libeclipse-ui-forms-java           	       0        4        4        0        0
28396 libeclipse-ui-ide-application-java 	       0        1        1        0        0
28397 libeclipse-ui-ide-java             	       0        1        1        0        0
28398 libeclipse-ui-java                 	       0        1        1        0        0
28399 libeclipse-ui-navigator-java       	       0        1        1        0        0
28400 libeclipse-ui-views-java           	       0        1        1        0        0
28401 libeclipse-ui-workbench-java       	       0        4        4        0        0
28402 libeclipse-urischeme-java          	       0        4        4        0        0
28403 libeclipselink-java                	       0        3        0        0        3
28404 libeclipselink-java-doc            	       0        1        0        0        1
28405 libecm-dev                         	       0       11        0        0       11
28406 libecm1                            	       0       18        0        0       18
28407 libecm1-dev                        	       0       14        0        0       14
28408 libecm1-dev-common                 	       0       14       14        0        0
28409 libecore-audio1                    	       0       39       10        1       28
28410 libecore-bin                       	       0       42       40        2        0
28411 libecore-con1                      	       0       41        9        1       31
28412 libecore-con1t64                   	       0        4        1        0        3
28413 libecore-drm2-1                    	       0       41       10        1       30
28414 libecore-evas1                     	       0       43       10        1       32
28415 libecore-fb1                       	       0       43        0        0       43
28416 libecore-file1                     	       0       45       10        1       34
28417 libecore-imf1                      	       0       42       10        1       31
28418 libecore-input1                    	       0       44       10        1       33
28419 libecore-ipc1                      	       0       43       10        1       32
28420 libecore-wl2-1                     	       0       40       10        1       29
28421 libecore-x1                        	       0       44       10        1       33
28422 libecore1                          	       0       70       10        1       59
28423 libecpg-compat3                    	       0        8        0        0        8
28424 libecpg-dev                        	       0        8        8        0        0
28425 libecpg6                           	       0       10        0        0       10
28426 libector1                          	       0       41       10        1       30
28427 libectrans-0                       	       0        1        0        0        1
28428 libedac1                           	       0       30        0        0       30
28429 libedata-book-1.2-20               	       0        3        0        0        3
28430 libedata-book-1.2-25               	       0       24        1        0       23
28431 libedata-book1.2-dev               	       0        4        4        0        0
28432 libedata-cal-1.2-15                	       0        3        0        0        3
28433 libedata-cal-1.2-23                	       0        5        0        0        5
28434 libedata-cal-1.2-28                	       0        6        0        0        6
28435 libedata-cal-1.2-29                	       0        9        1        0        8
28436 libedataserver-1.2-16              	       0        8        0        0        8
28437 libedataserver-1.2-17              	       0        1        0        0        1
28438 libedataserver-1.2-18              	       0        8        0        0        8
28439 libedataserver-1.2-21              	       0        1        0        0        1
28440 libedataserver-1.2-22              	       0       14        0        0       14
28441 libedataserver-1.2-23              	       0       23        1        0       22
28442 libedataserver-1.2-24              	       0        1        0        0        1
28443 libedataserver-1.2-26              	       0        4        1        0        3
28444 libedataserver1.2-dev              	       0        5        5        0        0
28445 libedataserverui-1.2-1             	       0        6        0        0        6
28446 libedataserverui-1.2-3             	       0        1        0        0        1
28447 libedataserverui-3.0-1             	       0        2        0        0        2
28448 libedataserverui4-1.0-0            	       0      108       10        7       91
28449 libedataserverui4-1.0-0t64         	       0        8        0        0        8
28450 libedbus1                          	       0        1        0        0        1
28451 libedf1                            	       0        2        0        0        2
28452 libedfmt0                          	       0        1        0        0        1
28453 libedgetpu-dev                     	       0        1        1        0        0
28454 libedgetpu1-max                    	       0        1        1        0        0
28455 libeditline-dev                    	       0        1        1        0        0
28456 libeditline0                       	       0        4        0        0        4
28457 libeditorconfig-dev                	       0        1        1        0        0
28458 libedje-bin                        	       0       37       35        2        0
28459 libedje1                           	       0       42       10        1       31
28460 libedlib-dev                       	       0        1        1        0        0
28461 libedlib0                          	       0        1        0        0        1
28462 libedlib1                          	       0        1        0        0        1
28463 libeduvpn-common                   	       0        3        0        0        3
28464 libee0                             	       0        7        0        0        7
28465 libeel2-data                       	       0        1        0        0        1
28466 libeet-bin                         	       0        1        1        0        0
28467 libeet1                            	       0       46       10        1       35
28468 libeeze1                           	       0       45       10        1       34
28469 libefiboot-dev                     	       0        1        1        0        0
28470 libefiboot1t64                     	       0      266        0        0      266
28471 libefisec1                         	       0        1        0        0        1
28472 libefisec1t64                      	       0        2        0        0        2
28473 libefivar-dev                      	       0        1        1        0        0
28474 libefivar0                         	       0        8        0        0        8
28475 libefivar1t64                      	       0      266        0        0      266
28476 libefreet-bin                      	       0       43       41        2        0
28477 libefreet1a                        	       0       43       10        1       32
28478 libeggdbus-1-0                     	       0        4        0        0        4
28479 libegl-nvidia-tesla-450-0          	       0        2        0        0        2
28480 libegl1-amdgpu-mesa-dev            	       0        1        1        0        0
28481 libegl1-amdgpu-mesa-drivers        	       0        8        0        0        8
28482 libegl1-glvnd-nvidia               	       0        2        0        0        2
28483 libegl1-mesa                       	       0      465        0        0      465
28484 libegl1-mesa-drivers               	       0       39        0        0       39
28485 libegl1-nvidia                     	       0        3        0        0        3
28486 libegl1-nvidia-legacy-340xx        	       0        9        1        0        8
28487 libegl1-nvidia-legacy-390xx        	       0        3        0        0        3
28488 libehcache-java                    	       0      745        0        0      745
28489 libei1                             	       0       18        0        0       18
28490 libeidviewer0                      	       0        8        0        0        8
28491 libeigen-stl-containers-dev        	       0        1        1        0        0
28492 libeigen2-dev                      	       0        2        2        0        0
28493 libeigen3-dev                      	       0       86       86        0        0
28494 libeigen3-doc                      	       0        3        0        0        3
28495 libeina-bin                        	       0        1        1        0        0
28496 libeina1                           	       0        5        0        0        5
28497 libeina1a                          	       0       63        9        1       53
28498 libeina1t64                        	       0        4        1        0        3
28499 libeinfo-dev                       	       0        1        1        0        0
28500 libeinfo1                          	       0      527        9        2      516
28501 libeio1                            	       0       43       10        1       32
28502 libeiskaltdcpp2.4                  	       0        8        1        0        7
28503 libel-api-java                     	       0      812        0        0      812
28504 libelemental0                      	       0        7        0        0        7
28505 libelementary-bin                  	       0       28       26        2        0
28506 libelementary-data                 	       0       40        8        1       31
28507 libelementary1                     	       0       38       10        1       27
28508 libelementary2                     	       0        1        0        0        1
28509 libelf++0                          	       0        6        0        0        6
28510 libelfg0                           	       0       37        0        0       37
28511 libelfin-dev                       	       0        1        0        0        1
28512 libelfsh0                          	       0        1        0        0        1
28513 libelk0                            	       0        1        0        0        1
28514 libelocation1                      	       0        3        0        0        3
28515 libelogind-compat                  	       0     2870        0        0     2870
28516 libelogind-dev-doc                 	       0        3        0        0        3
28517 libelogind0-dbgsym                 	       0        1        1        0        0
28518 libelpa15                          	       0        1        0        0        1
28519 libelput1                          	       0       41       10        1       30
28520 libemail-address-list-perl         	       0        1        1        0        0
28521 libemail-date-perl                 	       0        4        4        0        0
28522 libemail-mime-createhtml-perl      	       0        1        1        0        0
28523 libemail-mime-modifier-perl        	       0        1        0        0        1
28524 libemail-outlook-message-perl      	       0        8        8        0        0
28525 libemail-received-perl             	       0        1        1        0        0
28526 libemail-reply-perl                	       0        1        1        0        0
28527 libemail-send-io-perl              	       0        1        1        0        0
28528 libemail-send-perl                 	       0        1        1        0        0
28529 libembree3-3                       	       0      117        1        0      116
28530 libembree4-4                       	       0       12        0        0       12
28531 libembryo-bin                      	       0       38       36        2        0
28532 libembryo0                         	       0        1        0        0        1
28533 libembryo1                         	       0       42       10        1       31
28534 libemeraldengine0t64               	       0        2        1        1        0
28535 libemf-dev                         	       0        2        2        0        0
28536 libemf1                            	       0      262        0        0      262
28537 libemf2svg1                        	       0        1        0        0        1
28538 libemile1                          	       0       42       10        1       31
28539 libemma-java                       	       0        1        0        0        1
28540 libemos-bin                        	       0        1        1        0        0
28541 libemos-data                       	       0        3        0        0        3
28542 libemos-dev                        	       0        2        2        0        0
28543 libemos0d                          	       0        2        0        0        2
28544 libemotion1                        	       0       39       10        1       28
28545 libenca-dev                        	       0       14       14        0        0
28546 libenchant-2-dev                   	       0       21       21        0        0
28547 libenchant-dev                     	       0        7        7        0        0
28548 libenchant-voikko                  	       0        6        0        0        6
28549 libencode-eucjpascii-perl          	       0       96        0        0       96
28550 libencode-eucjpms-perl             	       0      112        0        0      112
28551 libencode-hanextra-perl            	       0      128        0        0      128
28552 libencode-imaputf7-perl            	       0       11       11        0        0
28553 libencode-jis2k-perl               	       0      112        0        0      112
28554 libenet-dev                        	       0       14       14        0        0
28555 libenet-doc                        	       0        9        0        0        9
28556 libenet1a                          	       0        1        0        0        1
28557 libenet7                           	       0      106        2        0      104
28558 libenet7-dbgsym                    	       0        1        1        0        0
28559 libengine-gost-openssl             	       0       19        0        0       19
28560 libengine-gost-openssl1.1          	       0       22        0        0       22
28561 libengine-pkcs11-openssl           	       0       18        2        0       16
28562 libengine-tpm2-tss-openssl         	       0        1        0        0        1
28563 libenv-path-perl                   	       0        2        2        0        0
28564 libenv-sanctify-perl               	       0       10       10        0        0
28565 libepc-1.0-3                       	       0        4        0        0        4
28566 libepc-common                      	       0        7        0        0        7
28567 libepc-ui-1.0-3                    	       0        3        0        0        3
28568 libepr-api-dev                     	       0        3        3        0        0
28569 libepr-api2                        	       0        3        0        0        3
28570 libepsilon-dev                     	       0       13       13        0        0
28571 libepsilon0                        	       0        1        0        0        1
28572 libepsilon1                        	       0      193        2        0      191
28573 libept1.4.12                       	       0       74        0        0       74
28574 libept1.5.0                        	       0      201        0        0      201
28575 libept1.6.0t64                     	       0      138        3        0      135
28576 libepub-dev                        	       0        2        2        0        0
28577 libepub0                           	       0      845        2        0      843
28578 libepubgen-0.0-0                   	       0        2        0        0        2
28579 libepubgen-dev                     	       0        1        1        0        0
28580 libequeue-ocaml                    	       0        1        0        0        1
28581 libequinox-app-java                	       0        4        4        0        0
28582 libequinox-bidi-java               	       0        4        4        0        0
28583 libequinox-common-java             	       0        4        4        0        0
28584 libequinox-jsp-jasper-java         	       0        1        1        0        0
28585 libequinox-osgi-java               	       0       24        2        0       22
28586 libequinox-p2-artifact-repository-java	       0        1        1        0        0
28587 libequinox-p2-core-java            	       0        1        1        0        0
28588 libequinox-p2-engine-java          	       0        1        1        0        0
28589 libequinox-p2-jarprocessor-java    	       0        1        1        0        0
28590 libequinox-p2-metadata-java        	       0        1        1        0        0
28591 libequinox-p2-metadata-repository-java	       0        1        1        0        0
28592 libequinox-p2-repository-java      	       0        1        1        0        0
28593 libequinox-preferences-java        	       0        4        4        0        0
28594 libequinox-registry-java           	       0        4        4        0        0
28595 libequinox-security-java           	       0        1        1        0        0
28596 liberasurecode-dev                 	       0        1        1        0        0
28597 liberasurecode1                    	       0        1        0        0        1
28598 liberfa-dev                        	       0        5        5        0        0
28599 liberfa1                           	       0       47        0        0       47
28600 liberis-1.3-14                     	       0        1        0        0        1
28601 liberror-prone-java                	       0      235        0        0      235
28602 liberty-jessie-archive-keyring     	       0        1        0        0        1
28603 liberubis-ruby1.9.1                	       0        1        0        0        1
28604 libescapevelocity-java             	       0        2        0        0        2
28605 libescpr1                          	       0        1        0        0        1
28606 libesd0                            	       0       30        1        0       29
28607 libesd0-dev                        	       0        7        7        0        0
28608 libesedb-utils                     	       0        1        1        0        0
28609 libesedb1                          	       0       16        0        0       16
28610 libesmtp-dev                       	       0        2        2        0        0
28611 libesnacc-dev                      	       0        1        1        0        0
28612 libesnacc180                       	       0        1        0        0        1
28613 libespeak-dev                      	       0        1        1        0        0
28614 libespeak-ng-dev                   	       0        1        1        0        0
28615 libespeak-ng-libespeak-dev         	       0        1        1        0        0
28616 libespeak1                         	       0     1075        1        0     1074
28617 libestools-dev                     	       0        6        6        0        0
28618 libestools2.1                      	       0        7        0        0        7
28619 libestools2.4                      	       0       17        0        0       17
28620 libestools2.5                      	       0       92        1        0       91
28621 libestraier-dev                    	       0        1        1        0        0
28622 libestraier8                       	       0        5        0        0        5
28623 libethumb-client-bin               	       0       39       37        2        0
28624 libethumb-client1                  	       0       39       10        1       28
28625 libethumb1                         	       0       39       10        1       28
28626 libetonyek-0.0-0                   	       0        1        0        0        1
28627 libetonyek-dev                     	       0        2        2        0        0
28628 libetonyek-tools                   	       0        2        2        0        0
28629 libetpan-dev                       	       0       11       11        0        0
28630 libetpan-doc                       	       0        2        2        0        0
28631 libetpan15                         	       0        1        0        0        1
28632 libetpan17                         	       0        7        1        0        6
28633 libetrace0                         	       0        1        0        0        1
28634 libetsf-io-doc                     	       0        1        0        0        1
28635 libeuclid-java                     	       0        2        0        0        2
28636 libeudev1-dbgsym                   	       0        2        2        0        0
28637 libev-dev                          	       0       40       39        1        0
28638 libeval-context-perl               	       0        2        2        0        0
28639 libeval0                           	       0        3        0        0        3
28640 libevas-loaders                    	       0       41        0        0       41
28641 libevas1                           	       0       44       10        1       33
28642 libevas1-engines-drm               	       0       39        0        0       39
28643 libevas1-engines-fb                	       0       35        0        0       35
28644 libevas1-engines-wayland           	       0       39       10        1       28
28645 libevas1-engines-x                 	       0       42       10        1       31
28646 libevd-0.2-0                       	       0        1        0        0        1
28647 libevdi0                           	       0        7        0        0        7
28648 libevdi1                           	       0        1        0        0        1
28649 libevdocument3-4t64                	       0       70        1        0       69
28650 libevemu1                          	       0        2        0        0        2
28651 libevemu3                          	       0       84        0        0       84
28652 libevemu3t64                       	       0       18        0        0       18
28653 libevent-1.4-2                     	       0       19        0        0       19
28654 libevent-2.0-5                     	       0      204        2        0      202
28655 libevent-2.1.8                     	       0        1        1        0        0
28656 libevent-core-1.4-2                	       0        2        0        0        2
28657 libevent-core-2.0-5                	       0       18        0        0       18
28658 libevent-core-2.1-6                	       0      153        0        0      153
28659 libevent-core2                     	       0        1        0        0        1
28660 libevent-execflow-perl             	       0        1        1        0        0
28661 libevent-extra-1.4-2               	       0        2        0        0        2
28662 libevent-extra-2.0-5               	       0       14        0        0       14
28663 libevent-extra-2.1-6               	       0       13        0        0       13
28664 libevent-extra-2.1-7t64            	       0       19        1        0       18
28665 libevent-extra2                    	       0        1        0        0        1
28666 libevent-openssl-2.0-5             	       0       14        0        0       14
28667 libevent-openssl-2.1-6             	       0       13        0        0       13
28668 libevent-openssl-2.1-7t64          	       0       19        1        0       18
28669 libevent-pthreads-2.0-5            	       0       16        0        0       16
28670 libevent-pthreads-2.1-7t64         	       0       50        6        0       44
28671 libevent-pthreads0                 	       0        1        0        0        1
28672 libevent-rpc-perl                  	       0        3        3        0        0
28673 libevent1                          	       0        6        0        0        6
28674 libevent2                          	       0        1        0        0        1
28675 libeventviews-data                 	       0        6        0        0        6
28676 libeventviews4                     	       0        2        0        0        2
28677 libevocosm-4.0-4                   	       0        1        0        0        1
28678 libevocosm-dev                     	       0        1        1        0        0
28679 libevs-dev                         	       0        1        1        0        0
28680 libevs4                            	       0        1        0        0        1
28681 libevt-utils                       	       0        1        1        0        0
28682 libevt1                            	       0       16        0        0       16
28683 libevtlog0                         	       0        5        1        0        4
28684 libevtx-utils                      	       0        1        1        0        0
28685 libevtx1                           	       0       16        0        0       16
28686 libevview3-3t64                    	       0       70        1        0       69
28687 libewf-dev                         	       0        1        1        0        0
28688 libewf1                            	       0        2        0        0        2
28689 libewf2                            	       0      177        0        0      177
28690 libexactimage-perl                 	       0        2        0        0        2
28691 libexadrums2                       	       0        1        0        0        1
28692 libexcalibur-logger-java           	       0        2        0        0        2
28693 libexcalibur-logkit-java           	       0       25        0        0       25
28694 libexcel-template-perl             	       0        1        1        0        0
28695 libexcel-writer-xlsx-perl          	       0        3        3        0        0
28696 libexec-maven-plugin-java          	       0       16        0        0       16
28697 libexecline2.5                     	       0        2        0        0        2
28698 libexecline2.7                     	       0        4        0        0        4
28699 libexecline2.9                     	       0        9        1        0        8
28700 libexecs0                          	       0      538        0        0      538
28701 libexecs1                          	       0       53        0        0       53
28702 libexempi-dev                      	       0        3        3        0        0
28703 libexempi3                         	       0       61        0        0       61
28704 libexif-doc                        	       0       40        0        0       40
28705 libexif-gtk-dev                    	       0        1        1        0        0
28706 libexif-gtk3-5                     	       0        3        0        0        3
28707 libexif-gtk5                       	       0       49        0        0       49
28708 libexif-ruby1.9.1                  	       0        1        0        0        1
28709 libexiv2-12                        	       0       16        0        0       16
28710 libexiv2-13                        	       0       26        1        0       25
28711 libexiv2-14                        	       0      300        1        0      299
28712 libexiv2-9                         	       0        7        0        0        7
28713 libexiv2-data                      	       0      127        0        0      127
28714 libexiv2-dev                       	       0       34       34        0        0
28715 libexiv2-doc                       	       0        1        1        0        0
28716 libexo-0.3-0                       	       0        3        0        0        3
28717 libexo-1-0                         	       0      108        0        0      108
28718 libexo-1-dev                       	       0        1        1        0        0
28719 libexo-2-0-dbgsym                  	       0        1        1        0        0
28720 libexo-2-dev                       	       0        5        5        0        0
28721 libexo-common                      	       0     1939        0        0     1939
28722 libexo-helpers                     	       0      114        0        0      114
28723 libexodusii5                       	       0        1        0        0        1
28724 libexosip2-11                      	       0        3        3        0        0
28725 libexosip2-4                       	       0        1        1        0        0
28726 libexosip2-7                       	       0        2        2        0        0
28727 libexosip2-dev                     	       0        1        1        0        0
28728 libexpect-perl                     	       0       26       26        0        0
28729 libexpect-simple-perl              	       0        2        2        0        0
28730 libexperimental-perl               	       0        1        1        0        0
28731 libexplain-dev                     	       0        1        1        0        0
28732 libexplain30                       	       0        1        0        0        1
28733 libexplain51                       	       0        6        0        0        6
28734 libexporter-tidy-perl              	       0        2        2        0        0
28735 libext2fs-dev                      	       0       35       33        2        0
28736 libextlib-ruby1.9.1                	       0        2        0        0        2
28737 libextractor-dev                   	       0        4        4        0        0
28738 libextractor-plugin-archive        	       0       13        0        0       13
28739 libextractor-plugin-av             	       0        2        0        0        2
28740 libextractor-plugin-exiv2          	       0       13        0        0       13
28741 libextractor-plugin-flac           	       0       13        0        0       13
28742 libextractor-plugin-gif            	       0       13        0        0       13
28743 libextractor-plugin-gstreamer      	       0       14        0        0       14
28744 libextractor-plugin-html           	       0       13        0        0       13
28745 libextractor-plugin-jpeg           	       0       13        0        0       13
28746 libextractor-plugin-midi           	       0       13        0        0       13
28747 libextractor-plugin-mpeg           	       0       13        0        0       13
28748 libextractor-plugin-ogg            	       0       13        0        0       13
28749 libextractor-plugin-ole2           	       0       13        0        0       13
28750 libextractor-plugin-pdf            	       0       13        0        0       13
28751 libextractor-plugin-rpm            	       0       13        0        0       13
28752 libextractor-plugin-thumbnailgtk   	       0       14        0        0       14
28753 libextractor-plugin-tiff           	       0       14        0        0       14
28754 libextractor-plugins-all           	       0       13        0        0       13
28755 libextractor-plugins-misc          	       0       26        0        0       26
28756 libextractor3                      	       0       37        1        0       36
28757 libexttextcat-data                 	       0     2967        0        0     2967
28758 libexttextcat0                     	       0        1        0        0        1
28759 libextutils-autoinstall-perl       	       0        2        2        0        0
28760 libextutils-config-perl            	       0        3        3        0        0
28761 libextutils-f77-perl               	       0        1        1        0        0
28762 libextutils-helpers-perl           	       0        3        3        0        0
28763 libextutils-installpaths-perl      	       0        3        3        0        0
28764 libeztrace0                        	       0        1        0        0        1
28765 libf2c2                            	       0       17        0        0       17
28766 libf2c2-dev                        	       0       16       15        1        0
28767 libf2fs-dev                        	       0        1        1        0        0
28768 libf2fs-format-dev                 	       0        1        1        0        0
28769 libf2fs-format4                    	       0       14        0        0       14
28770 libf2fs0                           	       0        3        0        0        3
28771 libf2fs5                           	       0       14        0        0       14
28772 libf2j-java                        	       0        1        0        0        1
28773 libf95getdata7                     	       0        1        0        0        1
28774 libfaac-dev                        	       0       14       14        0        0
28775 libfaac0                           	       0      133        2        0      131
28776 libfaad-dev                        	       0       41       41        0        0
28777 libfaad-ocaml                      	       0        1        1        0        0
28778 libfaad-ocaml-dev                  	       0        1        1        0        0
28779 libfaad0                           	       0        1        0        0        1
28780 libfabric1                         	       0      339        5        0      334
28781 libfacets-ruby1.9.1                	       0        1        0        0        1
28782 libfacter3.10.0                    	       0        1        0        0        1
28783 libfacter3.11.0                    	       0       10        0        0       10
28784 libfacter3.14.12                   	       0        3        0        0        3
28785 libfaifa0                          	       0        3        0        0        3
28786 libfakechroot                      	       0       73        0        0       73
28787 libfakefs-ruby1.9.1                	       0        1        0        0        1
28788 libfakekey-dev                     	       0        1        1        0        0
28789 libfakeroot                        	       0     2223        0        0     2223
28790 libfaketime                        	       0       32        0        0       32
28791 libfalabaac2                       	       0        1        0        0        1
28792 libfalcosecurity0                  	       0        1        0        0        1
28793 libfam-dev                         	       0        1        1        0        0
28794 libfame-0.9                        	       0        1        0        0        1
28795 libfame-0.9-1                      	       0        4        0        0        4
28796 libfann-dev                        	       0        4        4        0        0
28797 libfann2                           	       0        8        0        0        8
28798 libfarstream-0.1-0                 	       0        4        1        0        3
28799 libfarstream-0.2-dev               	       0        2        2        0        0
28800 libfastahack0                      	       0        1        0        0        1
28801 libfastinfoset-java                	       0      339        0        0      339
28802 libfastjet-dev                     	       0        1        1        0        0
28803 libfastjet-fortran-dev             	       0        1        0        0        1
28804 libfastjet-fortran0                	       0        1        0        0        1
28805 libfastjet0v5                      	       0        1        0        0        1
28806 libfastjettools-dev                	       0        1        0        0        1
28807 libfastjettools0                   	       0        1        0        0        1
28808 libfastlz                          	       0        1        1        0        0
28809 libfasttext0                       	       0        2        0        0        2
28810 libfastutil-java                   	       0        8        0        0        8
28811 libfathom1                         	       0        3        0        0        3
28812 libfaudio-dev                      	       0        3        3        0        0
28813 libfaudio0                         	       0      115        0        0      115
28814 libfaust2                          	       0       22        0        0       22
28815 libfaust2t64                       	       0        4        0        0        4
28816 libfbembed2.5                      	       0       16        0        0       16
28817 libfcft-dev                        	       0        1        1        0        0
28818 libfcft3                           	       0        2        1        0        1
28819 libfcgi-async-perl                 	       0        1        1        0        0
28820 libfcgi-dev                        	       0        5        5        0        0
28821 libfcgi-procmanager-perl           	       0       17       17        0        0
28822 libfcgi-ruby1.9.1                  	       0        1        0        0        1
28823 libfcgi0t64                        	       0       86        0        0       86
28824 libfcitx-qt5-1                     	       0       26        0        0       26
28825 libfcitx-qt5-data                  	       0       26        0        0       26
28826 libfcitx5-qt-data                  	       0       27        0        0       27
28827 libfcitx5-qt6-1                    	       0       17        0        0       17
28828 libfcitx5-qt6-dev                  	       0        1        1        0        0
28829 libfcitx5config-dev                	       0        1        1        0        0
28830 libfcitx5core-dev                  	       0        1        1        0        0
28831 libfcitx5gclient1                  	       0        5        1        0        4
28832 libfcitx5utils-dev                 	       0        1        1        0        0
28833 libfckit0d                         	       0        1        0        0        1
28834 libfcl0.7                          	       0        1        0        0        1
28835 libfcml0                           	       0        2        0        0        2
28836 libfdb5-0d                         	       0        1        0        0        1
28837 libfdisk-dev                       	       0        3        3        0        0
28838 libfdisk1-dbgsym                   	       0        1        1        0        0
28839 libfdk-aac-dev                     	       0       22       22        0        0
28840 libfdk-aac0                        	       0       11        0        0       11
28841 libfdk-aac1                        	       0       32        0        0       32
28842 libfdkaac-ocaml                    	       0        1        1        0        0
28843 libfdkaac-ocaml-dev                	       0        1        1        0        0
28844 libfdkaac-ocaml-dynlink            	       0        1        1        0        0
28845 libfdt-dev                         	       0       24       24        0        0
28846 libfeature-compat-class-perl       	       0      144      142        2        0
28847 libfeature-compat-try-perl         	       0      144      142        2        0
28848 libfec-dev                         	       0        1        1        0        0
28849 libfec0                            	       0        8        0        0        8
28850 libfeed-find-perl                  	       0       11       11        0        0
28851 libfelix-bundlerepository-java     	       0        9        0        0        9
28852 libfelix-framework-java            	       0       66        0        0       66
28853 libfelix-framework-java-doc        	       0        6        0        0        6
28854 libfelix-gogo-command-java         	       0        5        0        0        5
28855 libfelix-gogo-runtime-java         	       0       58        0        0       58
28856 libfelix-gogo-shell-java           	       0        5        0        0        5
28857 libfelix-main-java                 	       0       19        0        0       19
28858 libfelix-main-java-doc             	       0        6        0        0        6
28859 libfelix-osgi-obr-java             	       0       53        0        0       53
28860 libfelix-resolver-java             	       0       55        0        0       55
28861 libfelix-shell-java                	       0        6        0        0        6
28862 libfelix-utils-java                	       0        8        0        0        8
28863 libfetk1.9                         	       0        5        0        0        5
28864 libffado-dev                       	       0        2        2        0        0
28865 libffcall-dev                      	       0        2        2        0        0
28866 libffcall1                         	       0        8        0        0        8
28867 libffcall1-dev                     	       0        1        0        0        1
28868 libffcall1b                        	       0       43        1        0       42
28869 libffi-checklib-perl               	       0        3        3        0        0
28870 libffi-platypus-perl               	       0        2        2        0        0
28871 libffi-ruby1.9.1                   	       0        1        0        0        1
28872 libffi5                            	       0       38        0        0       38
28873 libffi7-dbgsym                     	       0        1        1        0        0
28874 libffindex0                        	       0        3        0        0        3
28875 libffmpeg-nvenc-dev                	       0       18       17        1        0
28876 libffmpeg-ocaml                    	       0        2        2        0        0
28877 libffmpegthumbnailer-dev           	       0        9        9        0        0
28878 libffmpegthumbnailer4              	       0        4        0        0        4
28879 libffms2-4                         	       0       34        0        0       34
28880 libffms2-5                         	       0       82        0        0       82
28881 libffms2-dev                       	       0        2        2        0        0
28882 libfftw3-3                         	       0        8        0        0        8
28883 libfftw3-doc                       	       0        8        0        0        8
28884 libfftw3-long3                     	       0      229        0        0      229
28885 libfftw3-mpi-dev                   	       0        3        3        0        0
28886 libfftw3-mpi3                      	       0       59        0        0       59
28887 libfftw3-quad3                     	       0      183        0        0      183
28888 libfgetdata6                       	       0        1        0        0        1
28889 libfiat-0                          	       0        1        0        0        1
28890 libfido2-1                         	       0     3653        2        0     3651
28891 libfido2-doc                       	       0        2        0        0        2
28892 libfifechan-dev                    	       0        1        0        0        1
28893 libfifechan0.1.3                   	       0        1        0        0        1
28894 libfifechan0.1.5                   	       0        7        0        0        7
28895 libfifechan0.1.5t64                	       0        2        0        0        2
28896 libfile-changenotify-perl          	       0        2        2        0        0
28897 libfile-chmod-perl                 	       0       16       15        1        0
28898 libfile-configdir-perl             	       0        3        3        0        0
28899 libfile-copy-link-perl             	       0        4        4        0        0
28900 libfile-fcntllock-perl             	       0     2643        0        0     2643
28901 libfile-find-object-perl           	       0        1        1        0        0
28902 libfile-find-rule-perl-perl        	       0        2        2        0        0
28903 libfile-find-rule-vcs-perl         	       0        1        1        0        0
28904 libfile-find-wanted-perl           	       0        2        2        0        0
28905 libfile-flat-perl                  	       0        1        1        0        0
28906 libfile-flock-perl                 	       0        1        1        0        0
28907 libfile-fnmatch-perl               	       0      219        0        0      219
28908 libfile-grep-perl                  	       0        1        1        0        0
28909 libfile-inplace-perl               	       0        2        2        0        0
28910 libfile-keepass-perl               	       0       11       11        0        0
28911 libfile-lchown-perl                	       0        2        0        0        2
28912 libfile-libmagic-perl              	       0       18        0        0       18
28913 libfile-map-perl                   	       0        1        0        0        1
28914 libfile-mmagic-perl                	       0        5        5        0        0
28915 libfile-modified-perl              	       0        2        2        0        0
28916 libfile-next-perl                  	       0       29       29        0        0
28917 libfile-nfslock-perl               	       0       17       17        0        0
28918 libfile-path-expand-perl           	       0        2        2        0        0
28919 libfile-pid-perl                   	       0        1        1        0        0
28920 libfile-policy-perl                	       0        1        1        0        0
28921 libfile-rsync-perl                 	       0        2        2        0        0
28922 libfile-rsyncp-perl                	       0        1        0        0        1
28923 libfile-scan-perl                  	       0        1        1        0        0
28924 libfile-searchpath-perl            	       0        2        2        0        0
28925 libfile-share-perl                 	       0        7        7        0        0
28926 libfile-sharedir-install-perl      	       0        2        2        0        0
28927 libfile-slurp-tiny-perl            	       0        9        9        0        0
28928 libfile-slurp-unicode-perl         	       0        4        4        0        0
28929 libfile-sort-perl                  	       0        3        3        0        0
28930 libfile-spec-native-perl           	       0        1        1        0        0
28931 libfile-tail-perl                  	       0       23       23        0        0
28932 libfile-treecreate-perl            	       0        1        1        0        0
28933 libfile-type-perl                  	       0        4        4        0        0
28934 libfile-userconfig-perl            	       0        1        1        0        0
28935 libfile-zglob-perl                 	       0        1        1        0        0
28936 libfileclasses1                    	       0        7        0        0        7
28937 libfilehandle-fmode-perl           	       0        1        0        0        1
28938 libfilesys-df-perl                 	       0       84        6        0       78
28939 libfilesys-diskspace-perl          	       0        2        2        0        0
28940 libfilesys-notify-simple-perl      	       0       17       17        0        0
28941 libfilesystem-ruby1.9.1            	       0        1        1        0        0
28942 libfileutils-ocaml-dev             	       0        1        1        0        0
28943 libfilezilla-common                	       0      221        0        0      221
28944 libfilezilla-dev                   	       0        3        0        0        3
28945 libfilezilla0                      	       0       21        0        0       21
28946 libfilezilla11                     	       0       60        1        0       59
28947 libfilezilla22                     	       0        2        0        0        2
28948 libfilezilla23                     	       0        1        0        0        1
28949 libfilezilla24                     	       0        2        0        0        2
28950 libfilezilla25                     	       0        1        0        0        1
28951 libfilezilla30                     	       0        1        0        0        1
28952 libfilezilla32                     	       0        1        0        0        1
28953 libfilezilla40                     	       0        3        0        0        3
28954 libfilezilla41                     	       0        5        0        0        5
28955 libfilezilla42                     	       0        1        0        0        1
28956 libfilezilla42t64                  	       0        1        0        0        1
28957 libfilezilla43t64                  	       0        1        0        0        1
28958 libfilezilla45                     	       0        6        0        0        6
28959 libfilezilla46                     	       0       11        0        0       11
28960 libfilter-perl                     	       0       15       14        1        0
28961 libfinance-quotehist-perl          	       0        1        1        0        0
28962 libfinance-yahooquote-perl         	       0        2        2        0        0
28963 libfindbin-libs-perl               	       0        4        3        1        0
28964 libfindbugs-annotations-java       	       0        3        0        0        3
28965 libfindbugs-ant-java               	       0        3        0        0        3
28966 libfindbugs-java                   	       0       51        0        0       51
28967 libfindlib-ocaml                   	       0       43       36        0        7
28968 libfindlib-ocaml-dev               	       0       38       38        0        0
28969 libfirefox-marionette-perl         	       0        1        1        0        0
28970 libfishsound1                      	       0       26        0        0       26
28971 libfishsound1-dev                  	       0        1        1        0        0
28972 libfits-java                       	       0        2        0        0        2
28973 libfiu0                            	       0        1        1        0        0
28974 libfixbuf-tools                    	       0        1        1        0        0
28975 libfixbuf9t64                      	       0        1        0        0        1
28976 libfixposix-dev                    	       0        6        6        0        0
28977 libfixposix3                       	       0        5        0        0        5
28978 libfixposix4t64                    	       0        1        0        0        1
28979 libfizmo-common                    	       0        5        0        0        5
28980 libfizmo-dev                       	       0        1        1        0        0
28981 libfko0                            	       0        1        0        0        1
28982 libfko3                            	       0        2        0        0        2
28983 libflac++-dev                      	       0       13       13        0        0
28984 libflac++11                        	       0        1        0        0        1
28985 libflac++5                         	       0        1        0        0        1
28986 libflac++6                         	       0        6        0        0        6
28987 libflac++6v5                       	       0      252        0        0      252
28988 libflac-doc                        	       0        2        0        0        2
28989 libflac-ocaml                      	       0        2        2        0        0
28990 libflac-ocaml-dev                  	       0        2        2        0        0
28991 libflac7                           	       0        3        0        0        3
28992 libflake-dev                       	       0        2        2        0        0
28993 libflam3-0                         	       0        2        0        0        2
28994 libflamingo-java                   	       0        3        0        0        3
28995 libflang-16-dev                    	       0        1        1        0        0
28996 libflang-17-dev                    	       0        1        1        0        0
28997 libflang-19-dev                    	       0        1        0        1        0
28998 libflann-dev                       	       0        1        1        0        0
28999 libflann1.9                        	       0        6        0        0        6
29000 libflash-swfplayer                 	       0        2        2        0        0
29001 libflash0c2                        	       0        2        0        0        2
29002 libflashrom-dev                    	       0        2        2        0        0
29003 libflashsupport                    	       0        1        0        0        1
29004 libflatpak-dev                     	       0        5        5        0        0
29005 libflatpak-doc                     	       0        1        0        0        1
29006 libflatzebra-0.1-2                 	       0        1        0        0        1
29007 libflatzebra-0.1-2v5               	       0       11        0        0       11
29008 libflatzebra-0.2                   	       0        1        0        0        1
29009 libflatzebra-dev                   	       0        1        1        0        0
29010 libflexdock-java                   	       0       15        0        0       15
29011 libflexmock-ruby1.9.1              	       0        2        0        0        2
29012 libflext-pd0                       	       0        4        0        0        4
29013 libflickcurl-dev                   	       0        1        1        0        0
29014 libflickcurl0                      	       0        8        0        0        8
29015 libflickr-api-perl                 	       0        1        1        0        0
29016 libflickr-upload-perl              	       0        1        1        0        0
29017 libflickrnet2.2-cil                	       0        1        1        0        0
29018 libflif0                           	       0        1        0        0        1
29019 libflightcrew0v5                   	       0        3        0        0        3
29020 libflint-2.5.2                     	       0        3        0        0        3
29021 libflint-2.6.3                     	       0        1        0        0        1
29022 libflint-2.8.5                     	       0        1        0        0        1
29023 libflint-arb-dev                   	       0       10       10        0        0
29024 libflint-arb2                      	       0       13        0        0       13
29025 libflint-dev                       	       0       11       11        0        0
29026 libflint17                         	       0       14        0        0       14
29027 libflorence-1.0-1                  	       0        7        0        0        7
29028 libflowcanvas5v5                   	       0        2        0        0        2
29029 libfltk-cairo1.3                   	       0       47        0        0       47
29030 libfltk-cairo1.3t64                	       0        4        0        0        4
29031 libfltk-forms1.3                   	       0       51        0        0       51
29032 libfltk-forms1.3t64                	       0        4        0        0        4
29033 libfltk-gl1.3                      	       0      138        0        0      138
29034 libfltk-gl1.3t64                   	       0        9        0        0        9
29035 libfltk-images1.3t64               	       0       24        0        0       24
29036 libfltk1.1                         	       0      220        1        0      219
29037 libfltk1.1-dev                     	       0        2        2        0        0
29038 libfltk1.3-compat-headers          	       0        5        5        0        0
29039 libfltk1.3-dev                     	       0       50       50        0        0
29040 libfltk1.3t64                      	       0       62        0        0       62
29041 libfluidsynth-dev                  	       0       46       45        1        0
29042 libfluidsynth1                     	       0      240        0        0      240
29043 libflute-java                      	       0      748        0        0      748
29044 libflute-java-doc                  	       0        1        0        0        1
29045 libflxmlrpc-dev                    	       0        3        3        0        0
29046 libflxmlrpc1                       	       0        8        0        0        8
29047 libfm-dbg                          	       0        1        1        0        0
29048 libfm-dev                          	       0        4        4        0        0
29049 libfm-doc                          	       0        2        0        0        2
29050 libfm-extra-dev                    	       0        4        4        0        0
29051 libfm-extra4t64                    	       0       30        4        0       26
29052 libfm-gtk-data                     	       0      338        0        0      338
29053 libfm-gtk-dbg                      	       0        1        1        0        0
29054 libfm-gtk-dev                      	       0        4        0        0        4
29055 libfm-gtk3-4                       	       0        2        0        0        2
29056 libfm-gtk3-4t64                    	       0       19        4        0       15
29057 libfm-modules-dbg                  	       0        1        1        0        0
29058 libfm-qt-dev                       	       0        1        1        0        0
29059 libfm-qt3                          	       0        2        0        0        2
29060 libfm-qt6                          	       0       11        0        0       11
29061 libfm-tools                        	       0       10       10        0        0
29062 libfm-tools-dbgsym                 	       0        1        1        0        0
29063 libfm3                             	       0        1        0        0        1
29064 libfm4t64                          	       0       20        4        0       16
29065 libfml0                            	       0        1        0        0        1
29066 libfmt-dev                         	       0       67       67        0        0
29067 libfmt-doc                         	       0        1        0        0        1
29068 libfmt-ocaml                       	       0        1        1        0        0
29069 libfmt-ocaml-dev                   	       0        1        1        0        0
29070 libfmt7                            	       0       62        4        0       58
29071 libfmt8                            	       0        5        0        0        5
29072 libfnlib0                          	       0        1        0        0        1
29073 libfolia14                         	       0        4        0        0        4
29074 libfolia9                          	       0        1        0        0        1
29075 libfolks-eds25                     	       0       22        0        0       22
29076 libfolks-eds26                     	       0      107        1        0      106
29077 libfolks-telepathy25               	       0        8        0        0        8
29078 libfolks-telepathy26               	       0        2        0        0        2
29079 libfolks25                         	       0       25        0        0       25
29080 libfollowupreminder4               	       0        2        1        0        1
29081 libfoma0                           	       0        3        0        0        3
29082 libfont-freetype-perl              	       0       28        0        0       28
29083 libfontawesomefx-java              	       0       61        0        0       61
29084 libfontbox-java                    	       0      411        0        0      411
29085 libfontbox-java-doc                	       0        3        0        0        3
29086 libfontbox2-java                   	       0      141        0        0      141
29087 libfontchooser-java                	       0        5        0        0        5
29088 libfontconfig-doc                  	       0        2        0        0        2
29089 libfontconfig1-dev                 	       0      452       60        0      392
29090 libfontembed-dev                   	       0        3        3        0        0
29091 libfontembed1                      	       0     2654        0        0     2654
29092 libfontembed1t64                   	       0      177        0        0      177
29093 libfontenc-dev                     	       0       85       84        1        0
29094 libfontforge1                      	       0        1        0        0        1
29095 libfontforge2                      	       0        8        0        0        8
29096 libfontforge4                      	       0      104        0        0      104
29097 libfontforge4-dbgsym               	       0        1        1        0        0
29098 libfonts-java                      	       0      746        0        0      746
29099 libfonts-java-doc                  	       0        6        0        0        6
29100 libfop-java                        	       0      102        0        0      102
29101 libforge-dev                       	       0        1        1        0        0
29102 libforge1                          	       0        1        0        0        1
29103 libforks-perl                      	       0        2        0        0        2
29104 libforms-bin                       	       0        3        3        0        0
29105 libforms-dev                       	       0       88       84        4        0
29106 libforms-doc                       	       0        2        0        0        2
29107 libforms2                          	       0       97        0        0       97
29108 libforms2t64                       	       0        6        0        0        6
29109 libformsgl-dev                     	       0        1        1        0        0
29110 libformsgl2                        	       0        1        0        0        1
29111 libformula-java                    	       0      746        0        0      746
29112 libformula-java-doc                	       0        6        0        0        6
29113 libfosfat0                         	       0        1        0        0        1
29114 libfosgra0                         	       0        1        0        0        1
29115 libfox-1.6-0                       	       0       82        4        0       78
29116 libfox-1.6-dev                     	       0        3        3        0        0
29117 libfox-1.6-doc                     	       0        1        0        0        1
29118 libfparser-dev                     	       0        1        0        0        1
29119 libfparser4                        	       0        7        0        0        7
29120 libfpath-ocaml                     	       0        1        1        0        0
29121 libfpath-ocaml-dev                 	       0        1        1        0        0
29122 libfpdf-tpl-php                    	       0        1        1        0        0
29123 libfpdi-php                        	       0        1        1        0        0
29124 libfpga0                           	       0        5        0        0        5
29125 libfplll-dev                       	       0        9        9        0        0
29126 libfplll5                          	       0        1        0        0        1
29127 libfplll8                          	       0       10        0        0       10
29128 libfplll8-data                     	       0       10        0        0       10
29129 libfprint-2-doc                    	       0        1        0        0        1
29130 libfprint-dev                      	       0        1        1        0        0
29131 libfprint0                         	       0        4        4        0        0
29132 libfpx1                            	       0        2        0        0        2
29133 libfpzip1                          	       0        1        0        0        1
29134 libfreebob0                        	       0        1        0        0        1
29135 libfreebsd-glue-0                  	       0        4        0        0        4
29136 libfreecad-python2-0.18            	       0        3        3        0        0
29137 libfreecad-python3                 	       0        6        6        0        0
29138 libfreecad-python3-0.19            	       0       23       23        0        0
29139 libfreecontact0v5                  	       0        1        0        0        1
29140 libfreefare-bin                    	       0        1        1        0        0
29141 libfreefare0                       	       0        1        0        0        1
29142 libfreefem++                       	       0        1        1        0        0
29143 libfreefem-dev                     	       0        2        0        0        2
29144 libfreefem0                        	       0        4        0        0        4
29145 libfreehand-0.0-0                  	       0        1        0        0        1
29146 libfreehdl0                        	       0        3        3        0        0
29147 libfreehdl0-dev                    	       0        3        3        0        0
29148 libfreehep-export-java             	       0       12        0        0       12
29149 libfreehep-graphics2d-java         	       0       76        0        0       76
29150 libfreehep-graphicsbase-java       	       0       35        0        0       35
29151 libfreehep-graphicsio-emf-java     	       0       41        0        0       41
29152 libfreehep-graphicsio-java         	       0       74        0        0       74
29153 libfreehep-graphicsio-pdf-java     	       0       32        0        0       32
29154 libfreehep-graphicsio-ps-java      	       0       30        0        0       30
29155 libfreehep-graphicsio-svg-java     	       0       67        0        0       67
29156 libfreehep-graphicsio-swf-java     	       0        1        0        0        1
29157 libfreehep-graphicsio-tests-java   	       0       11        0        0       11
29158 libfreehep-io-java                 	       0       76        0        0       76
29159 libfreehep-swing-java              	       0       39        0        0       39
29160 libfreehep-util-java               	       0       44        0        0       44
29161 libfreehep-xml-java                	       0       36        0        0       36
29162 libfreeimage-dev                   	       0       35       35        0        0
29163 libfreeimageplus-dev               	       0        1        1        0        0
29164 libfreeimageplus3                  	       0        2        0        0        2
29165 libfreeipmi16                      	       0       12        0        0       12
29166 libfreemarker-java                 	       0        9        0        0        9
29167 libfreenect-bin                    	       0        1        1        0        0
29168 libfreenect-demos                  	       0        1        0        0        1
29169 libfreenect-dev                    	       0        1        1        0        0
29170 libfreenect-doc                    	       0        1        0        0        1
29171 libfreenect0.5                     	       0        6        6        0        0
29172 libfreeradius-client2              	       0        6        0        0        6
29173 libfreeradius-dev                  	       0        1        1        0        0
29174 libfreerdp-cache1.1                	       0       56        0        0       56
29175 libfreerdp-client1.1               	       0       46        0        0       46
29176 libfreerdp-client2-2t64            	       0        9        0        0        9
29177 libfreerdp-codec1.1                	       0       57        0        0       57
29178 libfreerdp-common1.1.0             	       0       60        0        0       60
29179 libfreerdp-core1.1                 	       0       57        0        0       57
29180 libfreerdp-crypto1.1               	       0       58        0        0       58
29181 libfreerdp-dev                     	       0        2        2        0        0
29182 libfreerdp-gdi1.1                  	       0       55        0        0       55
29183 libfreerdp-locale1.1               	       0       57        0        0       57
29184 libfreerdp-plugins-standard        	       0       27        0        0       27
29185 libfreerdp-primitives1.1           	       0       57        0        0       57
29186 libfreerdp-rail1.1                 	       0       45        0        0       45
29187 libfreerdp-server-proxy3-3         	       0        1        0        0        1
29188 libfreerdp-server2-2t64            	       0        3        0        0        3
29189 libfreerdp-server3-3               	       0       22        0        0       22
29190 libfreerdp-shadow-subsystem3-3     	       0        1        0        0        1
29191 libfreerdp-shadow3-3               	       0        1        0        0        1
29192 libfreerdp-utils1.1                	       0       62        0        0       62
29193 libfreerdp0                        	       0        1        0        0        1
29194 libfreerdp2-2t64                   	       0       14        0        0       14
29195 libfreesrp0                        	       0       41        2        0       39
29196 libfreeswitch1                     	       0        1        0        0        1
29197 libfreetype6-dbgsym                	       0        1        1        0        0
29198 libfreexl-dev                      	       0       39       38        1        0
29199 libfrei0r-ocaml                    	       0        1        0        0        1
29200 libfrei0r-ocaml-dev                	       0        1        1        0        0
29201 libfribidi-bin                     	       0       26       25        1        0
29202 libfrobby-dev                      	       0        1        1        0        0
29203 libfrobby0                         	       0        1        0        0        1
29204 libfrog1                           	       0        1        0        0        1
29205 libfrog2                           	       0        3        0        0        3
29206 libfrontier-rpc-perl               	       0        3        3        0        0
29207 libfs-dev                          	       0       55       54        1        0
29208 libfs6                             	       0      195        0        0      195
29209 libfsapfs-utils                    	       0        1        1        0        0
29210 libfsapfs1                         	       0       16        0        0       16
29211 libfsext1                          	       0       14        0        0       14
29212 libfsharp-core4.3-cil              	       0        3        3        0        0
29213 libfsharp-core4.5-cil              	       0        5        5        0        0
29214 libfsharp-core5.0-cil              	       0        5        5        0        0
29215 libfsharp-data-typeproviders4.4-cil	       0        1        1        0        0
29216 libfshfs1                          	       0       14        0        0       14
29217 libfshfs1t64                       	       0        1        0        0        1
29218 libfskit                           	       0        1        1        0        0
29219 libfskit-fuse                      	       0        1        1        0        0
29220 libfsntfs-dbg                      	       0        1        1        0        0
29221 libfsntfs-dev                      	       0        3        3        0        0
29222 libfsntfs1                         	       0       35        0        0       35
29223 libfsntfs1t64                      	       0        1        0        0        1
29224 libfso-glib2                       	       0        2        1        0        1
29225 libfsobasics3                      	       0        2        1        0        1
29226 libfsoframework3                   	       0        2        1        0        1
29227 libfsoresource3                    	       0        2        0        0        2
29228 libfsplib0                         	       0      140        0        0      140
29229 libfsplib0t64                      	       0       10        1        0        9
29230 libfssm-ruby1.9.1                  	       0        1        0        0        1
29231 libfst22                           	       0        3        0        0        3
29232 libfstrcmp-dev                     	       0        1        1        0        0
29233 libfstrm-dev                       	       0        3        3        0        0
29234 libfsverity-dev                    	       0        3        3        0        0
29235 libfsverity0                       	       0      176        0        0      176
29236 libfswatch11                       	       0        1        0        0        1
29237 libfsxfs-dev                       	       0        1        1        0        0
29238 libfsxfs-utils                     	       0        1        1        0        0
29239 libfsxfs1                          	       0       16        0        0       16
29240 libftdi-dev                        	       0       27       27        0        0
29241 libftdi1-dev                       	       0       15       15        0        0
29242 libftdi1-doc                       	       0       13        0        0       13
29243 libftdipp1-3                       	       0        6        0        0        6
29244 libftdipp1-dev                     	       0        1        0        0        1
29245 libftgl-dev                        	       0       13       13        0        0
29246 libftgl2                           	       0       91        1        0       90
29247 libftl0                            	       0        6        0        0        6
29248 libftp-dev                         	       0        1        1        0        0
29249 libftp4                            	       0      994        0        0      994
29250 libfunction-parameters-perl        	       0        1        0        0        1
29251 libfungw1                          	       0       13        0        0       13
29252 libfuntools1                       	       0       12        0        0       12
29253 libfuntools1t64                    	       0        2        0        0        2
29254 libfuse-dev                        	       0       54       54        0        0
29255 libfuse-perl                       	       0       19        0        0       19
29256 libfuse3-dev                       	       0       16       16        0        0
29257 libfusioninventory-agent-task-deploy-perl	       0        1        0        0        1
29258 libfusioninventory-agent-task-esx-perl	       0        1        0        0        1
29259 libfusioninventory-agent-task-netinventory-perl	       0        1        0        0        1
29260 libfusioninventory-agent-task-network-perl	       0        1        0        0        1
29261 libfusioninventory-agent-task-snmpquery-perl	       0        1        0        0        1
29262 libfuture-asyncawait-perl          	       0      112        0        0      112
29263 libfuture-io-perl                  	       0        1        1        0        0
29264 libfuture-xs-perl                  	       0        8        0        0        8
29265 libfuturesql6-0                    	       0        9        0        0        9
29266 libfuzzer-19-dev                   	       0        1        1        0        0
29267 libfuzzylite6.0                    	       0        3        0        0        3
29268 libfvde1                           	       0       15        0        0       15
29269 libfwnt1                           	       0       15        0        0       15
29270 libfwsi1                           	       0       15        0        0       15
29271 libfwup1                           	       0        2        0        0        2
29272 libfwupd-dev                       	       0        1        1        0        0
29273 libfwupd1                          	       0        5        0        0        5
29274 libfyba-dev                        	       0       35       34        1        0
29275 libfyba0t64                        	       0       59        0        0       59
29276 libg15-dev                         	       0        2        2        0        0
29277 libg15daemon-client-dev            	       0        2        2        0        0
29278 libg15daemon-client1               	       0        9        0        0        9
29279 libg15render-dev                   	       0        2        2        0        0
29280 libg20                             	       0        2        0        0        2
29281 libg2c-dev                         	       0        2        2        0        0
29282 libg2c0d                           	       0        7        0        0        7
29283 libg3d-doc                         	       0        1        0        0        1
29284 libg3d-plugin-gdkpixbuf            	       0        3        0        0        3
29285 libg3d-plugins                     	       0        9        0        0        9
29286 libg3d0                            	       0       10        0        0       10
29287 libg810-led0                       	       0        5        0        0        5
29288 libga-dev                          	       0        2        2        0        0
29289 libga2                             	       0        2        0        0        2
29290 libgadap-dev                       	       0        1        1        0        0
29291 libgadu-dev                        	       0        3        3        0        0
29292 libgadu3t64                        	       0       31        3        0       28
29293 libgail-3-dev                      	       0        2        2        0        0
29294 libgail-3-doc                      	       0        1        0        0        1
29295 libgail-dev                        	       0        7        7        0        0
29296 libgail-doc                        	       0        1        0        0        1
29297 libgail17                          	       0        1        0        0        1
29298 libgalago3                         	       0        2        0        0        2
29299 libgama2                           	       0        1        0        0        1
29300 libgambit4                         	       0        2        0        0        2
29301 libgambit4-dev                     	       0        4        4        0        0
29302 libgambit4t64                      	       0        2        0        0        2
29303 libgamin-dev                       	       0        5        5        0        0
29304 libgaminggear-common               	       0        1        1        0        0
29305 libgaminggear0                     	       0        1        1        0        0
29306 libgammu-i18n                      	       0       13        0        0       13
29307 libgammu0                          	       0        1        0        0        1
29308 libgammu7                          	       0        1        0        0        1
29309 libgammu8                          	       0       11        0        0       11
29310 libgammu8t64                       	       0        2        0        0        2
29311 libganv-1-1v5                      	       0       11        0        0       11
29312 libganv-dev                        	       0        1        1        0        0
29313 libganymed-ssh2-java               	       0        3        0        0        3
29314 libgap-dev                         	       0       11       11        0        0
29315 libgap8                            	       0       10        0        0       10
29316 libgap9                            	       0        1        0        0        1
29317 libgarcon-1-0-dbgsym               	       0        1        1        0        0
29318 libgarcon-1-0-dev                  	       0        5        0        0        5
29319 libgarcon-1-dev                    	       0        9        9        0        0
29320 libgarcon-common                   	       0     1823        0        0     1823
29321 libgarcon-gtk3-1-0-dbgsym          	       0        1        1        0        0
29322 libgarcon-gtk3-1-dev               	       0        5        5        0        0
29323 libgarmin0                         	       0       11        0        0       11
29324 libgatbcore3                       	       0        2        0        0        2
29325 libgatk-native-bindings-java       	       0        5        0        0        5
29326 libgatos0                          	       0        1        0        0        1
29327 libgauche-0.97-0                   	       0        1        0        0        1
29328 libgav1-bin                        	       0        2        2        0        0
29329 libgav1-dev                        	       0        1        1        0        0
29330 libgaviotatb1                      	       0       13        0        0       13
29331 libgavl-dev                        	       0        1        1        0        0
29332 libgavl-doc                        	       0        1        0        0        1
29333 libgavl1                           	       0       81        0        0       81
29334 libgavl2                           	       0      193        0        0      193
29335 libgbm-amdgpu-dev                  	       0        1        1        0        0
29336 libgbtools0                        	       0        2        0        0        2
29337 libgc-dev                          	       0       60       59        1        0
29338 libgc1c2                           	       0      354        0        0      354
29339 libgcc-10-dev                      	       0      990        0        0      990
29340 libgcc-10-dev-alpha-cross          	       0        1        0        0        1
29341 libgcc-10-dev-arm64-cross          	       0        8        0        0        8
29342 libgcc-10-dev-armel-cross          	       0        6        0        0        6
29343 libgcc-10-dev-armhf-cross          	       0        8        0        0        8
29344 libgcc-10-dev-hppa-cross           	       0        1        0        0        1
29345 libgcc-10-dev-i386-cross           	       0        3        0        0        3
29346 libgcc-10-dev-mips-cross           	       0        1        0        0        1
29347 libgcc-10-dev-mipsel-cross         	       0        1        0        0        1
29348 libgcc-10-dev-powerpc-cross        	       0        1        0        0        1
29349 libgcc-10-dev-ppc64-cross          	       0        2        0        0        2
29350 libgcc-10-dev-riscv64-cross        	       0        3        0        0        3
29351 libgcc-10-dev-s390x-cross          	       0        1        0        0        1
29352 libgcc-10-dev-sparc64-cross        	       0        1        0        0        1
29353 libgcc-11-dev                      	       0       97        0        0       97
29354 libgcc-11-dev-armel-cross          	       0        1        0        0        1
29355 libgcc-11-dev-armhf-cross          	       0        1        0        0        1
29356 libgcc-11-dev-i386-cross           	       0        1        0        0        1
29357 libgcc-12-dev                      	       0     1831        0        0     1831
29358 libgcc-12-dev-alpha-cross          	       0        1        0        0        1
29359 libgcc-12-dev-arm64-cross          	       0       19        0        0       19
29360 libgcc-12-dev-armel-cross          	       0       12        0        0       12
29361 libgcc-12-dev-armhf-cross          	       0       13        0        0       13
29362 libgcc-12-dev-hppa-cross           	       0        1        0        0        1
29363 libgcc-12-dev-i386-cross           	       0        5        0        0        5
29364 libgcc-12-dev-m68k-cross           	       0        1        0        0        1
29365 libgcc-12-dev-mips-cross           	       0        4        0        0        4
29366 libgcc-12-dev-mips64-cross         	       0        1        0        0        1
29367 libgcc-12-dev-mips64r6el-cross     	       0        1        0        0        1
29368 libgcc-12-dev-mipsel-cross         	       0        3        0        0        3
29369 libgcc-12-dev-powerpc-cross        	       0        2        0        0        2
29370 libgcc-12-dev-ppc64-cross          	       0        1        0        0        1
29371 libgcc-12-dev-riscv64-cross        	       0        6        0        0        6
29372 libgcc-12-dev-s390x-cross          	       0        2        0        0        2
29373 libgcc-12-dev-sparc64-cross        	       0        1        0        0        1
29374 libgcc-12-dev-x32-cross            	       0        4        0        0        4
29375 libgcc-13-dev                      	       0      157        0        0      157
29376 libgcc-13-dev-arm64-cross          	       0        2        0        0        2
29377 libgcc-13-dev-armhf-cross          	       0        1        0        0        1
29378 libgcc-13-dev-ppc64el-cross        	       0        1        0        0        1
29379 libgcc-14-dev                      	       0      199        0        0      199
29380 libgcc-14-dev-alpha-cross          	       0        1        0        0        1
29381 libgcc-14-dev-arm64-cross          	       0        6        0        0        6
29382 libgcc-14-dev-armel-cross          	       0        2        0        0        2
29383 libgcc-14-dev-armhf-cross          	       0        5        0        0        5
29384 libgcc-14-dev-hppa-cross           	       0        1        0        0        1
29385 libgcc-14-dev-i386-cross           	       0        2        0        0        2
29386 libgcc-14-dev-powerpc-cross        	       0        2        0        0        2
29387 libgcc-14-dev-ppc64-cross          	       0        3        0        0        3
29388 libgcc-14-dev-ppc64el-cross        	       0        1        0        0        1
29389 libgcc-14-dev-riscv64-cross        	       0        2        0        0        2
29390 libgcc-14-dev-s390x-cross          	       0        1        0        0        1
29391 libgcc-14-dev-sparc64-cross        	       0        1        0        0        1
29392 libgcc-15-dev                      	       0        2        0        0        2
29393 libgcc-4.7-dev                     	       0        7        0        0        7
29394 libgcc-4.8-dev                     	       0       64        0        0       64
29395 libgcc-4.9-dev                     	       0      146        0        0      146
29396 libgcc-5-dev                       	       0        7        0        0        7
29397 libgcc-6-dev                       	       0      382        0        0      382
29398 libgcc-6-dev-arm64-cross           	       0        2        0        0        2
29399 libgcc-6-dev-armhf-cross           	       0        1        0        0        1
29400 libgcc-7-dev                       	       0        7        0        0        7
29401 libgcc-8-dev                       	       0      172        0        0      172
29402 libgcc-8-dev-arm64-cross           	       0        7        0        0        7
29403 libgcc-8-dev-armel-cross           	       0        3        0        0        3
29404 libgcc-8-dev-armhf-cross           	       0        5        0        0        5
29405 libgcc-8-dev-i386-cross            	       0        4        0        0        4
29406 libgcc-8-dev-mips-cross            	       0        1        0        0        1
29407 libgcc-8-dev-mips64el-cross        	       0        1        0        0        1
29408 libgcc-8-dev-mipsel-cross          	       0        1        0        0        1
29409 libgcc-8-dev-x32-cross             	       0        2        0        0        2
29410 libgcc-9-dev                       	       0       36        0        0       36
29411 libgcc-9-dev-arm64-cross           	       0        1        0        0        1
29412 libgcc-9-dev-armel-cross           	       0        1        0        0        1
29413 libgcc-9-dev-armhf-cross           	       0        2        0        0        2
29414 libgcc-s1-alpha-cross              	       0        3        0        0        3
29415 libgcc-s1-arm64-cross              	       0       34        0        0       34
29416 libgcc-s1-armel-cross              	       0       21        0        0       21
29417 libgcc-s1-armhf-cross              	       0       29        0        0       29
29418 libgcc-s1-i386-cross               	       0       14        0        0       14
29419 libgcc-s1-mips-cross               	       0        4        0        0        4
29420 libgcc-s1-mips64-cross             	       0        1        0        0        1
29421 libgcc-s1-mips64r6el-cross         	       0        1        0        0        1
29422 libgcc-s1-mipsel-cross             	       0        4        0        0        4
29423 libgcc-s1-powerpc-cross            	       0        5        0        0        5
29424 libgcc-s1-ppc64-cross              	       0        6        0        0        6
29425 libgcc-s1-ppc64el-cross            	       0        1        0        0        1
29426 libgcc-s1-riscv64-cross            	       0       10        0        0       10
29427 libgcc-s1-s390x-cross              	       0        4        0        0        4
29428 libgcc-s1-sparc64-cross            	       0        3        0        0        3
29429 libgcc-s1-x32-cross                	       0        5        0        0        5
29430 libgcc-s2-m68k-cross               	       0        1        0        0        1
29431 libgcc-s4-hppa-cross               	       0        3        0        0        3
29432 libgcc1                            	       0     1128        2        0     1126
29433 libgcc1-arm64-cross                	       0        5        0        0        5
29434 libgcc1-armel-cross                	       0        3        0        0        3
29435 libgcc1-armhf-cross                	       0        3        0        0        3
29436 libgcc1-dbg                        	       0        9        9        0        0
29437 libgcc1-i386-cross                 	       0        2        0        0        2
29438 libgcc1-mips-cross                 	       0        1        0        0        1
29439 libgcc1-mips64el-cross             	       0        1        0        0        1
29440 libgcc1-mipsel-cross               	       0        1        0        0        1
29441 libgcc1-x32-cross                  	       0        1        0        0        1
29442 libgccjit-10-dev                   	       0        2        0        0        2
29443 libgccjit-11-dev                   	       0        4        0        0        4
29444 libgccjit-11-doc                   	       0        1        0        0        1
29445 libgccjit-12-dev                   	       0       16        0        0       16
29446 libgccjit-13-doc                   	       0        1        0        0        1
29447 libgccjit-9-dev                    	       0        1        0        0        1
29448 libgcj-bc                          	       0       54        0        0       54
29449 libgcj-doc                         	       0        1        0        0        1
29450 libgcj10                           	       0        1        0        0        1
29451 libgcj10-awt                       	       0        1        0        0        1
29452 libgcj12                           	       0        4        0        0        4
29453 libgcj13                           	       0        4        0        0        4
29454 libgcj13-awt                       	       0        2        0        0        2
29455 libgcj14                           	       0        1        0        0        1
29456 libgcj15                           	       0        9        0        0        9
29457 libgcj15-awt                       	       0        3        0        0        3
29458 libgcj15-dbg                       	       0        1        0        0        1
29459 libgcj15-dev                       	       0        1        1        0        0
29460 libgcj17                           	       0       60        0        0       60
29461 libgcj17-awt                       	       0        6        0        0        6
29462 libgcj17-dev                       	       0        2        2        0        0
29463 libgcj6                            	       0        1        0        0        1
29464 libgcj7-1                          	       0        1        0        0        1
29465 libgcj7-1-awt                      	       0        1        0        0        1
29466 libgcj7-jar                        	       0        1        0        0        1
29467 libgcj8-1                          	       0        1        0        0        1
29468 libgcj8-1-awt                      	       0        1        0        0        1
29469 libgcj8-jar                        	       0        1        0        0        1
29470 libgcj9-0                          	       0        1        0        0        1
29471 libgcj9-0-awt                      	       0        1        0        0        1
29472 libgcj9-jar                        	       0        1        0        0        1
29473 libgck-1-dev                       	       0       12       12        0        0
29474 libgck-1-doc                       	       0        1        0        0        1
29475 libgck-2-dev                       	       0        1        1        0        0
29476 libgck-2-doc                       	       0        1        0        0        1
29477 libgclib2                          	       0        1        0        0        1
29478 libgclib3                          	       0        2        0        0        2
29479 libgcobol-15-dev                   	       0        1        0        0        1
29480 libgcobol4                         	       0        1        0        0        1
29481 libgconf2-4                        	       0       10        0        0       10
29482 libgconf2-doc                      	       0       18        0        0       18
29483 libgconf2.0-cil                    	       0       17        0        0       17
29484 libgconfmm-2.6-1c2                 	       0        1        0        0        1
29485 libgconfmm-2.6-1v5                 	       0        4        0        0        4
29486 libgcr-3-1                         	       0        1        0        0        1
29487 libgcr-3-common                    	       0      113        0        0      113
29488 libgcr-3-dev                       	       0       12       12        0        0
29489 libgcr-3-doc                       	       0        1        0        0        1
29490 libgcr0                            	       0        3        0        0        3
29491 libgcr410                          	       0        1        1        0        0
29492 libgcroots-dev                     	       0        1        1        0        0
29493 libgcrypt-bin                      	       0        1        1        0        0
29494 libgcrypt-mingw-w64-dev            	       0        2        2        0        0
29495 libgcrypt11                        	       0       83        0        0       83
29496 libgcrypt11-dev                    	       0       17        0        0       17
29497 libgcrypt20-doc                    	       0        6        0        0        6
29498 libgctp-2.0.0                      	       0        3        0        0        3
29499 libgctp-dev                        	       0        2        2        0        0
29500 libgctp0d                          	       0        1        0        0        1
29501 libgcu0v5                          	       0        7        7        0        0
29502 libgd-dev                          	       0      128      124        4        0
29503 libgd-graph-perl                   	       0       23       22        1        0
29504 libgd-graph3d-perl                 	       0       10        9        1        0
29505 libgd-securityimage-perl           	       0        1        1        0        0
29506 libgd-svg-perl                     	       0        1        1        0        0
29507 libgd-text-perl                    	       0       23       23        0        0
29508 libgd-tools                        	       0       11       11        0        0
29509 libgd1-noxpm                       	       0        1        0        0        1
29510 libgd2-noxpm                       	       0        2        0        0        2
29511 libgd2-xpm                         	       0       18        0        0       18
29512 libgd2-xpm-dev                     	       0        1        0        0        1
29513 libgda-5.0-4t64                    	       0        1        0        0        1
29514 libgda-5.0-bin                     	       0        1        1        0        0
29515 libgda-5.0-doc                     	       0        1        0        0        1
29516 libgda-5.0-mysql                   	       0        1        0        0        1
29517 libgda-5.0-postgres                	       0        2        0        0        2
29518 libgda2-3                          	       0        2        2        0        0
29519 libgda2-bin                        	       0        1        1        0        0
29520 libgda2-common                     	       0        2        0        0        2
29521 libgda2-dev                        	       0        1        1        0        0
29522 libgda2-doc                        	       0        1        0        0        1
29523 libgda3-3                          	       0        2        0        0        2
29524 libgda3-bin                        	       0        2        2        0        0
29525 libgda3-common                     	       0        2        0        0        2
29526 libgdal-dev                        	       0       36       35        1        0
29527 libgdal-doc                        	       0        3        0        0        3
29528 libgdal-grass                      	       0       11        2        0        9
29529 libgdal1h                          	       0        1        0        0        1
29530 libgdal20                          	       0       64        0        0       64
29531 libgdal26                          	       0        1        0        0        1
29532 libgdal27                          	       0        2        0        0        2
29533 libgdal28                          	       0      125        2        0      123
29534 libgdal29                          	       0        1        0        0        1
29535 libgdal30                          	       0        6        0        0        6
29536 libgdal31                          	       0        3        0        0        3
29537 libgdal33                          	       0       10        1        0        9
29538 libgdal34                          	       0        8        0        0        8
29539 libgdal34t64                       	       0        3        0        0        3
29540 libgdal35                          	       0       39        0        0       39
29541 libgdal36                          	       0       20        0        0       20
29542 libgdamm-5.0-13                    	       0        1        0        0        1
29543 libgdata-common                    	       0     1669        0        0     1669
29544 libgdata-dev                       	       0        5        5        0        0
29545 libgdata-doc                       	       0        1        0        0        1
29546 libgdata-google1.2-1               	       0        3        0        0        3
29547 libgdata1.2-1                      	       0        3        0        0        3
29548 libgdata1.4-cil                    	       0        1        1        0        0
29549 libgdata1.8-cil                    	       0        1        1        0        0
29550 libgdata13                         	       0        7        0        0        7
29551 libgdata19                         	       0        8        0        0        8
29552 libgdata2.1-cil                    	       0        7        7        0        0
29553 libgdata7                          	       0        1        0        0        1
29554 libgdbm-compat-dev                 	       0       11       11        0        0
29555 libgdbm-compat4                    	       0     3647        0        0     3647
29556 libgdbm-compat4t64                 	       0      282        0        0      282
29557 libgdbm3                           	       0      734        0        0      734
29558 libgdbm5                           	       0        1        0        0        1
29559 libgdbussyncevo0                   	       0        2        0        0        2
29560 libgdchart-gd2-noxpm               	       0        2        0        0        2
29561 libgdcm-dev                        	       0       46       46        0        0
29562 libgdcm-tools                      	       0        3        3        0        0
29563 libgdcm2.6                         	       0        1        0        0        1
29564 libgdcm2.8                         	       0       38        0        0       38
29565 libgdcm3.0                         	       0      411        3        0      408
29566 libgdcm3.0t64                      	       0       44        0        0       44
29567 libgdf0                            	       0        2        0        0        2
29568 libgdict-1.0-10                    	       0        6        0        0        6
29569 libgdict-1.0-6                     	       0        6        0        0        6
29570 libgdict-common                    	       0       10        0        0       10
29571 libgdk-pixbuf2                     	       0        1        1        0        0
29572 libgdk-pixbuf2-ruby                	       0        1        0        0        1
29573 libgdk-pixbuf2-ruby1.8             	       0        2        1        0        1
29574 libgdk-pixbuf2.0-0                 	       0     1582        2        0     1580
29575 libgdk-pixbuf2.0-dev               	       0      158       39        0      119
29576 libgdk-pixbuf2.0-doc               	       0        7        0        0        7
29577 libgdk3.0-cil                      	       0       62        0        0       62
29578 libgdk3.0-cil-dev                  	       0       16       16        0        0
29579 libgdl-1-common                    	       0        1        0        0        1
29580 libgdl-3-5                         	       0      156        1        0      155
29581 libgdl-3-common                    	       0      157        0        0      157
29582 libgdl-3-dev                       	       0        1        1        0        0
29583 libgdm-dev                         	       0        3        3        0        0
29584 libgdome2-cpp-smart0c2a            	       0        1        0        0        1
29585 libgdome2-cpp-smart0v5             	       0        9        0        0        9
29586 libgdraw4                          	       0        1        0        0        1
29587 libgdraw5                          	       0        7        0        0        7
29588 libgdsii0                          	       0        6        0        0        6
29589 libgdu-gtk0                        	       0        4        0        0        4
29590 libgdu0                            	       0        6        0        0        6
29591 libgearman-client-async-perl       	       0        1        1        0        0
29592 libgearman-client-perl             	       0        1        1        0        0
29593 libgearman8                        	       0        1        0        0        1
29594 libgecode41v5                      	       0        1        0        0        1
29595 libgecode49                        	       0        1        0        0        1
29596 libgecode49t64                     	       0        1        0        0        1
29597 libgecodeflatzinc41v5              	       0        1        0        0        1
29598 libgecodeflatzinc49                	       0        1        0        0        1
29599 libgecodeflatzinc49t64             	       0        1        0        0        1
29600 libgecodegist41v5                  	       0        1        0        0        1
29601 libgecodegist49                    	       0        1        0        0        1
29602 libgecodegist49t64                 	       0        1        0        0        1
29603 libgeda-common                     	       0       13        0        0       13
29604 libgeda-dev                        	       0        1        1        0        0
29605 libgeda42                          	       0       12        0        0       12
29606 libgedit-amtk-5-0                  	       0       31        0        0       31
29607 libgedit-amtk-5-common             	       0       31        0        0       31
29608 libgedit-gfls-1-0                  	       0        1        0        0        1
29609 libgedit-gfls-common               	       0        1        0        0        1
29610 libgedit-gtksourceview-300-0       	       0       30        0        0       30
29611 libgedit-gtksourceview-300-3       	       0        1        0        0        1
29612 libgedit-gtksourceview-300-common  	       0       31        0        0       31
29613 libgedit-tepl-6-2                  	       0        1        0        0        1
29614 libgee-0.8-dev                     	       0        8        8        0        0
29615 libgee2                            	       0        9        0        0        9
29616 libgegl-0.0-0                      	       0        1        1        0        0
29617 libgegl-0.0-doc                    	       0        1        0        0        1
29618 libgegl-0.2-0                      	       0       20        0        0       20
29619 libgegl-0.3-0                      	       0       75        0        0       75
29620 libgegl-dev                        	       0       22       22        0        0
29621 libgegl-doc                        	       0        1        0        0        1
29622 libgeier0                          	       0        1        1        0        0
29623 libgemrb                           	       0        1        1        0        0
29624 libgen-ocaml                       	       0        1        1        0        0
29625 libgen-ocaml-dev                   	       0        1        1        0        0
29626 libgenders0                        	       0        4        0        0        4
29627 libgensio4                         	       0        3        2        0        1
29628 libgeo-calc-perl                   	       0        1        1        0        0
29629 libgeo-constants-perl              	       0        1        1        0        0
29630 libgeo-coordinates-transform-perl  	       0        2        2        0        0
29631 libgeo-distance-perl               	       0        3        3        0        0
29632 libgeo-ellipsoids-perl             	       0        1        1        0        0
29633 libgeo-functions-perl              	       0        1        1        0        0
29634 libgeo-google-mapobject-perl       	       0        1        1        0        0
29635 libgeo-googleearth-pluggable-perl  	       0        3        3        0        0
29636 libgeo-gpx-perl                    	       0        2        2        0        0
29637 libgeo-inverse-perl                	       0        1        1        0        0
29638 libgeo-ip-perl                     	       0        9        1        0        8
29639 libgeo-ipfree-perl                 	       0        3        3        0        0
29640 libgeo-shapelib-perl               	       0        1        0        0        1
29641 libgeoclue-2-dev                   	       0        2        2        0        0
29642 libgeoclue-doc                     	       0        1        0        0        1
29643 libgeoclue0                        	       0       15        0        0       15
29644 libgeocode-glib-dev                	       0        2        2        0        0
29645 libgeocoding8                      	       0        2        0        0        2
29646 libgeographic-dev                  	       0        2        2        0        0
29647 libgeographic19                    	       0        2        0        0        2
29648 libgeographiclib23                 	       0        3        0        0        3
29649 libgeographiclib26                 	       0        1        0        0        1
29650 libgeography-countries-perl        	       0        1        1        0        0
29651 libgeoip-dev                       	       0       14       14        0        0
29652 libgeoip1t64                       	       0       83        4        0       79
29653 libgeoip2-perl                     	       0        4        4        0        0
29654 libgeometric-shapes-dev            	       0        1        1        0        0
29655 libgeometric-shapes5d              	       0        1        0        0        1
29656 libgeometry-msgs-dev               	       0        3        3        0        0
29657 libgeomview-1.9.4                  	       0        1        0        0        1
29658 libgeomview-1.9.5                  	       0       18        0        0       18
29659 libgeomview-1.9.5t64               	       0        1        0        0        1
29660 libgeomview-dev                    	       0        1        1        0        0
29661 libgeos++-dev                      	       0        7        7        0        0
29662 libgeos-3.2.0                      	       0        1        0        0        1
29663 libgeos-3.3.3                      	       0        2        0        0        2
29664 libgeos-3.4.2                      	       0        4        0        0        4
29665 libgeos-3.5.1                      	       0       12        0        0       12
29666 libgeos-3.7.1                      	       0       43        0        0       43
29667 libgeos-3.9.0                      	       0      128        2        0      126
29668 libgeos-3.9.1                      	       0        1        0        0        1
29669 libgeos-c1                         	       0        1        0        0        1
29670 libgeos-c1t64                      	       0       64        0        0       64
29671 libgeos-dev                        	       0       46       45        1        0
29672 libgeos-doc                        	       0        2        0        0        2
29673 libgeos3.10.1                      	       0        2        0        0        2
29674 libgeos3.10.2                      	       0        3        0        0        3
29675 libgeos3.11.0                      	       0        2        0        0        2
29676 libgeos3.12.0                      	       0        6        0        0        6
29677 libgeos3.12.1                      	       0        5        0        0        5
29678 libgeos3.12.1t64                   	       0        3        0        0        3
29679 libgeos3.12.2                      	       0       14        0        0       14
29680 libgeos3.13.0                      	       0       51        0        0       51
29681 libgeotiff-dev                     	       0       39       38        1        0
29682 libgeotiff-epsg                    	       0        2        0        0        2
29683 libgeotiff2                        	       0       69        0        0       69
29684 libgeotranz3.7                     	       0        4        0        0        4
29685 libgepub-0.6-0                     	       0        7        1        0        6
29686 libgepub-0.7-0                     	       0       28        0        0       28
29687 libgepub0                          	       0        5        0        0        5
29688 libgeronimo-annotation-1.3-spec-java	       0      472        0        0      472
29689 libgeronimo-ejb-3.0-spec-java      	       0        1        0        0        1
29690 libgeronimo-ejb-3.2-spec-java      	       0        7        0        0        7
29691 libgeronimo-interceptor-3.0-spec-java	       0      472        0        0      472
29692 libgeronimo-j2ee-connector-1.5-spec-java	       0        7        0        0        7
29693 libgeronimo-jacc-1.1-spec-java     	       0        1        0        0        1
29694 libgeronimo-jms-1.1-spec-java      	       0       16        0        0       16
29695 libgeronimo-jpa-2.0-spec-java      	       0        5        0        0        5
29696 libgeronimo-jta-1.1-spec-java      	       0        5        0        0        5
29697 libgeronimo-jta-1.2-spec-java      	       0        6        0        0        6
29698 libgeronimo-osgi-support-java      	       0        8        0        0        8
29699 libgeronimo-osgi-support-java-doc  	       0        1        0        0        1
29700 libgeronimo-stax-1.2-spec-java     	       0        1        0        0        1
29701 libgeronimo-validation-1.0-spec-java	       0       69        0        0       69
29702 libgeronimo-validation-1.0-spec-java-doc	       0        1        0        0        1
29703 libgeronimo-validation-1.1-spec-java	       0       54        0        0       54
29704 libgetdata++7                      	       0        3        0        0        3
29705 libgetdata-dev                     	       0        1        1        0        0
29706 libgetdata-perl                    	       0        1        0        0        1
29707 libgetdata-tools                   	       0        1        1        0        0
29708 libgetdata8                        	       0        3        0        0        3
29709 libgetdns1                         	       0        1        0        0        1
29710 libgetdns10                        	       0        5        0        0        5
29711 libgetdns10t64                     	       0        1        0        0        1
29712 libgetopt-argvfile-perl            	       0       25       25        0        0
29713 libgetopt-euclid-perl              	       0        6        6        0        0
29714 libgetopt-java                     	       0       11        0        0       11
29715 libgetopt-mixed-perl               	       0        3        3        0        0
29716 libgetopt-simple-perl              	       0       10       10        0        0
29717 libgetopt-tabular-perl             	       0        6        6        0        0
29718 libgettext-activerecord-ruby1.9.1  	       0        1        0        0        1
29719 libgettext-commons-java            	       0       74        0        0       74
29720 libgettext-maven-plugin-java       	       0        1        0        0        1
29721 libgettext-ocaml                   	       0        2        2        0        0
29722 libgettext-ocaml-dev               	       0        1        1        0        0
29723 libgettext-ruby1.8                 	       0        1        0        0        1
29724 libgettext-ruby1.9.1               	       0        1        0        0        1
29725 libgettextpo0                      	       0      234        0        0      234
29726 libgexiv2-0                        	       0        1        0        0        1
29727 libgexiv2-1                        	       0        4        0        0        4
29728 libgexiv2-dev                      	       0        5        5        0        0
29729 libgf-complete1                    	       0        1        0        0        1
29730 libgf2x-dev                        	       0        9        9        0        0
29731 libgf2x1                           	       0        4        0        0        4
29732 libgf2x3                           	       0       25        0        0       25
29733 libgfapi0                          	       0      701        4        0      697
29734 libgfbgraph-0.2-0                  	       0       44        0        0       44
29735 libgfchangelog0                    	       0       12        0        0       12
29736 libgfdb0                           	       0        1        0        0        1
29737 libgflags-dev                      	       0       20       20        0        0
29738 libgflags2.2                       	       0       43        2        0       41
29739 libgfortran-10-dev                 	       0      173        0        0      173
29740 libgfortran-11-dev                 	       0       14        0        0       14
29741 libgfortran-12-dev                 	       0      251        0        0      251
29742 libgfortran-12-dev-x32-cross       	       0        1        0        0        1
29743 libgfortran-13-dev                 	       0       25        0        0       25
29744 libgfortran-14-dev                 	       0       30        0        0       30
29745 libgfortran-15-dev                 	       0        1        0        0        1
29746 libgfortran-4.7-dev                	       0        1        0        0        1
29747 libgfortran-4.8-dev                	       0        1        0        0        1
29748 libgfortran-4.9-dev                	       0       10        0        0       10
29749 libgfortran-5-dev                  	       0        1        0        0        1
29750 libgfortran-6-dev                  	       0       32        0        0       32
29751 libgfortran-8-dev                  	       0       33        0        0       33
29752 libgfortran-9-dev                  	       0        6        0        0        6
29753 libgfortran3                       	       0      190        0        0      190
29754 libgfortran3-dbg                   	       0        6        5        0        1
29755 libgfortran4                       	       0        4        0        0        4
29756 libgfortran5-dbg                   	       0        1        1        0        0
29757 libgfortran5-dbgsym                	       0        1        1        0        0
29758 libgfortran5-x32-cross             	       0        1        0        0        1
29759 libgfrpc0                          	       0      701        4        0      697
29760 libgfs-1.3-2                       	       0        2        0        0        2
29761 libgfsgl0                          	       0        1        0        0        1
29762 libgfshare2                        	       0        2        0        0        2
29763 libgfxdr0                          	       0      701        4        0      697
29764 libgg2                             	       0        1        0        0        1
29765 libggadget-1.0-0b                  	       0        1        0        0        1
29766 libggadget-qt-1.0-0b               	       0        1        0        0        1
29767 libggi-target-x                    	       0        3        3        0        0
29768 libggi2                            	       0        3        0        0        3
29769 libggiwmh0                         	       0        1        0        0        1
29770 libggiwmh0-target-x                	       0        1        1        0        0
29771 libggz-gtk1                        	       0        1        0        0        1
29772 libggz2                            	       0        2        1        0        1
29773 libggzcore9                        	       0        2        0        0        2
29774 libggzdmod++1                      	       0        1        0        0        1
29775 libggzdmod6                        	       0        1        1        0        0
29776 libggzmod4                         	       0        2        0        0        2
29777 libghc-adjunctions-dev             	       0        4        4        0        0
29778 libghc-adjunctions-doc             	       0        2        2        0        0
29779 libghc-adjunctions-prof            	       0        2        0        0        2
29780 libghc-aeson-dev                   	       0       11       10        1        0
29781 libghc-aeson-pretty-dev            	       0        2        2        0        0
29782 libghc-aeson-prof                  	       0        1        0        0        1
29783 libghc-agda-dev                    	       0        6        5        1        0
29784 libghc-alsa-core-dev               	       0        4        3        1        0
29785 libghc-alsa-mixer-dev              	       0        3        2        1        0
29786 libghc-ansi-terminal-dev           	       0       10        9        1        0
29787 libghc-ansi-terminal-prof          	       0        3        0        0        3
29788 libghc-ansi-terminal-types-dev     	       0        3        2        1        0
29789 libghc-ansi-wl-pprint-dev          	       0        2        2        0        0
29790 libghc-appar-dev                   	       0        3        3        0        0
29791 libghc-asn1-encoding-dev           	       0        2        2        0        0
29792 libghc-asn1-parse-dev              	       0        2        2        0        0
29793 libghc-asn1-types-dev              	       0        2        2        0        0
29794 libghc-assoc-dev                   	       0       12       11        1        0
29795 libghc-assoc-prof                  	       0        1        0        0        1
29796 libghc-async-dev                   	       0       15       13        2        0
29797 libghc-async-doc                   	       0        1        1        0        0
29798 libghc-async-prof                  	       0        5        0        0        5
29799 libghc-attoparsec-dev              	       0       23       20        3        0
29800 libghc-attoparsec-doc              	       0        2        1        1        0
29801 libghc-attoparsec-prof             	       0        6        0        0        6
29802 libghc-auto-update-dev             	       0        2        2        0        0
29803 libghc-base-compat-batteries-dev   	       0       11       10        1        0
29804 libghc-base-compat-batteries-prof  	       0        1        0        0        1
29805 libghc-base-compat-dev             	       0       13       11        2        0
29806 libghc-base-compat-doc             	       0        1        1        0        0
29807 libghc-base-compat-prof            	       0        3        0        0        3
29808 libghc-base-orphans-dev            	       0       16       15        1        0
29809 libghc-base-orphans-prof           	       0        3        0        0        3
29810 libghc-base16-bytestring-dev       	       0        4        4        0        0
29811 libghc-base16-bytestring-prof      	       0        1        0        0        1
29812 libghc-base64-bytestring-dev       	       0        2        2        0        0
29813 libghc-base64-dev                  	       0        1        1        0        0
29814 libghc-base64-doc                  	       0        1        1        0        0
29815 libghc-basement-dev                	       0        3        3        0        0
29816 libghc-bifunctors-dev              	       0       14       13        1        0
29817 libghc-bifunctors-doc              	       0        2        2        0        0
29818 libghc-bifunctors-prof             	       0        3        0        0        3
29819 libghc-binary-orphans-dev          	       0        2        2        0        0
29820 libghc-binary-orphans-prof         	       0        1        0        0        1
29821 libghc-blaze-builder-dev           	       0       17       15        2        0
29822 libghc-blaze-builder-prof          	       0        6        0        0        6
29823 libghc-blaze-html-dev              	       0       14       12        2        0
29824 libghc-blaze-html-doc              	       0        1        0        1        0
29825 libghc-blaze-html-prof             	       0        5        0        0        5
29826 libghc-blaze-markup-dev            	       0       14       12        2        0
29827 libghc-blaze-markup-doc            	       0        1        0        1        0
29828 libghc-blaze-markup-prof           	       0        5        0        0        5
29829 libghc-boxes-dev                   	       0        6        5        1        0
29830 libghc-bsb-http-chunked-dev        	       0        2        2        0        0
29831 libghc-byte-order-dev              	       0        1        1        0        0
29832 libghc-byte-order-prof             	       0        1        0        0        1
29833 libghc-byteorder-dev               	       0        3        3        0        0
29834 libghc-bytes-dev                   	       0        2        2        0        0
29835 libghc-bytes-prof                  	       0        1        0        0        1
29836 libghc-bytestring-to-vector-dev    	       0        1        1        0        0
29837 libghc-bytestring-to-vector-prof   	       0        1        0        0        1
29838 libghc-bzlib-dev                   	       0        1        1        0        0
29839 libghc-cairo-dev                   	       0        4        4        0        0
29840 libghc-cairo-doc                   	       0        2        2        0        0
29841 libghc-cairo-prof                  	       0        2        0        0        2
29842 libghc-call-stack-dev              	       0        7        7        0        0
29843 libghc-call-stack-doc              	       0        1        1        0        0
29844 libghc-call-stack-prof             	       0        3        0        0        3
29845 libghc-case-insensitive-dev        	       0       10        9        1        0
29846 libghc-case-insensitive-doc        	       0        1        1        0        0
29847 libghc-case-insensitive-prof       	       0        1        0        0        1
29848 libghc-cereal-dev                  	       0        7        7        0        0
29849 libghc-cereal-prof                 	       0        2        0        0        2
29850 libghc-citeproc-dev                	       0        2        2        0        0
29851 libghc-code-page-doc               	       0        1        1        0        0
29852 libghc-colour-dev                  	       0       10        9        1        0
29853 libghc-colour-prof                 	       0        4        0        0        4
29854 libghc-commonmark-dev              	       0        2        2        0        0
29855 libghc-commonmark-extensions-dev   	       0        2        2        0        0
29856 libghc-commonmark-pandoc-dev       	       0        2        2        0        0
29857 libghc-comonad-dev                 	       0       14       13        1        0
29858 libghc-comonad-doc                 	       0        2        2        0        0
29859 libghc-comonad-prof                	       0        3        0        0        3
29860 libghc-conduit-dev                 	       0       11       10        1        0
29861 libghc-conduit-doc                 	       0        1        0        1        0
29862 libghc-conduit-extra-dev           	       0        9        8        1        0
29863 libghc-conduit-extra-prof          	       0        5        0        0        5
29864 libghc-conduit-prof                	       0        5        0        0        5
29865 libghc-config-ini-dev              	       0        1        1        0        0
29866 libghc-config-ini-doc              	       0        1        1        0        0
29867 libghc-config-ini-prof             	       0        1        0        0        1
29868 libghc-connection-dev              	       0        2        2        0        0
29869 libghc-constraints-dev             	       0        2        2        0        0
29870 libghc-contravariant-dev           	       0       14       13        1        0
29871 libghc-contravariant-doc           	       0        2        2        0        0
29872 libghc-contravariant-prof          	       0        3        0        0        3
29873 libghc-convertible-dev             	       0        1        1        0        0
29874 libghc-convertible-doc             	       0        1        1        0        0
29875 libghc-cookie-dev                  	       0        3        3        0        0
29876 libghc-cryptonite-dev              	       0        2        2        0        0
29877 libghc-css-text-dev                	       0        1        0        1        0
29878 libghc-css-text-prof               	       0        1        0        0        1
29879 libghc-csv-dev                     	       0        1        1        0        0
29880 libghc-csv-doc                     	       0        1        1        0        0
29881 libghc-csv-prof                    	       0        1        0        0        1
29882 libghc-data-default-class-dev      	       0       29       27        2        0
29883 libghc-data-default-class-doc      	       0       14       12        2        0
29884 libghc-data-default-class-prof     	       0        8        0        0        8
29885 libghc-data-default-dev            	       0        9        8        1        0
29886 libghc-data-default-doc            	       0        4        3        1        0
29887 libghc-data-default-instances-containers-dev	       0       10        9        1        0
29888 libghc-data-default-instances-containers-prof	       0        2        0        0        2
29889 libghc-data-default-instances-dlist-dev	       0       10        9        1        0
29890 libghc-data-default-instances-dlist-prof	       0        2        0        0        2
29891 libghc-data-default-instances-old-locale-dev	       0       10        9        1        0
29892 libghc-data-default-instances-old-locale-prof	       0        2        0        0        2
29893 libghc-data-default-prof           	       0        1        0        0        1
29894 libghc-data-fix-dev                	       0       10        9        1        0
29895 libghc-data-fix-prof               	       0        1        0        0        1
29896 libghc-data-hash-dev               	       0        6        5        1        0
29897 libghc-dbus-dev                    	       0        1        1        0        0
29898 libghc-dbus-prof                   	       0        1        0        0        1
29899 libghc-diff-dev                    	       0        1        1        0        0
29900 libghc-digest-dev                  	       0        3        3        0        0
29901 libghc-distributive-dev            	       0       14       13        1        0
29902 libghc-distributive-doc            	       0        2        2        0        0
29903 libghc-distributive-prof           	       0        3        0        0        3
29904 libghc-dlist-dev                   	       0       18       16        2        0
29905 libghc-dlist-doc                   	       0        1        1        0        0
29906 libghc-dlist-prof                  	       0        2        0        0        2
29907 libghc-doclayout-dev               	       0        2        2        0        0
29908 libghc-doctemplates-dev            	       0        2        2        0        0
29909 libghc-double-conversion-dev       	       0        1        1        0        0
29910 libghc-dynamic-state-dev           	       0        1        1        0        0
29911 libghc-dyre-doc                    	       0        1        1        0        0
29912 libghc-easy-file-dev               	       0        2        2        0        0
29913 libghc-edit-distance-dev           	       0        6        5        1        0
29914 libghc-emojis-dev                  	       0        4        4        0        0
29915 libghc-emojis-doc                  	       0        1        1        0        0
29916 libghc-emojis-prof                 	       0        2        0        0        2
29917 libghc-enclosed-exceptions-dev     	       0        2        2        0        0
29918 libghc-entropy-dev                 	       0        3        3        0        0
29919 libghc-equivalence-dev             	       0        6        5        1        0
29920 libghc-exceptions-dev              	       0        7        7        0        0
29921 libghc-exceptions-doc              	       0        1        1        0        0
29922 libghc-exceptions-prof             	       0        4        0        0        4
29923 libghc-extensible-exceptions-dev   	       0        8        7        1        0
29924 libghc-extensible-exceptions-doc   	       0        1        1        0        0
29925 libghc-extensible-exceptions-prof  	       0        1        0        0        1
29926 libghc-fast-logger-dev             	       0        2        2        0        0
29927 libghc-fgl-dev                     	       0        1        1        0        0
29928 libghc-fgl-doc                     	       0        1        1        0        0
29929 libghc-file-embed-dev              	       0        3        3        0        0
29930 libghc-filestore-dev               	       0        1        1        0        0
29931 libghc-filestore-doc               	       0        1        1        0        0
29932 libghc-fingertree-dev              	       0        1        1        0        0
29933 libghc-fixed-dev                   	       0        1        1        0        0
29934 libghc-fixed-doc                   	       0        1        1        0        0
29935 libghc-floatinghex-dev             	       0        1        1        0        0
29936 libghc-floatinghex-doc             	       0        1        0        0        1
29937 libghc-floatinghex-prof            	       0        1        0        0        1
29938 libghc-fmlist-dev                  	       0        1        1        0        0
29939 libghc-foldable1-classes-compat-dev	       0        1        1        0        0
29940 libghc-foldable1-classes-compat-prof	       0        1        0        0        1
29941 libghc-free-dev                    	       0        4        4        0        0
29942 libghc-free-doc                    	       0        2        2        0        0
29943 libghc-free-prof                   	       0        2        0        0        2
29944 libghc-fsnotify-dev                	       0        2        2        0        0
29945 libghc-generic-deriving-dev        	       0        1        1        0        0
29946 libghc-ghc-paths-dev               	       0        4        3        1        0
29947 libghc-gi-atk-dev                  	       0        2        2        0        0
29948 libghc-gi-atk-doc                  	       0        2        2        0        0
29949 libghc-gi-atk-prof                 	       0        2        0        0        2
29950 libghc-gi-cairo-dev                	       0        3        3        0        0
29951 libghc-gi-cairo-doc                	       0        2        2        0        0
29952 libghc-gi-cairo-prof               	       0        2        0        0        2
29953 libghc-gi-dbusmenu-dev             	       0        2        2        0        0
29954 libghc-gi-dbusmenu-doc             	       0        2        2        0        0
29955 libghc-gi-dbusmenu-prof            	       0        2        0        0        2
29956 libghc-gi-dbusmenugtk3-dev         	       0        2        2        0        0
29957 libghc-gi-dbusmenugtk3-doc         	       0        2        2        0        0
29958 libghc-gi-dbusmenugtk3-prof        	       0        2        0        0        2
29959 libghc-gi-gdk-dev                  	       0        3        3        0        0
29960 libghc-gi-gdk-doc                  	       0        2        2        0        0
29961 libghc-gi-gdk-prof                 	       0        2        0        0        2
29962 libghc-gi-gdkpixbuf-dev            	       0        4        4        0        0
29963 libghc-gi-gdkpixbuf-doc            	       0        3        3        0        0
29964 libghc-gi-gdkpixbuf-prof           	       0        3        0        0        3
29965 libghc-gi-gdkx11-dev               	       0        1        1        0        0
29966 libghc-gi-gio-dev                  	       0        4        4        0        0
29967 libghc-gi-gio-doc                  	       0        3        3        0        0
29968 libghc-gi-gio-prof                 	       0        3        0        0        3
29969 libghc-gi-glib-dev                 	       0        4        4        0        0
29970 libghc-gi-glib-doc                 	       0        3        3        0        0
29971 libghc-gi-glib-prof                	       0        3        0        0        3
29972 libghc-gi-gmodule-dev              	       0        1        1        0        0
29973 libghc-gi-gmodule-prof             	       0        1        0        0        1
29974 libghc-gi-gobject-dev              	       0        4        4        0        0
29975 libghc-gi-gobject-doc              	       0        3        3        0        0
29976 libghc-gi-gobject-prof             	       0        3        0        0        3
29977 libghc-gi-gtk-dev                  	       0        2        2        0        0
29978 libghc-gi-gtk-doc                  	       0        2        2        0        0
29979 libghc-gi-gtk-prof                 	       0        2        0        0        2
29980 libghc-gi-harfbuzz-dev             	       0        3        3        0        0
29981 libghc-gi-harfbuzz-doc             	       0        2        2        0        0
29982 libghc-gi-harfbuzz-prof            	       0        2        0        0        2
29983 libghc-gi-pango-dev                	       0        3        3        0        0
29984 libghc-gi-pango-doc                	       0        2        2        0        0
29985 libghc-gi-pango-prof               	       0        2        0        0        2
29986 libghc-gi-xlib-dev                 	       0        2        2        0        0
29987 libghc-gio-dev                     	       0        5        5        0        0
29988 libghc-gio-doc                     	       0        2        2        0        0
29989 libghc-gio-prof                    	       0        2        0        0        2
29990 libghc-gitit-data                  	       0        2        0        0        2
29991 libghc-gitrev-dev                  	       0        6        5        1        0
29992 libghc-glib-dev                    	       0        5        5        0        0
29993 libghc-glib-doc                    	       0        2        2        0        0
29994 libghc-glib-prof                   	       0        2        0        0        2
29995 libghc-glob-dev                    	       0        2        2        0        0
29996 libghc-gluraw-dev                  	       0        1        1        0        0
29997 libghc-gluraw-doc                  	       0        1        0        0        1
29998 libghc-glut-dev                    	       0        1        1        0        0
29999 libghc-glut-doc                    	       0        1        0        0        1
30000 libghc-gtk3-dev                    	       0        4        4        0        0
30001 libghc-gtk3-doc                    	       0        2        2        0        0
30002 libghc-gtk3-prof                   	       0        2        0        0        2
30003 libghc-haddock-library-dev         	       0        2        2        0        0
30004 libghc-hakyll-dev                  	       0        2        2        0        0
30005 libghc-half-dev                    	       0        1        1        0        0
30006 libghc-half-doc                    	       0        1        1        0        0
30007 libghc-hashable-dev                	       0       26       23        3        0
30008 libghc-hashable-doc                	       0        4        3        1        0
30009 libghc-hashable-prof               	       0        7        0        0        7
30010 libghc-hashtables-dev              	       0        4        4        0        0
30011 libghc-haskell-gi-base-dev         	       0        5        5        0        0
30012 libghc-haskell-gi-base-doc         	       0        3        3        0        0
30013 libghc-haskell-gi-base-prof        	       0        3        0        0        3
30014 libghc-haskell-gi-dev              	       0        5        5        0        0
30015 libghc-haskell-gi-doc              	       0        2        2        0        0
30016 libghc-haskell-gi-prof             	       0        3        0        0        3
30017 libghc-haskell-lexer-dev           	       0        8        8        0        0
30018 libghc-haskell-lexer-prof          	       0        3        0        0        3
30019 libghc-haskell-src-dev             	       0        1        1        0        0
30020 libghc-haskell-src-doc             	       0        1        1        0        0
30021 libghc-hclip-dev                   	       0        1        1        0        0
30022 libghc-hdbc-dev                    	       0        1        1        0        0
30023 libghc-hdbc-doc                    	       0        1        1        0        0
30024 libghc-hinotify-dev                	       0        2        2        0        0
30025 libghc-hint-dev                    	       0        3        2        1        0
30026 libghc-hint-doc                    	       0        1        0        1        0
30027 libghc-hjsmin-dev                  	       0        1        1        0        0
30028 libghc-hourglass-dev               	       0        2        2        0        0
30029 libghc-hslogger-dev                	       0        4        4        0        0
30030 libghc-hslogger-doc                	       0        1        1        0        0
30031 libghc-hslogger-prof               	       0        1        0        0        1
30032 libghc-hslua-aeson-dev             	       0        2        2        0        0
30033 libghc-hslua-classes-dev           	       0        2        2        0        0
30034 libghc-hslua-core-dev              	       0        2        2        0        0
30035 libghc-hslua-dev                   	       0        2        2        0        0
30036 libghc-hslua-marshalling-dev       	       0        2        2        0        0
30037 libghc-hslua-module-path-dev       	       0        2        2        0        0
30038 libghc-hslua-module-system-dev     	       0        2        2        0        0
30039 libghc-hslua-module-text-dev       	       0        2        2        0        0
30040 libghc-hslua-module-version-dev    	       0        2        2        0        0
30041 libghc-hslua-objectorientation-dev 	       0        2        2        0        0
30042 libghc-hslua-packaging-dev         	       0        2        2        0        0
30043 libghc-hsyaml-dev                  	       0        2        2        0        0
30044 libghc-html-dev                    	       0        2        2        0        0
30045 libghc-html-doc                    	       0        1        1        0        0
30046 libghc-http-client-dev             	       0        2        2        0        0
30047 libghc-http-client-tls-dev         	       0        2        2        0        0
30048 libghc-http-conduit-dev            	       0        2        2        0        0
30049 libghc-http-date-dev               	       0        2        2        0        0
30050 libghc-http-dev                    	       0        1        1        0        0
30051 libghc-http-doc                    	       0        1        0        0        1
30052 libghc-http-types-dev              	       0        2        2        0        0
30053 libghc-http2-dev                   	       0        2        2        0        0
30054 libghc-hunit-dev                   	       0        4        4        0        0
30055 libghc-hunit-doc                   	       0        1        0        0        1
30056 libghc-hunit-prof                  	       0        1        0        0        1
30057 libghc-idna-dev                    	       0        1        1        0        0
30058 libghc-idna-doc                    	       0        1        1        0        0
30059 libghc-indexed-traversable-dev     	       0       12       11        1        0
30060 libghc-indexed-traversable-doc     	       0        1        1        0        0
30061 libghc-indexed-traversable-instances-dev	       0       11       10        1        0
30062 libghc-indexed-traversable-instances-prof	       0        1        0        0        1
30063 libghc-indexed-traversable-prof    	       0        1        0        0        1
30064 libghc-integer-logarithms-dev      	       0       25       22        3        0
30065 libghc-integer-logarithms-prof     	       0        7        0        0        7
30066 libghc-invariant-dev               	       0        3        3        0        0
30067 libghc-invariant-doc               	       0        1        1        0        0
30068 libghc-invariant-prof              	       0        2        0        0        2
30069 libghc-iproute-dev                 	       0        3        3        0        0
30070 libghc-ipynb-dev                   	       0        2        2        0        0
30071 libghc-iwlib-dev                   	       0        2        2        0        0
30072 libghc-iwlib-prof                  	       0        1        0        0        1
30073 libghc-jira-wiki-markup-dev        	       0        2        2        0        0
30074 libghc-json-dev                    	       0        1        1        0        0
30075 libghc-json-doc                    	       0        1        1        0        0
30076 libghc-juicypixels-dev             	       0        3        3        0        0
30077 libghc-kan-extensions-dev          	       0        3        3        0        0
30078 libghc-kan-extensions-doc          	       0        1        1        0        0
30079 libghc-kan-extensions-prof         	       0        2        0        0        2
30080 libghc-language-javascript-dev     	       0        1        1        0        0
30081 libghc-lens-dev                    	       0        3        3        0        0
30082 libghc-lens-doc                    	       0        1        1        0        0
30083 libghc-lens-prof                   	       0        2        0        0        2
30084 libghc-libmpd-dev                  	       0        3        2        1        0
30085 libghc-libmpd-doc                  	       0        1        0        1        0
30086 libghc-libyaml-dev                 	       0        4        4        0        0
30087 libghc-lifted-async-dev            	       0        2        2        0        0
30088 libghc-lifted-base-dev             	       0        2        2        0        0
30089 libghc-linear-dev                  	       0        2        2        0        0
30090 libghc-linear-doc                  	       0        1        1        0        0
30091 libghc-linear-prof                 	       0        1        0        0        1
30092 libghc-listlike-dev                	       0        1        1        0        0
30093 libghc-lpeg-dev                    	       0        2        2        0        0
30094 libghc-lrucache-dev                	       0        2        2        0        0
30095 libghc-lua-dev                     	       0        2        2        0        0
30096 libghc-magic-dev                   	       0        3        2        1        0
30097 libghc-markdown-dev                	       0        1        0        1        0
30098 libghc-markdown-doc                	       0        1        0        1        0
30099 libghc-markdown-prof               	       0        1        0        0        1
30100 libghc-markdown-unlit-dev          	       0        1        0        1        0
30101 libghc-markdown-unlit-doc          	       0        1        0        1        0
30102 libghc-markdown-unlit-prof         	       0        1        0        0        1
30103 libghc-megaparsec-dev              	       0        1        1        0        0
30104 libghc-megaparsec-prof             	       0        1        0        0        1
30105 libghc-memory-dev                  	       0        3        3        0        0
30106 libghc-microlens-dev               	       0        2        2        0        0
30107 libghc-microlens-ghc-dev           	       0        1        1        0        0
30108 libghc-microlens-mtl-dev           	       0        1        1        0        0
30109 libghc-microlens-platform-dev      	       0        1        1        0        0
30110 libghc-microlens-th-dev            	       0        1        1        0        0
30111 libghc-mime-types-dev              	       0        3        3        0        0
30112 libghc-missingh-dev                	       0        1        1        0        0
30113 libghc-monad-control-dev           	       0        8        7        1        0
30114 libghc-monadrandom-dev             	       0        4        3        1        0
30115 libghc-monadrandom-prof            	       0        4        0        0        4
30116 libghc-mono-traversable-dev        	       0       11       10        1        0
30117 libghc-mono-traversable-prof       	       0        5        0        0        5
30118 libghc-mtl-dev                     	       0        1        1        0        0
30119 libghc-mtl-doc                     	       0        1        1        0        0
30120 libghc-murmur-hash-dev             	       0        6        5        1        0
30121 libghc-network-bsd-dev             	       0        5        5        0        0
30122 libghc-network-bsd-prof            	       0        1        0        0        1
30123 libghc-network-byte-order-dev      	       0        2        2        0        0
30124 libghc-network-dev                 	       0       17       15        2        0
30125 libghc-network-doc                 	       0        2        2        0        0
30126 libghc-network-info-dev            	       0        1        1        0        0
30127 libghc-network-info-doc            	       0        1        1        0        0
30128 libghc-network-prof                	       0        6        0        0        6
30129 libghc-network-uri-dev             	       0        9        7        2        0
30130 libghc-network-uri-doc             	       0        1        1        0        0
30131 libghc-network-uri-prof            	       0        1        0        0        1
30132 libghc-objectname-dev              	       0        2        2        0        0
30133 libghc-objectname-doc              	       0        2        0        0        2
30134 libghc-objectname-prof             	       0        1        0        0        1
30135 libghc-old-locale-dev              	       0       14       13        1        0
30136 libghc-old-locale-doc              	       0        2        2        0        0
30137 libghc-old-locale-prof             	       0        3        0        0        3
30138 libghc-old-time-dev                	       0        8        8        0        0
30139 libghc-old-time-doc                	       0        1        1        0        0
30140 libghc-onetuple-dev                	       0       11       10        1        0
30141 libghc-onetuple-prof               	       0        1        0        0        1
30142 libghc-only-dev                    	       0        2        2        0        0
30143 libghc-oo-prototypes-dev           	       0        1        1        0        0
30144 libghc-opengl-dev                  	       0        1        1        0        0
30145 libghc-opengl-doc                  	       0        1        0        0        1
30146 libghc-openglraw-dev               	       0        1        1        0        0
30147 libghc-openglraw-doc               	       0        1        0        0        1
30148 libghc-optparse-applicative-dev    	       0        2        2        0        0
30149 libghc-os-string-dev               	       0        4        3        1        0
30150 libghc-os-string-prof              	       0        1        0        0        1
30151 libghc-pandoc-citeproc-data        	       0        8        0        0        8
30152 libghc-pandoc-dev                  	       0        2        2        0        0
30153 libghc-pandoc-lua-marshal-dev      	       0        2        2        0        0
30154 libghc-pandoc-sidenote-dev         	       0        1        1        0        0
30155 libghc-pandoc-sidenote-prof        	       0        1        0        0        1
30156 libghc-pandoc-types-dev            	       0        3        3        0        0
30157 libghc-pandoc-types-prof           	       0        1        0        0        1
30158 libghc-pango-dev                   	       0        4        4        0        0
30159 libghc-pango-doc                   	       0        2        2        0        0
30160 libghc-pango-prof                  	       0        2        0        0        2
30161 libghc-parallel-dev                	       0       10        9        1        0
30162 libghc-parallel-doc                	       0        2        2        0        0
30163 libghc-parallel-prof               	       0        2        0        0        2
30164 libghc-parser-combinators-dev      	       0        1        1        0        0
30165 libghc-parser-combinators-prof     	       0        1        0        0        1
30166 libghc-peano-dev                   	       0        2        1        1        0
30167 libghc-pem-dev                     	       0        2        2        0        0
30168 libghc-pointedlist-dev             	       0        1        1        0        0
30169 libghc-postgresql-libpq-dev        	       0        1        1        0        0
30170 libghc-postgresql-simple-dev       	       0        1        1        0        0
30171 libghc-pretty-show-dev             	       0        8        8        0        0
30172 libghc-pretty-show-doc             	       0        1        1        0        0
30173 libghc-pretty-show-prof            	       0        3        0        0        3
30174 libghc-primitive-dev               	       0       30       26        4        0
30175 libghc-primitive-doc               	       0        3        2        1        0
30176 libghc-primitive-prof              	       0       11        0        0       11
30177 libghc-primitive-unaligned-dev     	       0        1        1        0        0
30178 libghc-primitive-unaligned-prof    	       0        1        0        0        1
30179 libghc-process-extras-dev          	       0        1        1        0        0
30180 libghc-profunctors-dev             	       0        4        4        0        0
30181 libghc-profunctors-doc             	       0        2        2        0        0
30182 libghc-profunctors-prof            	       0        2        0        0        2
30183 libghc-psqueues-dev                	       0        2        2        0        0
30184 libghc-punycode-dev                	       0        1        1        0        0
30185 libghc-quickcheck2-dev             	       0       11       10        1        0
30186 libghc-quickcheck2-doc             	       0        1        0        0        1
30187 libghc-quickcheck2-prof            	       0        1        0        0        1
30188 libghc-random-dev                  	       0       41       37        4        0
30189 libghc-random-doc                  	       0       16       14        2        0
30190 libghc-random-prof                 	       0       13        0        0       13
30191 libghc-random-shuffle-dev          	       0        4        3        1        0
30192 libghc-random-shuffle-prof         	       0        4        0        0        4
30193 libghc-reflection-dev              	       0        3        3        0        0
30194 libghc-reflection-doc              	       0        1        1        0        0
30195 libghc-reflection-prof             	       0        2        0        0        2
30196 libghc-regex-base-dev              	       0       20       18        2        0
30197 libghc-regex-base-doc              	       0        1        1        0        0
30198 libghc-regex-base-prof             	       0        3        0        0        3
30199 libghc-regex-compat-dev            	       0        2        2        0        0
30200 libghc-regex-compat-doc            	       0        1        1        0        0
30201 libghc-regex-posix-dev             	       0        5        4        1        0
30202 libghc-regex-posix-doc             	       0        1        1        0        0
30203 libghc-regex-tdfa-dev              	       0       16       15        1        0
30204 libghc-regex-tdfa-prof             	       0        3        0        0        3
30205 libghc-resolv-dev                  	       0        1        1        0        0
30206 libghc-resourcet-dev               	       0       12       11        1        0
30207 libghc-resourcet-doc               	       0        1        0        1        0
30208 libghc-resourcet-prof              	       0        6        0        0        6
30209 libghc-safe-dev                    	       0        8        8        0        0
30210 libghc-safe-exceptions-dev         	       0        2        1        1        0
30211 libghc-safe-prof                   	       0        4        0        0        4
30212 libghc-sandi-dev                   	       0        2        2        0        0
30213 libghc-scientific-dev              	       0       25       22        3        0
30214 libghc-scientific-doc              	       0        2        1        1        0
30215 libghc-scientific-prof             	       0        7        0        0        7
30216 libghc-sdl-dev                     	       0        2        2        0        0
30217 libghc-sdl-doc                     	       0        1        0        0        1
30218 libghc-sdl-gfx-dev                 	       0        1        1        0        0
30219 libghc-sdl-gfx-doc                 	       0        1        0        0        1
30220 libghc-sdl-gfx-prof                	       0        1        0        0        1
30221 libghc-sdl-image-dev               	       0        2        2        0        0
30222 libghc-sdl-image-doc               	       0        1        0        0        1
30223 libghc-sdl-image-prof              	       0        1        0        0        1
30224 libghc-sdl-mixer-dev               	       0        2        2        0        0
30225 libghc-sdl-mixer-doc               	       0        1        0        0        1
30226 libghc-sdl-mixer-prof              	       0        1        0        0        1
30227 libghc-sdl-prof                    	       0        1        0        0        1
30228 libghc-sdl-ttf-dev                 	       0        1        1        0        0
30229 libghc-sdl-ttf-doc                 	       0        1        0        0        1
30230 libghc-sdl-ttf-prof                	       0        1        0        0        1
30231 libghc-sdl2-dev                    	       0        2        2        0        0
30232 libghc-sdl2-doc                    	       0        1        1        0        0
30233 libghc-sdl2-prof                   	       0        1        0        0        1
30234 libghc-sdl2-ttf-dev                	       0        2        2        0        0
30235 libghc-sdl2-ttf-doc                	       0        1        1        0        0
30236 libghc-sdl2-ttf-prof               	       0        1        0        0        1
30237 libghc-semialign-dev               	       0       10        9        1        0
30238 libghc-semialign-doc               	       0        1        1        0        0
30239 libghc-semialign-prof              	       0        1        0        0        1
30240 libghc-semigroupoids-dev           	       0       14       13        1        0
30241 libghc-semigroupoids-doc           	       0        3        3        0        0
30242 libghc-semigroupoids-prof          	       0        3        0        0        3
30243 libghc-semigroups-dev              	       0        7        7        0        0
30244 libghc-semigroups-prof             	       0        2        0        0        2
30245 libghc-sendfile-dev                	       0        1        1        0        0
30246 libghc-setlocale-dev               	       0       17       16        1        0
30247 libghc-setlocale-doc               	       0        1        1        0        0
30248 libghc-setlocale-prof              	       0        2        0        0        2
30249 libghc-sha-dev                     	       0        5        5        0        0
30250 libghc-shelly-dev                  	       0        2        2        0        0
30251 libghc-simple-sendfile-dev         	       0        2        2        0        0
30252 libghc-skylighting-core-dev        	       0        2        2        0        0
30253 libghc-skylighting-dev             	       0        2        2        0        0
30254 libghc-socks-dev                   	       0        3        3        0        0
30255 libghc-split-dev                   	       0       19       17        2        0
30256 libghc-split-doc                   	       0        1        1        0        0
30257 libghc-split-prof                  	       0        6        0        0        6
30258 libghc-splitmix-dev                	       0       30       26        4        0
30259 libghc-splitmix-doc                	       0       12       10        2        0
30260 libghc-splitmix-prof               	       0        9        0        0        9
30261 libghc-statevar-dev                	       0       15       14        1        0
30262 libghc-statevar-doc                	       0        3        0        0        3
30263 libghc-statevar-prof               	       0        3        0        0        3
30264 libghc-status-notifier-item-dev    	       0        1        1        0        0
30265 libghc-status-notifier-item-prof   	       0        1        0        0        1
30266 libghc-stmonadtrans-dev            	       0        6        5        1        0
30267 libghc-streaming-commons-dev       	       0        9        8        1        0
30268 libghc-streaming-commons-prof      	       0        5        0        0        5
30269 libghc-strict-dev                  	       0       12       11        1        0
30270 libghc-strict-prof                 	       0        1        0        0        1
30271 libghc-stringprep-dev              	       0        1        1        0        0
30272 libghc-syb-dev                     	       0        4        4        0        0
30273 libghc-syb-doc                     	       0        1        1        0        0
30274 libghc-syb-prof                    	       0        1        0        0        1
30275 libghc-system-filepath-dev         	       0        1        1        0        0
30276 libghc-tabular-dev                 	       0        1        1        0        0
30277 libghc-tabular-doc                 	       0        1        1        0        0
30278 libghc-tagged-dev                  	       0       15       14        1        0
30279 libghc-tagged-doc                  	       0        2        2        0        0
30280 libghc-tagged-prof                 	       0        3        0        0        3
30281 libghc-tagsoup-dev                 	       0        5        4        1        0
30282 libghc-tagsoup-prof                	       0        1        0        0        1
30283 libghc-temporary-dev               	       0        6        5        1        0
30284 libghc-texmath-dev                 	       0        2        2        0        0
30285 libghc-text-conversions-dev        	       0        2        2        0        0
30286 libghc-text-icu-dev                	       0        1        1        0        0
30287 libghc-text-short-dev              	       0       11       10        1        0
30288 libghc-text-short-doc              	       0        1        1        0        0
30289 libghc-text-short-prof             	       0        1        0        0        1
30290 libghc-th-abstraction-dev          	       0       16       15        1        0
30291 libghc-th-abstraction-prof         	       0        3        0        0        3
30292 libghc-th-compat-dev               	       0       10        8        2        0
30293 libghc-th-compat-doc               	       0        1        1        0        0
30294 libghc-th-compat-prof              	       0        2        0        0        2
30295 libghc-th-lift-dev                 	       0        4        4        0        0
30296 libghc-th-lift-instances-dev       	       0        3        3        0        0
30297 libghc-th-lift-prof                	       0        1        0        0        1
30298 libghc-these-dev                   	       0       12       11        1        0
30299 libghc-these-prof                  	       0        1        0        0        1
30300 libghc-threads-dev                 	       0        1        1        0        0
30301 libghc-time-compat-dev             	       0       11       10        1        0
30302 libghc-time-compat-prof            	       0        1        0        0        1
30303 libghc-time-locale-compat-dev      	       0        3        3        0        0
30304 libghc-time-manager-dev            	       0        2        2        0        0
30305 libghc-tls-dev                     	       0        2        2        0        0
30306 libghc-transformers-base-dev       	       0       13       12        1        0
30307 libghc-transformers-base-doc       	       0        2        2        0        0
30308 libghc-transformers-base-prof      	       0        3        0        0        3
30309 libghc-transformers-compat-dev     	       0       20       18        2        0
30310 libghc-transformers-compat-prof    	       0        7        0        0        7
30311 libghc-type-equality-dev           	       0        4        4        0        0
30312 libghc-type-equality-prof          	       0        2        0        0        2
30313 libghc-typed-process-dev           	       0        9        8        1        0
30314 libghc-typed-process-prof          	       0        5        0        0        5
30315 libghc-typst-symbols-dev           	       0        1        1        0        0
30316 libghc-unicode-collation-dev       	       0        2        2        0        0
30317 libghc-unicode-data-dev            	       0        2        2        0        0
30318 libghc-unicode-transforms-dev      	       0        2        2        0        0
30319 libghc-uniplate-dev                	       0        2        2        0        0
30320 libghc-unix-compat-dev             	       0        3        3        0        0
30321 libghc-unix-time-dev               	       0        2        2        0        0
30322 libghc-unliftio-core-dev           	       0       12       11        1        0
30323 libghc-unliftio-core-doc           	       0        1        0        1        0
30324 libghc-unliftio-core-prof          	       0        6        0        0        6
30325 libghc-unliftio-dev                	       0        2        2        0        0
30326 libghc-unordered-containers-dev    	       0       23       21        2        0
30327 libghc-unordered-containers-doc    	       0        3        3        0        0
30328 libghc-unordered-containers-prof   	       0        7        0        0        7
30329 libghc-uri-encode-dev              	       0        6        5        1        0
30330 libghc-url-dev                     	       0        1        1        0        0
30331 libghc-utf8-string-dev             	       0       36       33        3        0
30332 libghc-utf8-string-doc             	       0       11       10        1        0
30333 libghc-utf8-string-prof            	       0        5        0        0        5
30334 libghc-uuid-types-dev              	       0       11       10        1        0
30335 libghc-uuid-types-prof             	       0        1        0        0        1
30336 libghc-vault-dev                   	       0        2        2        0        0
30337 libghc-vector-algorithms-dev       	       0       11       10        1        0
30338 libghc-vector-algorithms-prof      	       0        5        0        0        5
30339 libghc-vector-dev                  	       0       23       21        2        0
30340 libghc-vector-doc                  	       0        3        2        1        0
30341 libghc-vector-hashtables-dev       	       0        2        1        1        0
30342 libghc-vector-prof                 	       0        7        0        0        7
30343 libghc-vector-stream-dev           	       0        4        3        1        0
30344 libghc-vector-stream-prof          	       0        1        0        0        1
30345 libghc-void-dev                    	       0        5        5        0        0
30346 libghc-void-prof                   	       0        2        0        0        2
30347 libghc-vty-crossplatform-dev       	       0        1        1        0        0
30348 libghc-vty-dev                     	       0        1        1        0        0
30349 libghc-vty-unix-dev                	       0        1        1        0        0
30350 libghc-wai-app-static-dev          	       0        2        2        0        0
30351 libghc-wai-dev                     	       0        2        2        0        0
30352 libghc-wai-extra-dev               	       0        2        2        0        0
30353 libghc-wai-logger-dev              	       0        2        2        0        0
30354 libghc-warp-dev                    	       0        2        2        0        0
30355 libghc-witherable-dev              	       0       10        9        1        0
30356 libghc-witherable-prof             	       0        1        0        0        1
30357 libghc-word8-dev                   	       0        2        2        0        0
30358 libghc-x11-dev                     	       0       18       17        1        0
30359 libghc-x11-doc                     	       0       15        3        0       12
30360 libghc-x11-prof                    	       0        2        0        0        2
30361 libghc-x11-xft-dev                 	       0       17       16        1        0
30362 libghc-x11-xft-doc                 	       0        5        3        0        2
30363 libghc-x11-xft-prof                	       0        1        0        0        1
30364 libghc-x509-dev                    	       0        2        2        0        0
30365 libghc-x509-store-dev              	       0        2        2        0        0
30366 libghc-x509-system-dev             	       0        2        2        0        0
30367 libghc-x509-validation-dev         	       0        2        2        0        0
30368 libghc-xdg-basedir-dev             	       0        6        6        0        0
30369 libghc-xdg-basedir-prof            	       0        3        0        0        3
30370 libghc-xml-conduit-dev             	       0        9        8        1        0
30371 libghc-xml-conduit-prof            	       0        5        0        0        5
30372 libghc-xml-dev                     	       0        3        3        0        0
30373 libghc-xml-types-dev               	       0       10        9        1        0
30374 libghc-xml-types-prof              	       0        6        0        0        6
30375 libghc-xmonad-contrib-dev          	       0       16       15        1        0
30376 libghc-xmonad-contrib-doc          	       0       15       14        1        0
30377 libghc-xmonad-contrib-prof         	       0        1        0        0        1
30378 libghc-xmonad-dev                  	       0       17       16        1        0
30379 libghc-xmonad-doc                  	       0       15       14        1        0
30380 libghc-xmonad-extras-dev           	       0        3        2        1        0
30381 libghc-xmonad-extras-doc           	       0        2        1        1        0
30382 libghc-xmonad-prof                 	       0        2        0        0        2
30383 libghc-xmonad-wallpaper-dev        	       0        3        2        1        0
30384 libghc-xmonad-wallpaper-doc        	       0        2        1        1        0
30385 libghc-xss-sanitize-dev            	       0        1        0        1        0
30386 libghc-xss-sanitize-prof           	       0        1        0        0        1
30387 libghc-yaml-dev                    	       0        4        4        0        0
30388 libghc-yi-core-dev                 	       0        1        1        0        0
30389 libghc-yi-frontend-vty-dev         	       0        1        1        0        0
30390 libghc-yi-keymap-emacs-dev         	       0        1        1        0        0
30391 libghc-yi-language-dev             	       0        1        1        0        0
30392 libghc-yi-misc-modes-dev           	       0        1        1        0        0
30393 libghc-yi-mode-haskell-dev         	       0        1        1        0        0
30394 libghc-yi-rope-dev                 	       0        1        1        0        0
30395 libghc-zip-archive-dev             	       0        3        3        0        0
30396 libghc-zlib-dev                    	       0       19       17        2        0
30397 libghc-zlib-doc                    	       0        1        1        0        0
30398 libghc-zlib-prof                   	       0        6        0        0        6
30399 libghdl-3-0-0                      	       0        1        0        0        1
30400 libghdl-4-1-0                      	       0        1        0        0        1
30401 libghdl-dev                        	       0        1        1        0        0
30402 libghemical-data                   	       0        3        0        0        3
30403 libghemical5v5                     	       0        3        0        0        3
30404 libghmm1                           	       0        1        0        0        1
30405 libgiac-dev                        	       0        8        8        0        0
30406 libgiac0                           	       0       11        0        0       11
30407 libgiac0t64                        	       0        3        0        0        3
30408 libgif4                            	       0       45        2        0       43
30409 libgiftiio-dev                     	       0        1        1        0        0
30410 libgiftiio0                        	       0        1        0        0        1
30411 libgig-dev                         	       0        4        4        0        0
30412 libgig10                           	       0       42        0        0       42
30413 libgig10t64                        	       0        1        0        0        1
30414 libgig6v5                          	       0        1        0        0        1
30415 libgig9                            	       0       11        0        0       11
30416 libgii1                            	       0        4        4        0        0
30417 libgii1-target-x                   	       0        4        4        0        0
30418 libgimp2.0-dev                     	       0       20       20        0        0
30419 libgimp2.0-doc                     	       0        4        0        0        4
30420 libgimp2.0t64                      	       0       92        1        0       91
30421 libginac1.3c2a                     	       0        1        0        0        1
30422 libginac1.4                        	       0        1        0        0        1
30423 libginac1.5                        	       0        1        0        0        1
30424 libginac11                         	       0        1        0        0        1
30425 libgio-2.0-dev                     	       0       65       51       14        0
30426 libgio-2.0-dev-bin                 	       0       65       51       14        0
30427 libgio-cil                         	       0        1        1        0        0
30428 libgio-qt-dev                      	       0        1        1        0        0
30429 libgio-qt0                         	       0        5        0        0        5
30430 libgio2.0-cil-dev                  	       0        1        1        0        0
30431 libgio3.0-cil                      	       0       63        0        0       63
30432 libgio3.0-cil-dev                  	       0       17       17        0        0
30433 libgirara-dev                      	       0        1        1        0        0
30434 libgirara-gtk2-0                   	       0        1        0        0        1
30435 libgirara-gtk3-1                   	       0        1        0        0        1
30436 libgirara-gtk3-2                   	       0        3        0        0        3
30437 libgirara-gtk3-3t64                	       0        1        0        0        1
30438 libgirara-gtk3-4                   	       0       11        0        0       11
30439 libgirepository-1.0-dev            	       0        9        9        0        0
30440 libgirepository-2.0-0              	       0       87        0        0       87
30441 libgirepository1.0-0               	       0        2        0        0        2
30442 libgirepository1.0-doc             	       0        4        0        0        4
30443 libgis-distance-perl               	       0        5        5        0        0
30444 libgit-repository-perl             	       0        5        5        0        0
30445 libgit-repository-plugin-log-perl  	       0        4        4        0        0
30446 libgit-version-compare-perl        	       0        5        5        0        0
30447 libgit2-1.3                        	       0        1        0        0        1
30448 libgit2-1.5                        	       0      636        6        0      630
30449 libgit2-1.7                        	       0       33        0        0       33
30450 libgit2-1.8                        	       0       52        0        0       52
30451 libgit2-21                         	       0        2        0        0        2
30452 libgit2-24                         	       0       25        0        0       25
30453 libgit2-28                         	       0        1        0        0        1
30454 libgit2-glib-1.0-0                 	       0      155        1        0      154
30455 libgit2-glib-1.0-doc               	       0        2        0        0        2
30456 libgivaro-dev                      	       0       12       12        0        0
30457 libgivaro-doc                      	       0        1        0        0        1
30458 libgivaro9                         	       0       12        0        0       12
30459 libgiza0                           	       0        6        0        0        6
30460 libgjs0c                           	       0        1        1        0        0
30461 libgjs0e                           	       0       17       17        0        0
30462 libgkarrays1                       	       0        1        0        0        1
30463 libgkeyfile1.0-cil                 	       0        7        0        0        7
30464 libgkl-java                        	       0        5        0        0        5
30465 libgkl-jni                         	       0        5        5        0        0
30466 libgksu1.2-0                       	       0        1        1        0        0
30467 libgksu2-dev                       	       0        1        1        0        0
30468 libgksuui1.0-1                     	       0        1        0        0        1
30469 libgl-gst                          	       0        2        2        0        0
30470 libgl-image-display0               	       0        1        0        0        1
30471 libgl1-amber-dri                   	       0        1        0        0        1
30472 libgl1-amdgpu-mesa-dev             	       0        1        0        0        1
30473 libgl1-glvnd-nvidia-glx            	       0        2        0        0        2
30474 libgl1-mesa-dev                    	       0      490        0        0      490
30475 libgl1-mesa-dri-experimental       	       0        1        0        0        1
30476 libgl1-mesa-glx                    	       0      635        0        0      635
30477 libgl1-mesa-swx11                  	       0        1        0        0        1
30478 libgl1-nvidia-glvnd-glx            	       0      166        0        0      166
30479 libgl1-nvidia-glx                  	       0        3        0        0        3
30480 libgl1-nvidia-glx-i386             	       0        1        0        0        1
30481 libgl1-nvidia-legacy-304xx-glx     	       0        1        0        0        1
30482 libgl1-nvidia-legacy-340xx-glx     	       0        9        4        0        5
30483 libgl1-nvidia-legacy-390xx-glvnd-glx	       0       11        0        0       11
30484 libgl1-nvidia-legacy-390xx-glx     	       0        3        0        0        3
30485 libgl1-nvidia-tesla-450-glvnd-glx  	       0        2        0        0        2
30486 libgl1-nvidia-tesla-470-glvnd-glx  	       0       16        0        0       16
30487 libgl2ps-dev                       	       0       18       18        0        0
30488 libgl2ps-doc                       	       0        1        0        0        1
30489 libgl2ps0                          	       0        5        0        0        5
30490 libgl2ps1                          	       0       14        0        0       14
30491 libgl2ps1.4                        	       0      290        0        0      290
30492 libgl4es-dev                       	       0        1        1        0        0
30493 libgl4es0                          	       0        2        0        0        2
30494 libglade-gnome0                    	       0        1        0        0        1
30495 libglade0                          	       0        1        0        0        1
30496 libglade2-0                        	       0      489        1        0      488
30497 libglade2-dev                      	       0       23       23        0        0
30498 libglade2.0-cil                    	       0       40        0        0       40
30499 libglade2.0-cil-dev                	       0       11       11        0        0
30500 libglademm-2.4-1c2a                	       0        1        0        0        1
30501 libglademm-2.4-1v5                 	       0       45        0        0       45
30502 libglademm-2.4-dev                 	       0        2        2        0        0
30503 libglademm-2.4-doc                 	       0        2        2        0        0
30504 libgladeui-1-7                     	       0        1        1        0        0
30505 libgladeui-2-13                    	       0       37        0        0       37
30506 libgladeui-2-13t64                 	       0        7        0        0        7
30507 libgladeui-2-6                     	       0        4        0        0        4
30508 libgladeui-common                  	       0       48        0        0       48
30509 libgladeui-dev                     	       0        3        3        0        0
30510 libgladeui-doc                     	       0        1        0        0        1
30511 libglazedlists-java                	       0       24        0        0       24
30512 libglbinding-dev                   	       0        2        2        0        0
30513 libglbinding2                      	       0        2        0        0        2
30514 libglbsp-dev                       	       0        2        2        0        0
30515 libglbsp3                          	       0        5        0        0        5
30516 libglc-dev                         	       0        3        3        0        0
30517 libglc0                            	       0       50        0        0       50
30518 libgle3                            	       0      173        0        0      173
30519 libgle3-dev                        	       0        1        1        0        0
30520 libglee0d1                         	       0        4        0        0        4
30521 libgles-nvidia-legacy-390xx1       	       0       14        0        0       14
30522 libgles-nvidia-legacy-390xx2       	       0       14        0        0       14
30523 libgles-nvidia-tesla-450-1         	       0        2        0        0        2
30524 libgles-nvidia-tesla-450-2         	       0        2        0        0        2
30525 libgles-nvidia-tesla-470-1         	       0       13        0        0       13
30526 libgles-nvidia-tesla-470-2         	       0       13        0        0       13
30527 libgles-nvidia1                    	       0      153        0        0      153
30528 libgles-nvidia2                    	       0      154        0        0      154
30529 libgles1                           	       0      794        0        0      794
30530 libgles1-amdgpu-mesa               	       0        1        0        0        1
30531 libgles1-glvnd-nvidia              	       0        2        0        0        2
30532 libgles1-mesa                      	       0       21        0        0       21
30533 libgles1-nvidia                    	       0        2        0        0        2
30534 libgles1-nvidia-legacy-340xx       	       0        8        0        0        8
30535 libgles2-amdgpu-mesa               	       0        1        0        0        1
30536 libgles2-glvnd-nvidia              	       0        1        0        0        1
30537 libgles2-mesa                      	       0      108        0        0      108
30538 libgles2-nvidia                    	       0        2        0        0        2
30539 libgles2-nvidia-legacy-340xx       	       0        8        0        0        8
30540 libglew-dev                        	       0       97       96        1        0
30541 libglew1.10                        	       0       29        0        0       29
30542 libglew1.13                        	       0        2        0        0        2
30543 libglew1.5                         	       0        2        0        0        2
30544 libglew1.6                         	       0        2        0        0        2
30545 libglew1.7                         	       0        8        0        0        8
30546 libglew2.0                         	       0       98        0        0       98
30547 libglewmx-dev                      	       0        2        2        0        0
30548 libglewmx1.10                      	       0        4        0        0        4
30549 libglewmx1.13                      	       0       54        0        0       54
30550 libglewmx1.5                       	       0        2        0        0        2
30551 libglewmx1.7                       	       0        2        0        0        2
30552 libglfw3                           	       0      133        0        0      133
30553 libglfw3-dev                       	       0       49       47        2        0
30554 libglfw3-doc                       	       0        4        0        0        4
30555 libglfw3-wayland                   	       0        4        0        0        4
30556 libglgrib-egl0                     	       0        1        0        0        1
30557 libgli-dev                         	       0        2        2        0        0
30558 libgli-doc                         	       0        1        0        0        1
30559 libglib-testing-0-0                	       0        1        0        0        1
30560 libglib-testing-0-dev              	       0        1        1        0        0
30561 libglib-testing-doc                	       0        1        0        0        1
30562 libglib1.2                         	       0        2        0        0        2
30563 libglib1.2-dev                     	       0        3        3        0        0
30564 libglib1.2ldbl                     	       0        5        1        0        4
30565 libglib2-ruby                      	       0        1        0        0        1
30566 libglib2-ruby1.8                   	       0        2        1        0        1
30567 libglib2.0-0-dbgsym                	       0        1        1        0        0
30568 libglib2.0-bin-dbgsym              	       0        1        1        0        0
30569 libglib2.0-cil                     	       0       99        1        0       98
30570 libglib2.0-cil-dev                 	       0       26       25        1        0
30571 libglib2.0-dev-bin-dbgsym          	       0        1        1        0        0
30572 libglib2.0-doc                     	       0      104        0        0      104
30573 libglib3.0-cil                     	       0       65        0        0       65
30574 libglib3.0-cil-dev                 	       0       18       18        0        0
30575 libglibd-2.0-0                     	       0        4        0        0        4
30576 libglibd-2.0-dev                   	       0        1        0        0        1
30577 libglibmm-2.4-1c2a                 	       0       10        1        0        9
30578 libglibmm-2.4-doc                  	       0       12       12        0        0
30579 libglide3                          	       0        5        5        0        0
30580 libglide3-dev                      	       0        2        2        0        0
30581 libglm-dev                         	       0       37       37        0        0
30582 libglm-doc                         	       0        2        0        0        2
30583 libglobjects-dev                   	       0        1        1        0        0
30584 libglobjects1                      	       0        1        0        0        1
30585 libglobus-authz-callout-error0     	       0        2        0        0        2
30586 libglobus-authz0                   	       0        2        0        0        2
30587 libglobus-callout-dev              	       0        1        1        0        0
30588 libglobus-callout-doc              	       0        1        0        0        1
30589 libglobus-callout0                 	       0        4        0        0        4
30590 libglobus-common-dev               	       0        3        3        0        0
30591 libglobus-common-doc               	       0        1        0        0        1
30592 libglobus-common0                  	       0        5        5        0        0
30593 libglobus-ftp-client-dev           	       0        1        1        0        0
30594 libglobus-ftp-client-doc           	       0        1        0        0        1
30595 libglobus-ftp-client2              	       0        3        0        0        3
30596 libglobus-ftp-control-dev          	       0        1        1        0        0
30597 libglobus-ftp-control-doc          	       0        1        0        0        1
30598 libglobus-ftp-control1             	       0        3        0        0        3
30599 libglobus-gass-copy2               	       0        2        0        0        2
30600 libglobus-gass-server-ez-dev       	       0        1        1        0        0
30601 libglobus-gass-server-ez2          	       0        1        0        0        1
30602 libglobus-gass-transfer-dev        	       0        1        1        0        0
30603 libglobus-gass-transfer-doc        	       0        1        0        0        1
30604 libglobus-gass-transfer2           	       0        3        0        0        3
30605 libglobus-gfork0                   	       0        2        0        0        2
30606 libglobus-gram-client-dev          	       0        1        1        0        0
30607 libglobus-gram-client-doc          	       0        1        0        0        1
30608 libglobus-gram-client3             	       0        1        0        0        1
30609 libglobus-gram-protocol-dev        	       0        1        1        0        0
30610 libglobus-gram-protocol-doc        	       0        1        0        0        1
30611 libglobus-gram-protocol3           	       0        1        1        0        0
30612 libglobus-gridftp-server-control0  	       0        1        0        0        1
30613 libglobus-gridftp-server6          	       0        1        0        0        1
30614 libglobus-gsi-callback-dev         	       0        3        3        0        0
30615 libglobus-gsi-callback-doc         	       0        1        0        0        1
30616 libglobus-gsi-callback0            	       0        5        0        0        5
30617 libglobus-gsi-cert-utils-dev       	       0        3        3        0        0
30618 libglobus-gsi-cert-utils-doc       	       0        1        0        0        1
30619 libglobus-gsi-cert-utils0          	       0        5        0        0        5
30620 libglobus-gsi-credential-dev       	       0        3        3        0        0
30621 libglobus-gsi-credential-doc       	       0        1        0        0        1
30622 libglobus-gsi-credential1          	       0        5        0        0        5
30623 libglobus-gsi-openssl-error-dev    	       0        3        3        0        0
30624 libglobus-gsi-openssl-error-doc    	       0        1        0        0        1
30625 libglobus-gsi-openssl-error0       	       0        5        0        0        5
30626 libglobus-gsi-proxy-core-dev       	       0        3        3        0        0
30627 libglobus-gsi-proxy-core-doc       	       0        1        0        0        1
30628 libglobus-gsi-proxy-core0          	       0        5        0        0        5
30629 libglobus-gsi-proxy-ssl-dev        	       0        3        3        0        0
30630 libglobus-gsi-proxy-ssl-doc        	       0        1        0        0        1
30631 libglobus-gsi-proxy-ssl1           	       0        5        0        0        5
30632 libglobus-gsi-sysconfig-dev        	       0        3        3        0        0
30633 libglobus-gsi-sysconfig-doc        	       0        1        0        0        1
30634 libglobus-gsi-sysconfig1           	       0        5        0        0        5
30635 libglobus-gss-assist-dev           	       0        1        1        0        0
30636 libglobus-gss-assist-doc           	       0        1        0        0        1
30637 libglobus-gss-assist3              	       0        4        0        0        4
30638 libglobus-gssapi-error-dev         	       0        1        1        0        0
30639 libglobus-gssapi-error-doc         	       0        1        0        0        1
30640 libglobus-gssapi-error2            	       0        3        0        0        3
30641 libglobus-gssapi-gsi-dev           	       0        3        3        0        0
30642 libglobus-gssapi-gsi-doc           	       0        1        0        0        1
30643 libglobus-gssapi-gsi4              	       0        5        0        0        5
30644 libglobus-io-dev                   	       0        1        1        0        0
30645 libglobus-io3                      	       0        3        0        0        3
30646 libglobus-openssl-module-dev       	       0        3        3        0        0
30647 libglobus-openssl-module-doc       	       0        1        0        0        1
30648 libglobus-openssl-module0          	       0        5        0        0        5
30649 libglobus-rsl-dev                  	       0        1        1        0        0
30650 libglobus-rsl-doc                  	       0        1        0        0        1
30651 libglobus-rsl2                     	       0        1        0        0        1
30652 libglobus-usage0                   	       0        2        0        0        2
30653 libglobus-xio-dev                  	       0        1        1        0        0
30654 libglobus-xio-doc                  	       0        1        0        0        1
30655 libglobus-xio-gsi-driver           	       0        3        0        0        3
30656 libglobus-xio-gsi-driver-dev       	       0        1        1        0        0
30657 libglobus-xio-gsi-driver-doc       	       0        1        0        0        1
30658 libglobus-xio-gsi-driver0          	       0        2        0        0        2
30659 libglobus-xio-pipe-driver          	       0        2        0        0        2
30660 libglobus-xio-pipe-driver0         	       0        2        0        0        2
30661 libglobus-xio-popen-driver         	       0        3        0        0        3
30662 libglobus-xio-popen-driver-dev     	       0        1        1        0        0
30663 libglobus-xio-popen-driver0        	       0        2        0        0        2
30664 libglobus-xio0                     	       0        3        0        0        3
30665 libgloo0                           	       0       13        0        0       13
30666 libgloox-dev                       	       0        4        4        0        0
30667 libgloox12                         	       0        1        0        0        1
30668 libgloox14                         	       0        1        0        0        1
30669 libgloox15                         	       0        4        0        0        4
30670 libgloox17                         	       0        4        0        0        4
30671 libgloox18                         	       0       52        1        0       51
30672 libglpk-dev                        	       0       17       17        0        0
30673 libglpk-java                       	       0        1        0        0        1
30674 libglpk0                           	       0        1        0        0        1
30675 libglpk40                          	       0      123        0        0      123
30676 libgltf-0.0-0                      	       0       14        0        0       14
30677 libgltf-0.0-0v5                    	       0       76        0        0       76
30678 libgltf-0.1-1                      	       0        2        0        0        2
30679 libgluegen2-jni                    	       0       19       19        0        0
30680 libgluegen2-rt-java                	       0       19        0        0       19
30681 libgluezilla                       	       0        1        0        0        1
30682 libglusterd0                       	       0       11        0        0       11
30683 libglusterfs-dev                   	       0        7        7        0        0
30684 libglusterfs0                      	       0      701        4        0      697
30685 libglut3                           	       0        1        0        0        1
30686 libglut3.12                        	       0      425        0        0      425
30687 libglvnd0-nvidia                   	       0        2        0        0        2
30688 libglw1-mesa                       	       0        8        0        0        8
30689 libglw1-mesa-dev                   	       0        5        5        0        0
30690 libglw1t64-mesa                    	       0        1        0        0        1
30691 libglx-nvidia-tesla-450-0          	       0        2        1        0        1
30692 libglx0-glvnd-nvidia               	       0        2        0        0        2
30693 libglyr-dev                        	       0        1        1        0        0
30694 libglyr-doc                        	       0        1        0        0        1
30695 libglyr1                           	       0       99        1        0       98
30696 libgm2-0                           	       0        1        0        0        1
30697 libgm2-12-dev                      	       0        1        0        0        1
30698 libgm2-13-dev                      	       0        2        0        0        2
30699 libgm2-14-dev                      	       0        3        0        0        3
30700 libgm2-17                          	       0        1        0        0        1
30701 libgm2-18                          	       0        2        0        0        2
30702 libgm2-18-dbgsym                   	       0        1        1        0        0
30703 libgm2-19                          	       0        3        0        0        3
30704 libgm2-9-dev                       	       0        1        0        0        1
30705 libgmbal-commons-java              	       0        3        0        0        3
30706 libgmbal-java                      	       0        3        0        0        3
30707 libgmbal-pfl-java                  	       0        3        0        0        3
30708 libgme-dev                         	       0       23       23        0        0
30709 libgmerlin-avdec-dev               	       0        1        1        0        0
30710 libgmerlin-avdec1                  	       0       11        0        0       11
30711 libgmerlin-avdec2                  	       0       12        0        0       12
30712 libgmerlin-common                  	       0       12        0        0       12
30713 libgmerlin-dev                     	       0        1        1        0        0
30714 libgmerlin0                        	       0        5        0        0        5
30715 libgmerlin2                        	       0        8        0        0        8
30716 libgmerlin2t64                     	       0        1        0        0        1
30717 libgmetrics-groovy-java            	       0        1        0        0        1
30718 libgmic1                           	       0      180        0        0      180
30719 libgmime-2.0-2                     	       0        1        0        0        1
30720 libgmime-2.4-2                     	       0        3        0        0        3
30721 libgmime-2.6-0                     	       0      130        1        0      129
30722 libgmime-2.6-dev                   	       0       11       11        0        0
30723 libgmime-3.0-dev                   	       0        6        6        0        0
30724 libgmime-3.0-doc                   	       0        1        0        0        1
30725 libgmime2.6-cil                    	       0        6        0        0        6
30726 libgmlib1                          	       0       19        0        0       19
30727 libgmm++-dev                       	       0        1        0        0        1
30728 libgmm-dev                         	       0        1        1        0        0
30729 libgmock-dev                       	       0       12       11        1        0
30730 libgmp-ocaml                       	       0        1        1        0        0
30731 libgmp-ocaml-dev                   	       0        1        1        0        0
30732 libgmp10-doc                       	       0       15       13        2        0
30733 libgmp3-dev                        	       0       34        0        0       34
30734 libgmp3c2                          	       0       10        0        0       10
30735 libgmpxx4ldbl                      	       0      578        1        0      577
30736 libgmsh4                           	       0        3        0        0        3
30737 libgmsh4.8                         	       0        7        0        0        7
30738 libgmt-dev                         	       0        1        1        0        0
30739 libgmt6                            	       0        6        0        0        6
30740 libgmt6t64                         	       0        2        0        0        2
30741 libgmtk1                           	       0       19        0        0       19
30742 libgmtk1-data                      	       0       21        0        0       21
30743 libgmyth0                          	       0        1        0        0        1
30744 libgnat-10                         	       0       14        0        0       14
30745 libgnat-11                         	       0        2        0        0        2
30746 libgnat-12                         	       0       47        0        0       47
30747 libgnat-12-i386-cross              	       0        1        0        0        1
30748 libgnat-13                         	       0        6        0        0        6
30749 libgnat-13-dbgsym                  	       0        1        1        0        0
30750 libgnat-14                         	       0        2        0        0        2
30751 libgnat-15                         	       0        1        0        0        1
30752 libgnat-4.6                        	       0        1        0        0        1
30753 libgnat-6                          	       0        4        0        0        4
30754 libgnat-8                          	       0        3        0        0        3
30755 libgnat-9                          	       0        1        0        0        1
30756 libgnat-util10                     	       0        9        0        0        9
30757 libgnatcoll-db-bin                 	       0        1        1        0        0
30758 libgnatcoll-db-doc                 	       0        1        0        0        1
30759 libgnatcoll-doc                    	       0        3        0        0        3
30760 libgnatcoll-gtk1.7                 	       0        1        0        0        1
30761 libgnatcoll-iconv1.7               	       0        1        0        0        1
30762 libgnatcoll-iconv18                	       0        1        0        0        1
30763 libgnatcoll-iconv20                	       0        1        0        0        1
30764 libgnatcoll-postgres1              	       0        1        0        0        1
30765 libgnatcoll-postgres3-dev          	       0        1        0        0        1
30766 libgnatcoll-python1.7              	       0        1        0        0        1
30767 libgnatcoll-python17               	       0        1        0        0        1
30768 libgnatcoll-readline1.7            	       0        1        0        0        1
30769 libgnatcoll-sql1                   	       0        1        0        0        1
30770 libgnatcoll-sql3                   	       0        1        0        0        1
30771 libgnatcoll-sql5-dev               	       0        1        0        0        1
30772 libgnatcoll-sqlite-bin             	       0        2        2        0        0
30773 libgnatcoll-sqlite1.7              	       0        1        0        0        1
30774 libgnatcoll-sqlite18               	       0        1        0        0        1
30775 libgnatcoll-sqlite20               	       0        1        0        0        1
30776 libgnatcoll-xref18                 	       0        1        0        0        1
30777 libgnatcoll-xref20                 	       0        1        0        0        1
30778 libgnatcoll1.7                     	       0        1        0        0        1
30779 libgnatcoll17                      	       0        1        0        0        1
30780 libgnatcoll21                      	       0        4        0        0        4
30781 libgnatcoll21-dev                  	       0        1        0        0        1
30782 libgnatprj10                       	       0        7        0        0        7
30783 libgnatprj10-dev                   	       0        1        0        0        1
30784 libgnatprj4.6                      	       0        1        0        0        1
30785 libgnatprj6                        	       0        2        0        0        2
30786 libgnatprj8                        	       0        1        0        0        1
30787 libgnatvsn4.6                      	       0        1        0        0        1
30788 libgnatvsn6                        	       0        2        0        0        2
30789 libgnatvsn8                        	       0        1        0        0        1
30790 libgnet-dev                        	       0        1        1        0        0
30791 libgnet2.0-0                       	       0        3        0        0        3
30792 libgnokii6                         	       0        8        0        0        8
30793 libgnokii7                         	       0        1        0        0        1
30794 libgnome-2-0                       	       0      156        0        0      156
30795 libgnome-autoar-common             	       0       20        0        0       20
30796 libgnome-bluetooth-3.0-dev         	       0        1        0        0        1
30797 libgnome-bluetooth-dev             	       0        2        2        0        0
30798 libgnome-bluetooth-ui-3.0-13       	       0      320        3        3      314
30799 libgnome-bluetooth10               	       0        6        0        0        6
30800 libgnome-bluetooth11               	       0        1        0        0        1
30801 libgnome-bluetooth7                	       0        1        0        0        1
30802 libgnome-desktop-2-17              	       0        5        0        0        5
30803 libgnome-desktop-3-10              	       0        3        0        0        3
30804 libgnome-desktop-3-12              	       0       57        0        0       57
30805 libgnome-desktop-3-17              	       0       46        1        0       45
30806 libgnome-desktop-3-18              	       0        1        0        0        1
30807 libgnome-desktop-3-2               	       0        1        0        0        1
30808 libgnome-desktop-3-7               	       0        2        2        0        0
30809 libgnome-device-manager0           	       0        1        0        0        1
30810 libgnome-games-support-1-2         	       0       14        0        0       14
30811 libgnome-games-support-1-3         	       0      396        1        2      393
30812 libgnome-games-support-1-dev       	       0        1        1        0        0
30813 libgnome-games-support-2-4         	       0       22        0        0       22
30814 libgnome-games-support-common      	       0      408        0        0      408
30815 libgnome-games-support-common2     	       0       22        0        0       22
30816 libgnome-keyring-common            	       0      246        0        0      246
30817 libgnome-keyring-dev               	       0        8        8        0        0
30818 libgnome-keyring0                  	       0      243        5        0      238
30819 libgnome-keyring1.0-cil            	       0        2        2        0        0
30820 libgnome-mag2                      	       0        2        2        0        0
30821 libgnome-media-profiles-3.0-0      	       0        1        0        0        1
30822 libgnome-menu-3-dev                	       0        5        5        0        0
30823 libgnome-menu2                     	       0        5        0        0        5
30824 libgnome-panel3                    	       0        6        0        0        6
30825 libgnome-rr-4-2                    	       0      300        3        3      294
30826 libgnome-rr-4-2t64                 	       0       19        0        0       19
30827 libgnome-speech7                   	       0        1        1        0        0
30828 libgnome-todo                      	       0       12        0        0       12
30829 libgnome-vfs2.0-cil                	       0        4        0        0        4
30830 libgnome-vfsmm-2.6-1c2a            	       0        1        0        0        1
30831 libgnome2-0                        	       0       42        0        0       42
30832 libgnome2-canvas-perl              	       0        4        4        0        0
30833 libgnome2-common                   	       0      160        1        0      159
30834 libgnome2-dev                      	       0        3        3        0        0
30835 libgnome2-doc                      	       0        1        0        0        1
30836 libgnome2-gconf-perl               	       0        2        0        0        2
30837 libgnome2-perl                     	       0        3        0        0        3
30838 libgnome2-vfs-perl                 	       0        3        0        0        3
30839 libgnome2-wnck-perl                	       0        1        0        0        1
30840 libgnome2.24-cil                   	       0        4        0        0        4
30841 libgnome32                         	       0        1        0        0        1
30842 libgnomecanvas2-common             	       0      241        0        0      241
30843 libgnomecanvas2-dev                	       0        6        6        0        0
30844 libgnomecanvas2-doc                	       0        2        0        0        2
30845 libgnomecanvasmm-2.6-1c2a          	       0        1        0        0        1
30846 libgnomecanvasmm-2.6-1v5           	       0        6        0        0        6
30847 libgnomecanvasmm-2.6-doc           	       0        1        0        0        1
30848 libgnomecups1.0-1                  	       0        4        0        0        4
30849 libgnomedb2-common                 	       0        1        0        0        1
30850 libgnomedb2-doc                    	       0        1        0        0        1
30851 libgnomedesktop2.20-cil            	       0        1        0        0        1
30852 libgnomekbd-common                 	       0      566        0        1      565
30853 libgnomekbd-dev                    	       0        2        2        0        0
30854 libgnomekbd7                       	       0        7        0        0        7
30855 libgnomeprint2.2-0                 	       0        4        4        0        0
30856 libgnomeprint2.2-data              	       0        4        0        0        4
30857 libgnomeprint2.2-doc               	       0        1        0        0        1
30858 libgnomeprintui2.2-0               	       0        4        0        0        4
30859 libgnomeprintui2.2-common          	       0        4        0        0        4
30860 libgnomescan0                      	       0        1        0        0        1
30861 libgnomesupport0                   	       0        1        0        0        1
30862 libgnomeui-0                       	       0       96        0        0       96
30863 libgnomeui-common                  	       0      110        1        0      109
30864 libgnomeui-dev                     	       0        3        3        0        0
30865 libgnomeui-doc                     	       0        1        0        0        1
30866 libgnomeui32                       	       0        1        0        0        1
30867 libgnomevfs2-0                     	       0      163        0        0      163
30868 libgnomevfs2-bin                   	       0        5        5        0        0
30869 libgnomevfs2-common                	       0      166        1        0      165
30870 libgnomevfs2-dev                   	       0        3        3        0        0
30871 libgnomevfs2-extra                 	       0      156        1        0      155
30872 libgnorba27                        	       0        1        0        0        1
30873 libgnorbagtk0                      	       0        1        0        0        1
30874 libgnt-dev                         	       0        1        1        0        0
30875 libgnu-regexp-java                 	       0        1        0        0        1
30876 libgnuastro-dev                    	       0        2        2        0        0
30877 libgnuastro12                      	       0        1        0        0        1
30878 libgnuastro17                      	       0        2        0        0        2
30879 libgnuastro20t64                   	       0        1        0        0        1
30880 libgnucap-dev                      	       0        1        0        0        1
30881 libgnucap0                         	       0       24        0        0       24
30882 libgnudatalanguage0                	       0        2        2        0        0
30883 libgnuift0c2a                      	       0        5        0        0        5
30884 libgnuinet-java                    	       0        9        0        0        9
30885 libgnujaf-java                     	       0        6        0        0        6
30886 libgnujaf-java-doc                 	       0        1        0        0        1
30887 libgnumail-java                    	       0        9        0        0        9
30888 libgnumail-java-doc                	       0        1        0        0        1
30889 libgnunet0.17                      	       0        1        1        0        0
30890 libgnunet0.19                      	       0        6        6        0        0
30891 libgnunet0.20t64                   	       0        3        3        0        0
30892 libgnunetgtk3                      	       0        7        0        0        7
30893 libgnupdf0                         	       0        1        1        0        0
30894 libgnupg-perl                      	       0        1        1        0        0
30895 libgnuradio-air-modes1             	       0        3        0        0        3
30896 libgnuradio-air-modes1t64          	       0        2        0        0        2
30897 libgnuradio-analog3.10.10          	       0        1        0        0        1
30898 libgnuradio-analog3.10.11          	       0        7        0        0        7
30899 libgnuradio-analog3.10.5           	       0       31        2        0       29
30900 libgnuradio-analog3.10.9t64        	       0        1        0        0        1
30901 libgnuradio-analog3.7.10           	       0        1        0        0        1
30902 libgnuradio-analog3.7.13           	       0        2        0        0        2
30903 libgnuradio-analog3.8.2            	       0        6        0        0        6
30904 libgnuradio-atsc3.7.13             	       0        2        0        0        2
30905 libgnuradio-audio3.10.10           	       0        1        0        0        1
30906 libgnuradio-audio3.10.11           	       0        7        0        0        7
30907 libgnuradio-audio3.10.5            	       0       33        2        0       31
30908 libgnuradio-audio3.10.9t64         	       0        1        0        0        1
30909 libgnuradio-audio3.7.13            	       0        2        0        0        2
30910 libgnuradio-audio3.8.2             	       0        5        0        0        5
30911 libgnuradio-blocks3.10.10          	       0        1        0        0        1
30912 libgnuradio-blocks3.10.11          	       0        7        0        0        7
30913 libgnuradio-blocks3.10.5           	       0       33        2        0       31
30914 libgnuradio-blocks3.10.9t64        	       0        1        0        0        1
30915 libgnuradio-blocks3.7.10           	       0        1        0        0        1
30916 libgnuradio-blocks3.7.13           	       0        3        0        0        3
30917 libgnuradio-blocks3.8.2            	       0        6        0        0        6
30918 libgnuradio-channels3.10.10        	       0        1        0        0        1
30919 libgnuradio-channels3.10.11        	       0        6        0        0        6
30920 libgnuradio-channels3.10.5         	       0       31        0        0       31
30921 libgnuradio-channels3.10.9t64      	       0        1        0        0        1
30922 libgnuradio-channels3.7.13         	       0        2        0        0        2
30923 libgnuradio-channels3.8.2          	       0        4        0        0        4
30924 libgnuradio-comedi3.7.13           	       0        3        0        0        3
30925 libgnuradio-dab3.8.0               	       0        2        0        0        2
30926 libgnuradio-digital3.10.10         	       0        1        0        0        1
30927 libgnuradio-digital3.10.11         	       0        7        0        0        7
30928 libgnuradio-digital3.10.5          	       0       31        2        0       29
30929 libgnuradio-digital3.10.9t64       	       0        1        0        0        1
30930 libgnuradio-digital3.7.13          	       0        2        0        0        2
30931 libgnuradio-digital3.8.2           	       0        6        0        0        6
30932 libgnuradio-dtv3.10.10             	       0        1        0        0        1
30933 libgnuradio-dtv3.10.11             	       0        6        0        0        6
30934 libgnuradio-dtv3.10.5              	       0       31        0        0       31
30935 libgnuradio-dtv3.7.13              	       0        2        0        0        2
30936 libgnuradio-dtv3.8.2               	       0        3        0        0        3
30937 libgnuradio-fcd3.7.13              	       0        2        2        0        0
30938 libgnuradio-fcdproplus3.7.11       	       0        1        0        0        1
30939 libgnuradio-fcdproplus3.8.0        	       0        4        0        0        4
30940 libgnuradio-fec3.10.10             	       0        1        0        0        1
30941 libgnuradio-fec3.10.11             	       0        6        0        0        6
30942 libgnuradio-fec3.10.5              	       0       31        0        0       31
30943 libgnuradio-fec3.7.13              	       0        2        0        0        2
30944 libgnuradio-fec3.8.2               	       0        3        0        0        3
30945 libgnuradio-fft3.10.10             	       0        1        0        0        1
30946 libgnuradio-fft3.10.11             	       0        7        0        0        7
30947 libgnuradio-fft3.10.5              	       0       32        2        0       30
30948 libgnuradio-fft3.10.9t64           	       0        1        0        0        1
30949 libgnuradio-fft3.7.10              	       0        1        0        0        1
30950 libgnuradio-fft3.7.13              	       0        3        0        0        3
30951 libgnuradio-fft3.8.2               	       0        8        0        0        8
30952 libgnuradio-filter3.10.10          	       0        1        0        0        1
30953 libgnuradio-filter3.10.11          	       0        7        0        0        7
30954 libgnuradio-filter3.10.5           	       0       32        2        0       30
30955 libgnuradio-filter3.10.9t64        	       0        1        0        0        1
30956 libgnuradio-filter3.7.10           	       0        1        0        0        1
30957 libgnuradio-filter3.7.13           	       0        3        0        0        3
30958 libgnuradio-filter3.8.2            	       0        8        0        0        8
30959 libgnuradio-fosphor3.7.12          	       0        1        0        0        1
30960 libgnuradio-fosphor3.8.0           	       0        3        0        0        3
30961 libgnuradio-fosphor3.9.0           	       0       24        0        0       24
30962 libgnuradio-funcube3.10.0          	       0       35        2        0       33
30963 libgnuradio-hpsdr1.0.0             	       0        4        0        0        4
30964 libgnuradio-hpsdr1.2.1             	       0        1        0        0        1
30965 libgnuradio-iio1                   	       0        1        0        0        1
30966 libgnuradio-iio3.10.10             	       0        1        0        0        1
30967 libgnuradio-iio3.10.11             	       0        6        0        0        6
30968 libgnuradio-iio3.10.5              	       0       32        0        0       32
30969 libgnuradio-iio3.10.9t64           	       0        1        0        0        1
30970 libgnuradio-iqbalance3.7.11        	       0        1        0        0        1
30971 libgnuradio-iqbalance3.8.0         	       0        4        0        0        4
30972 libgnuradio-iqbalance3.9.0         	       0       35        2        0       33
30973 libgnuradio-limesdr3.0.1           	       0        5        0        0        5
30974 libgnuradio-limesdr3.0.2           	       0        2        0        0        2
30975 libgnuradio-network3.10.10         	       0        1        0        0        1
30976 libgnuradio-network3.10.11         	       0        7        0        0        7
30977 libgnuradio-network3.10.5          	       0       31        2        0       29
30978 libgnuradio-network3.10.9t64       	       0        1        0        0        1
30979 libgnuradio-noaa3.7.13             	       0        2        0        0        2
30980 libgnuradio-osmosdr0.1.4           	       0        1        0        0        1
30981 libgnuradio-osmosdr0.2.0           	       0       34        2        0       32
30982 libgnuradio-osmosdr0.2.0t64        	       0        5        0        0        5
30983 libgnuradio-pager3.7.13            	       0        2        0        0        2
30984 libgnuradio-pdu3.10.10             	       0        1        0        0        1
30985 libgnuradio-pdu3.10.11             	       0        6        0        0        6
30986 libgnuradio-pdu3.10.5              	       0       31        0        0       31
30987 libgnuradio-pdu3.10.9t64           	       0        1        0        0        1
30988 libgnuradio-pmt3.10.10             	       0        1        0        0        1
30989 libgnuradio-pmt3.10.11             	       0        7        0        0        7
30990 libgnuradio-pmt3.10.5              	       0       35        2        0       33
30991 libgnuradio-pmt3.10.9t64           	       0        1        0        0        1
30992 libgnuradio-pmt3.7.10              	       0        2        0        0        2
30993 libgnuradio-pmt3.7.13              	       0        4        0        0        4
30994 libgnuradio-pmt3.8.2               	       0       12        0        0       12
30995 libgnuradio-qtgui3.10.10           	       0        1        0        0        1
30996 libgnuradio-qtgui3.10.11           	       0        6        0        0        6
30997 libgnuradio-qtgui3.10.5            	       0       31        0        0       31
30998 libgnuradio-qtgui3.10.9t64         	       0        1        0        0        1
30999 libgnuradio-qtgui3.7.13            	       0        3        0        0        3
31000 libgnuradio-qtgui3.8.2             	       0        4        0        0        4
31001 libgnuradio-rds1                   	       0        2        0        0        2
31002 libgnuradio-runtime3.10.10         	       0        1        0        0        1
31003 libgnuradio-runtime3.10.11         	       0        7        0        0        7
31004 libgnuradio-runtime3.10.5          	       0       34        2        0       32
31005 libgnuradio-runtime3.10.9t64       	       0        1        0        0        1
31006 libgnuradio-runtime3.7.10          	       0        2        0        0        2
31007 libgnuradio-runtime3.7.13          	       0        4        0        0        4
31008 libgnuradio-runtime3.8.2           	       0       12        0        0       12
31009 libgnuradio-satellites4.4.0        	       0        3        0        0        3
31010 libgnuradio-satellites5.5.0        	       0        2        0        0        2
31011 libgnuradio-soapy3.10.10           	       0        1        0        0        1
31012 libgnuradio-soapy3.10.11           	       0        6        0        0        6
31013 libgnuradio-soapy3.10.5            	       0       31        0        0       31
31014 libgnuradio-soapy3.10.9t64         	       0        1        0        0        1
31015 libgnuradio-trellis3.10.10         	       0        1        0        0        1
31016 libgnuradio-trellis3.10.11         	       0        6        0        0        6
31017 libgnuradio-trellis3.10.5          	       0       31        0        0       31
31018 libgnuradio-trellis3.10.9t64       	       0        1        0        0        1
31019 libgnuradio-trellis3.7.13          	       0        2        0        0        2
31020 libgnuradio-trellis3.8.2           	       0        4        0        0        4
31021 libgnuradio-uhd3.10.10             	       0        1        0        0        1
31022 libgnuradio-uhd3.10.11             	       0        7        0        0        7
31023 libgnuradio-uhd3.10.5              	       0       33        2        0       31
31024 libgnuradio-uhd3.10.9t64           	       0        1        0        0        1
31025 libgnuradio-uhd3.7.10              	       0        1        0        0        1
31026 libgnuradio-uhd3.7.13              	       0        2        0        0        2
31027 libgnuradio-uhd3.8.2               	       0        4        0        0        4
31028 libgnuradio-video-sdl3.10.10       	       0        1        0        0        1
31029 libgnuradio-video-sdl3.10.11       	       0        6        0        0        6
31030 libgnuradio-video-sdl3.10.5        	       0       31        0        0       31
31031 libgnuradio-video-sdl3.10.9t64     	       0        1        0        0        1
31032 libgnuradio-video-sdl3.7.13        	       0        2        0        0        2
31033 libgnuradio-video-sdl3.8.2         	       0        5        0        0        5
31034 libgnuradio-vocoder3.10.10         	       0        1        0        0        1
31035 libgnuradio-vocoder3.10.11         	       0        6        0        0        6
31036 libgnuradio-vocoder3.10.5          	       0       31        0        0       31
31037 libgnuradio-vocoder3.10.9t64       	       0        1        0        0        1
31038 libgnuradio-vocoder3.7.13          	       0        2        0        0        2
31039 libgnuradio-vocoder3.8.2           	       0        4        0        0        4
31040 libgnuradio-wavelet3.10.10         	       0        1        0        0        1
31041 libgnuradio-wavelet3.10.11         	       0        6        0        0        6
31042 libgnuradio-wavelet3.10.5          	       0       31        0        0       31
31043 libgnuradio-wavelet3.7.13          	       0        2        0        0        2
31044 libgnuradio-wavelet3.8.2           	       0        3        0        0        3
31045 libgnuradio-wxgui3.7.13            	       0        2        0        0        2
31046 libgnuradio-zeromq3.10.10          	       0        1        0        0        1
31047 libgnuradio-zeromq3.10.11          	       0        6        0        0        6
31048 libgnuradio-zeromq3.10.5           	       0       31        0        0       31
31049 libgnuradio-zeromq3.10.9t64        	       0        1        0        0        1
31050 libgnuradio-zeromq3.7.13           	       0        2        0        0        2
31051 libgnuradio-zeromq3.8.2            	       0        4        0        0        4
31052 libgnustep-base-dev                	       0        7        6        1        0
31053 libgnustep-base1.14                	       0        1        1        0        0
31054 libgnustep-base1.22                	       0        1        0        0        1
31055 libgnustep-base1.24                	       0       23        0        0       23
31056 libgnustep-base1.26                	       0       34        0        0       34
31057 libgnustep-base1.27                	       0      126        0        0      126
31058 libgnustep-base1.29                	       0       11        0        0       11
31059 libgnustep-base1.30                	       0       50        0        0       50
31060 libgnustep-dl2-0d                  	       0        1        0        0        1
31061 libgnustep-gui-dev                 	       0        3        2        1        0
31062 libgnustep-gui0.12                 	       0        1        0        0        1
31063 libgnustep-gui0.20                 	       0        1        0        0        1
31064 libgnustep-gui0.24                 	       0        1        0        0        1
31065 libgnustep-gui0.25                 	       0        3        0        0        3
31066 libgnustep-gui0.27                 	       0        1        0        0        1
31067 libgnustep-gui0.28                 	       0        3        0        0        3
31068 libgnustep-gui0.30                 	       0        1        0        0        1
31069 libgnutls13                        	       0        4        0        0        4
31070 libgnutls26                        	       0       80        1        0       79
31071 libgnutls28                        	       0        1        0        0        1
31072 libgnutlsxx27                      	       0        5        0        0        5
31073 libgnutlsxx28                      	       0       67        0        0       67
31074 libgnutlsxx30                      	       0      144        0        0      144
31075 libgo-10-dev                       	       0        2        0        0        2
31076 libgo-11-dev                       	       0        1        0        0        1
31077 libgo-11-dev-i386-cross            	       0        1        0        0        1
31078 libgo-12-dev                       	       0        6        0        0        6
31079 libgo-13-dev                       	       0        1        0        0        1
31080 libgo-14-dev                       	       0        3        0        0        3
31081 libgo13                            	       0        1        0        0        1
31082 libgo16                            	       0        2        0        0        2
31083 libgo19                            	       0        1        0        0        1
31084 libgo19-i386-cross                 	       0        1        0        0        1
31085 libgo21                            	       0        7        0        0        7
31086 libgo22                            	       0        2        0        0        2
31087 libgo23                            	       0        3        0        0        3
31088 libgo9                             	       0        1        0        0        1
31089 libgoa-1.0-dev                     	       0        5        5        0        0
31090 libgoa-1.0-doc                     	       0        1        0        0        1
31091 libgoffice-0-6-common              	       0        2        0        0        2
31092 libgoffice-0-8-common              	       0        1        1        0        0
31093 libgoffice-0-common                	       0        2        0        0        2
31094 libgoffice-0.10-10-common          	       0      186        1        0      185
31095 libgoffice-0.10-10t64              	       0       18       18        0        0
31096 libgoffice-0.10-dev                	       0        2        2        0        0
31097 libgoffice-0.10-doc                	       0        1        0        0        1
31098 libgoffice-0.8-8                   	       0        8        8        0        0
31099 libgoffice-0.8-8-common            	       0       10       10        0        0
31100 libgoffice-0.8-dev                 	       0        1        1        0        0
31101 libgoffice-1-common                	       0        2        0        0        2
31102 libgom-1.0-0                       	       0      387        6        0      381
31103 libgom-1.0-common                  	       0       14        0        0       14
31104 libgomp-plugin-amdgcn1             	       0        1        0        0        1
31105 libgomp-plugin-nvptx1              	       0        1        0        0        1
31106 libgomp1-alpha-cross               	       0        3        0        0        3
31107 libgomp1-arm64-cross               	       0       39        0        0       39
31108 libgomp1-armel-cross               	       0       23        0        0       23
31109 libgomp1-armhf-cross               	       0       32        0        0       32
31110 libgomp1-dbg                       	       0        1        1        0        0
31111 libgomp1-hppa-cross                	       0        3        0        0        3
31112 libgomp1-i386-cross                	       0       14        0        0       14
31113 libgomp1-m68k-cross                	       0        1        0        0        1
31114 libgomp1-mips-cross                	       0        5        0        0        5
31115 libgomp1-mips64-cross              	       0        1        0        0        1
31116 libgomp1-mips64el-cross            	       0        1        0        0        1
31117 libgomp1-mips64r6el-cross          	       0        1        0        0        1
31118 libgomp1-mipsel-cross              	       0        5        0        0        5
31119 libgomp1-powerpc-cross             	       0        5        0        0        5
31120 libgomp1-ppc64-cross               	       0        6        0        0        6
31121 libgomp1-ppc64el-cross             	       0        1        0        0        1
31122 libgomp1-riscv64-cross             	       0       10        0        0       10
31123 libgomp1-s390x-cross               	       0        4        0        0        4
31124 libgomp1-sparc64-cross             	       0        3        0        0        3
31125 libgomp1-x32-cross                 	       0        6        0        0        6
31126 libgoo-canvas-perl                 	       0        3        0        0        3
31127 libgoocanvas-2.0-9                 	       0      166        1        0      165
31128 libgoocanvas-2.0-common            	       0      166        1        0      165
31129 libgoocanvas-2.0-dev               	       0        2        2        0        0
31130 libgoocanvas-common                	       0       14        0        0       14
31131 libgoocanvas-ruby                  	       0        1        0        0        1
31132 libgoocanvas-ruby1.8               	       0        1        1        0        0
31133 libgoocanvas2-cairotypes-perl      	       0       14        0        0       14
31134 libgoocanvas3                      	       0       14        0        0       14
31135 libgoogle-api-client-java          	       0        4        0        0        4
31136 libgoogle-api-services-drive-java  	       0        2        0        0        2
31137 libgoogle-api-services-sheets-java 	       0        2        0        0        2
31138 libgoogle-auth-java                	       0        2        0        0        2
31139 libgoogle-auto-common-java         	       0        2        0        0        2
31140 libgoogle-auto-service-java        	       0        2        0        0        2
31141 libgoogle-auto-value-java          	       0        2        0        0        2
31142 libgoogle-flogger-java             	       0        2        0        0        2
31143 libgoogle-glog-dev                 	       0       20       20        0        0
31144 libgoogle-glog0v5                  	       0       10        1        0        9
31145 libgoogle-glog0v6                  	       0       31        1        0       30
31146 libgoogle-glog0v6t64               	       0        3        0        0        3
31147 libgoogle-gson-java                	       0      182        0        0      182
31148 libgoogle-http-client-java         	       0        4        0        0        4
31149 libgoogle-oauth-client-java        	       0        4        0        0        4
31150 libgoogle-perftools-dev            	       0       10       10        0        0
31151 libgoogle-perftools4t64            	       0        5        0        0        5
31152 libgorm1                           	       0        2        0        0        2
31153 libgossip-java                     	       0        1        0        0        1
31154 libgovirt-common                   	       0      106        0        0      106
31155 libgovirt2                         	       0      106        0        0      106
31156 libgp11-0                          	       0        3        0        0        3
31157 libgpac-dev                        	       0        1        1        0        0
31158 libgpac10                          	       0       30        0        0       30
31159 libgpac11                          	       0        4        0        0        4
31160 libgpac12                          	       0        7        0        0        7
31161 libgpac12t64                       	       0        6        0        0        6
31162 libgpac3                           	       0        1        0        0        1
31163 libgpac4                           	       0       10        0        0       10
31164 libgpars-groovy-java               	       0       72        0        0       72
31165 libgpars-groovy-java-doc           	       0        1        0        0        1
31166 libgpaste-2-common                 	       0        4        2        0        2
31167 libgpaste13                        	       0        1        0        0        1
31168 libgpds0                           	       0        2        0        0        2
31169 libgpewidget-data                  	       0        1        0        0        1
31170 libgpewidget1                      	       0        1        0        0        1
31171 libgpg-error-mingw-w64-dev         	       0        3        3        0        0
31172 libgpg-error0-dbgsym               	       0        1        1        0        0
31173 libgpgme++2                        	       0        4        1        0        3
31174 libgpgme++2v5                      	       0       55        0        0       55
31175 libgpgmepp-dev                     	       0        6        6        0        0
31176 libgpgmepp-doc                     	       0        2        0        0        2
31177 libgphobos-10-dev                  	       0        3        0        0        3
31178 libgphobos-10-dev-arm64-cross      	       0        1        0        0        1
31179 libgphobos-11-dev                  	       0        1        0        0        1
31180 libgphobos-12-dev                  	       0       12        0        0       12
31181 libgphobos-13-dev                  	       0        2        0        0        2
31182 libgphobos-14-dev                  	       0        1        0        0        1
31183 libgphobos-dev                     	       0       14        0        0       14
31184 libgphobos1                        	       0        7        0        0        7
31185 libgphobos1-arm64-cross            	       0        1        0        0        1
31186 libgphobos2                        	       0        8        0        0        8
31187 libgphobos3                        	       0       24        0        0       24
31188 libgphobos4                        	       0        4        0        0        4
31189 libgphobos4-dbgsym                 	       0        1        1        0        0
31190 libgphobos5                        	       0        3        0        0        3
31191 libgphobos76                       	       0        4        0        0        4
31192 libgphoto2-2                       	       0       15       15        0        0
31193 libgphoto2-2-dev                   	       0        1        0        0        1
31194 libgphoto2-dev                     	       0       65       65        0        0
31195 libgphoto2-dev-doc                 	       0        2        2        0        0
31196 libgphoto2-port0                   	       0       17        0        0       17
31197 libgphoto2-port10                  	       0       48        0        0       48
31198 libgpiod-dev                       	       0        6        6        0        0
31199 libgpiod-doc                       	       0        1        0        0        1
31200 libgpiod2                          	       0       35        0        0       35
31201 libgpiod2t64                       	       0        1        0        0        1
31202 libgpiod3                          	       0        2        0        0        2
31203 libgpod-cil                        	       0        1        1        0        0
31204 libgpod-cil-dev                    	       0        1        1        0        0
31205 libgpod-dev                        	       0        5        5        0        0
31206 libgpod-doc                        	       0        1        0        0        1
31207 libgpod3-nogtk                     	       0        1        0        0        1
31208 libgpod4-nogtk                     	       0        3        0        0        3
31209 libgportugol0                      	       0        1        0        0        1
31210 libgpr18                           	       0        1        0        0        1
31211 libgprofng0                        	       0     2068        0        0     2068
31212 libgps-dev                         	       0       14       14        0        0
31213 libgps-point-perl                  	       0        1        1        0        0
31214 libgps20                           	       0        1        0        0        1
31215 libgps21                           	       0       10        0        0       10
31216 libgps22                           	       0       17        0        0       17
31217 libgps23                           	       0       35        0        0       35
31218 libgps26                           	       0        1        0        0        1
31219 libgps30                           	       0        6        2        0        4
31220 libgps30t64                        	       0       43        1        0       42
31221 libgpuarray-dev                    	       0        3        3        0        0
31222 libgpuarray3                       	       0        4        0        0        4
31223 libgradle-android-plugin-java      	       0        2        0        0        2
31224 libgradle-android-plugin-java-doc  	       0        1        0        0        1
31225 libgradle-core-java                	       0       51        0        0       51
31226 libgradle-jflex-plugin-java        	       0        1        0        0        1
31227 libgranite-7-7                     	       0        5        0        0        5
31228 libgranite-7-common                	       0        5        0        0        5
31229 libgranite-common                  	       0       49        0        0       49
31230 libgranite-dev                     	       0        3        3        0        0
31231 libgranite5                        	       0        4        0        0        4
31232 libgranite6                        	       0       44        0        0       44
31233 libgrantlee-gui0                   	       0        4        1        0        3
31234 libgrantlee5-dev                   	       0        8        8        0        0
31235 libgrantleetheme-data              	       0        8        0        0        8
31236 libgrantleetheme-plugins           	       0        8        0        0        8
31237 libgraph-easy-as-svg-perl          	       0        2        2        0        0
31238 libgraph-easy-perl                 	       0        2        2        0        0
31239 libgraph-perl                      	       0       22       21        1        0
31240 libgraph-readwrite-perl            	       0        2        2        0        0
31241 libgraph-writer-graphviz-perl      	       0        1        1        0        0
31242 libgraph4                          	       0        6        0        0        6
31243 libgraphblas-dev                   	       0       20       20        0        0
31244 libgraphblas2                      	       0        2        0        0        2
31245 libgraphblas3                      	       0        7        0        0        7
31246 libgraphblas7                      	       0       20        0        0       20
31247 libgraphene-1.0-dev                	       0       54       52        2        0
31248 libgraphene-doc                    	       0        2        0        0        2
31249 libgraphics-colornames-perl        	       0        7        7        0        0
31250 libgraphics-colorobject-perl       	       0        3        3        0        0
31251 libgraphics-magick-perl            	       0       35        0        0       35
31252 libgraphics-ocaml                  	       0        1        1        0        0
31253 libgraphics-ocaml-dev              	       0        1        1        0        0
31254 libgraphics-tiff-perl              	       0       42        0        0       42
31255 libgraphics-toolkit-color-perl     	       0        1        1        0        0
31256 libgraphicsmagick++-q16-12         	       0      320        1        0      319
31257 libgraphicsmagick++-q16-12t64      	       0       19        0        0       19
31258 libgraphicsmagick++1               	       0        1        0        0        1
31259 libgraphicsmagick++1-dev           	       0       17       17        0        0
31260 libgraphicsmagick++3               	       0        5        0        0        5
31261 libgraphicsmagick-q16-3t64         	       0       50       50        0        0
31262 libgraphicsmagick1                 	       0        2        2        0        0
31263 libgraphicsmagick1-dev             	       0       21       21        0        0
31264 libgraphicsmagick3                 	       0       13       13        0        0
31265 libgraphite2-2.0.0                 	       0        2        0        0        2
31266 libgraphite2-doc                   	       0        1        0        0        1
31267 libgraphite2-utils                 	       0        6        6        0        0
31268 libgraphite3                       	       0        4        0        0        4
31269 libgraphviz-dev                    	       0      108      104        4        0
31270 libgraphviz-perl                   	       0       15       15        0        0
31271 libgravatar-data                   	       0        6        0        0        6
31272 libgravatar-url-perl               	       0        5        5        0        0
31273 libgretl1                          	       0        5        0        0        5
31274 libgretl1t64                       	       0        1        0        0        1
31275 libgrib2c-dev                      	       0        1        1        0        0
31276 libgrib2c0d                        	       0        3        0        0        3
31277 libgridsite1.7                     	       0        1        0        0        1
31278 libgridsite2                       	       0        1        0        0        1
31279 libgridsite6                       	       0        1        0        0        1
31280 libgrilo-0.1-0                     	       0        3        0        0        3
31281 libgrilo-0.2-1                     	       0        7        0        0        7
31282 libgrilo-0.3-0                     	       0      476        5        0      471
31283 libgringotts2                      	       0       19        1        0       18
31284 libgrits4                          	       0        1        1        0        0
31285 libgrits5                          	       0        2        0        0        2
31286 libgrokj2k1                        	       0        2        0        0        2
31287 libgrokj2k1-dev                    	       0        1        1        0        0
31288 libgromacs5                        	       0        1        0        0        1
31289 libgroove4                         	       0        2        0        0        2
31290 libgroovefingerprinter4            	       0        2        0        0        2
31291 libgrooveloudness4                 	       0        2        0        0        2
31292 libgrooveplayer4                   	       0        2        0        0        2
31293 libgroup-service1                  	       0        8        0        0        8
31294 libgroup-service1t64               	       0        2        0        0        2
31295 libgroupsock1                      	       0       24        1        0       23
31296 libgroupsock30                     	       0       53        1        0       52
31297 libgroupsock4                      	       0        1        0        0        1
31298 libgroupsock8                      	       0      185        0        0      185
31299 libgrpc++1                         	       0        8        0        0        8
31300 libgrpc++1.51                      	       0       69        1        0       68
31301 libgrpc++1.51t64                   	       0       13        0        0       13
31302 libgrpc-java                       	       0        4        0        0        4
31303 libgrpc10                          	       0        4        0        0        4
31304 libgrpc29                          	       0       87        1        0       86
31305 libgrpc29t64                       	       0       13        0        0       13
31306 libgrpc6                           	       0        4        0        0        4
31307 libgs-common                       	       0     2725        0        0     2725
31308 libgs-dev                          	       0        6        6        0        0
31309 libgs10-common                     	       0     2720        0        0     2720
31310 libgs9                             	       0      836        3        0      833
31311 libgs9-common                      	       0      933        0        0      933
31312 libgs9-dbgsym                      	       0        1        1        0        0
31313 libgsasl-dev                       	       0        6        6        0        0
31314 libgsasl18-dbgsym                  	       0        1        1        0        0
31315 libgsasl7                          	       0      524        1        0      523
31316 libgsasl7-dev                      	       0        1        0        0        1
31317 libgsecuredelete0                  	       0        1        0        0        1
31318 libgsf-1-common                    	       0      705        1        0      704
31319 libgsf-1-dev                       	       0       14       14        0        0
31320 libgsf-gnome-1-114                 	       0        2        0        0        2
31321 libgsl-dbg                         	       0        1        1        0        0
31322 libgsl-dev                         	       0       40       40        0        0
31323 libgsl0ldbl                        	       0        4        0        0        4
31324 libgsl2                            	       0       27        0        0       27
31325 libgsl23                           	       0       42        0        0       42
31326 libgsl25                           	       0      191        1        0      190
31327 libgsl28                           	       0       77        0        0       77
31328 libgsm-tools                       	       0        1        1        0        0
31329 libgsm1-dev                        	       0       88       88        0        0
31330 libgsmme1c2a                       	       0        1        0        0        1
31331 libgsmme1v5                        	       0        2        0        0        2
31332 libgsmsd8                          	       0        4        0        0        4
31333 libgsmsd8t64                       	       0        1        0        0        1
31334 libgsoap-2.8.104                   	       0        9        0        0        9
31335 libgsoap-2.8.117                   	       0        2        0        0        2
31336 libgsoap-2.8.124                   	       0       18        0        0       18
31337 libgsoap-2.8.132t64                	       0        5        0        0        5
31338 libgsoap-2.8.135                   	       0        4        0        0        4
31339 libgsoap-2.8.75                    	       0        4        0        0        4
31340 libgsoap-2.8.91                    	       0        1        0        0        1
31341 libgsoap-dev                       	       0        3        3        0        0
31342 libgsoap10                         	       0        5        0        0        5
31343 libgsoap2                          	       0        1        0        0        1
31344 libgsoap5                          	       0        8        0        0        8
31345 libgsoap7                          	       0        1        0        0        1
31346 libgsoap9                          	       0        1        0        0        1
31347 libgsound-dev                      	       0        2        2        0        0
31348 libgsound0                         	       0      496       16        3      477
31349 libgspell-1-1                      	       0       84        1        0       83
31350 libgspell-1-common                 	       0     2270        6        0     2264
31351 libgspell-1-dev                    	       0        8        8        0        0
31352 libgspell-1-doc                    	       0        1        0        0        1
31353 libgss-dev                         	       0        3        3        0        0
31354 libgss3                            	       0        3        0        0        3
31355 libgssapi-perl                     	       0       61        0        0       61
31356 libgssapi2-heimdal                 	       0        1        0        0        1
31357 libgssapi3t64-heimdal              	       0        2        0        0        2
31358 libgssdp-1.0-2                     	       0        1        0        0        1
31359 libgssdp-1.0-3                     	       0      204        1        0      203
31360 libgssdp-1.2-0                     	       0      519        3        0      516
31361 libgssdp-1.6-dev                   	       0        4        4        0        0
31362 libgssglue-dev                     	       0       10       10        0        0
31363 libgssrpc4t64                      	       0       43        1        0       42
31364 libgst7                            	       0        5        0        0        5
31365 libgstreamer-ocaml                 	       0        2        2        0        0
31366 libgstreamer-ocaml-dev             	       0        1        1        0        0
31367 libgstreamer-opencv1.0-0           	       0       16        0        0       16
31368 libgstreamer-plugins-bad0.10-0     	       0       26        1        0       25
31369 libgstreamer-plugins-bad1.0-dev    	       0       11       11        0        0
31370 libgstreamer-plugins-base0.10-0    	       0       67        4        0       63
31371 libgstreamer-vaapi1.0-0            	       0        1        0        0        1
31372 libgstreamer0.10-0                 	       0       68        4        0       64
31373 libgstreamer0.10-dev               	       0        1        1        0        0
31374 libgstreamer0.8-0                  	       0        1        1        0        0
31375 libgstreamerd-3-0                  	       0        6        0        0        6
31376 libgstreamerd-3-dev                	       0        4        0        0        4
31377 libgstreamermm-1.0-1               	       0       29        0        0       29
31378 libgstreamermm-1.0-dev             	       0        2        2        0        0
31379 libgstrtspserver-1.0-0             	       0       15        0        0       15
31380 libgstrtspserver-1.0-dev           	       0        2        2        0        0
31381 libgtextutils0v5                   	       0        1        0        0        1
31382 libgtg0                            	       0        1        0        0        1
31383 libgtk-3-0-dbgsym                  	       0        1        1        0        0
31384 libgtk-3-doc                       	       0       67        0        0       67
31385 libgtk-4-dev                       	       0       51       48        3        0
31386 libgtk-4-doc                       	       0        5        0        0        5
31387 libgtk-layer-shell-dev             	       0        3        3        0        0
31388 libgtk-sharp-beans-cil             	       0        7        0        0        7
31389 libgtk-vnc-1.0-0                   	       0        2        0        0        2
31390 libgtk1.2                          	       0        5        0        0        5
31391 libgtk1.2-common                   	       0        6        0        0        6
31392 libgtk1.2-dev                      	       0        1        1        0        0
31393 libgtk2-appindicator-perl          	       0        1        0        0        1
31394 libgtk2-ex-podviewer-perl          	       0        2        2        0        0
31395 libgtk2-ex-simple-list-perl        	       0        2        2        0        0
31396 libgtk2-gladexml-perl              	       0        2        0        0        2
31397 libgtk2-gst                        	       0        3        3        0        0
31398 libgtk2-imageview-perl             	       0        3        0        0        3
31399 libgtk2-perl                       	       0      184        0        0      184
31400 libgtk2-perl-doc                   	       0        2        0        0        2
31401 libgtk2-ruby                       	       0        3        0        0        3
31402 libgtk2-ruby1.8                    	       0        2        1        0        1
31403 libgtk2-spell-perl                 	       0        1        0        0        1
31404 libgtk2-trayicon-perl              	       0        1        0        0        1
31405 libgtk2-unique-perl                	       0        1        0        0        1
31406 libgtk2.0-cil                      	       0       96        1        0       95
31407 libgtk2.0-cil-dev                  	       0       24       24        0        0
31408 libgtk2.0-doc                      	       0       22        0        0       22
31409 libgtk3-webkit2-perl               	       0        4        3        1        0
31410 libgtk3.0-cil                      	       0       62        0        0       62
31411 libgtk3.0-cil-dev                  	       0       16       16        0        0
31412 libgtkada-bin                      	       0        1        1        0        0
31413 libgtkada-doc                      	       0        1        0        0        1
31414 libgtkada18                        	       0        1        0        0        1
31415 libgtkada22                        	       0        1        0        0        1
31416 libgtkada3.8.3                     	       0        1        0        0        1
31417 libgtkd-3-0                        	       0       27        4        1       22
31418 libgtkd-3-dev                      	       0        4        0        0        4
31419 libgtkdatabox-0.9.3-0              	       0        3        0        0        3
31420 libgtkdatabox0                     	       0        8        0        0        8
31421 libgtkdatabox1                     	       0       13        0        0       13
31422 libgtkdatabox1t64                  	       0        2        0        0        2
31423 libgtkdsv-3-0                      	       0        1        0        0        1
31424 libgtkdsv-3-dev                    	       0        1        0        0        1
31425 libgtkextra-3.0                    	       0       14        0        0       14
31426 libgtkextra-dev                    	       0        1        1        0        0
31427 libgtkgl2.0-1                      	       0        5        0        0        5
31428 libgtkgl2.0-dev                    	       0        2        2        0        0
31429 libgtkglext1-dbgsym                	       0        1        1        0        0
31430 libgtkglext1-dev                   	       0        5        5        0        0
31431 libgtkglext1-doc                   	       0        1        0        0        1
31432 libgtkglextmm-x11-1.2-0v5          	       0        3        0        0        3
31433 libgtkglextmm-x11-1.2-dev          	       0        1        1        0        0
31434 libgtkhex-3-0                      	       0       23        0        0       23
31435 libgtkhex-4-1                      	       0       37        0        0       37
31436 libgtkhex-4-dev                    	       0        1        1        0        0
31437 libgtkhotkey1                      	       0        1        1        0        0
31438 libgtkhtml-4.0-0                   	       0        7        0        0        7
31439 libgtkhtml-4.0-common              	       0       10        0        0       10
31440 libgtkhtml-editor-3.14-common      	       0        1        0        0        1
31441 libgtkhtml-editor-4.0-0            	       0        7        0        0        7
31442 libgtkhtml-editor-common           	       0        2        0        0        2
31443 libgtkhtml2-0                      	       0        1        0        0        1
31444 libgtkhtml3.14-19                  	       0        3        0        0        3
31445 libgtkimageview-dev                	       0        1        1        0        0
31446 libgtkimageview0                   	       0       37        0        0       37
31447 libgtkimreg0                       	       0        1        0        0        1
31448 libgtkmathview0c2a                 	       0        9        0        0        9
31449 libgtkmm-2.4-1c2a                  	       0       10        1        0        9
31450 libgtkmm-2.4-1t64                  	       0       13        0        0       13
31451 libgtkmm-2.4-dev                   	       0       18       18        0        0
31452 libgtkmm-2.4-doc                   	       0        5        0        0        5
31453 libgtkmm-3.0-1                     	       0        1        1        0        0
31454 libgtkmm-3.0-doc                   	       0        9        9        0        0
31455 libgtkmm-4.0-dev                   	       0        7        7        0        0
31456 libgtkmm-4.0-doc                   	       0        2        2        0        0
31457 libgtkmm1.2-0c2a                   	       0        1        0        0        1
31458 libgtkpod-dev                      	       0        1        1        0        0
31459 libgtkpod1                         	       0       11        0        0       11
31460 libgtksourceview-3.0-dev           	       0        9        9        0        0
31461 libgtksourceview-3.0-doc           	       0        2        0        0        2
31462 libgtksourceview-4-dev             	       0        6        6        0        0
31463 libgtksourceview-4-doc             	       0        2        0        0        2
31464 libgtksourceview-5-common          	       0      358        1        0      357
31465 libgtksourceview-5-doc             	       0        1        0        0        1
31466 libgtksourceview-common            	       0        2        0        0        2
31467 libgtksourceview-doc               	       0        1        0        0        1
31468 libgtksourceview1.0-0              	       0        1        0        0        1
31469 libgtksourceview2.0-0              	       0       64        0        0       64
31470 libgtksourceview2.0-common         	       0       68        0        0       68
31471 libgtksourceview2.0-dev            	       0        2        2        0        0
31472 libgtksourceview2.0-doc            	       0        1        0        0        1
31473 libgtksourceview2.0-markdown       	       0        1        0        0        1
31474 libgtksourceviewmm-3.0-0v5         	       0       68        0        0       68
31475 libgtksourceviewmm-3.0-dev         	       0        3        3        0        0
31476 libgtksourceviewmm-3.0-doc         	       0        1        0        0        1
31477 libgtkspell-dev                    	       0        5        5        0        0
31478 libgtkspell3-3-0                   	       0      375        5        0      370
31479 libgtkspell3-3-dev                 	       0        4        4        0        0
31480 libgtkspellmm-3.0-0v5              	       0       42        0        0       42
31481 libgtkspellmm-3.0-dev              	       0        1        1        0        0
31482 libgtop-2.0-10                     	       0      190        5        0      185
31483 libgtop-2.0-11-dbgsym              	       0        1        1        0        0
31484 libgtop2-7                         	       0       36        0        0       36
31485 libgtop2-build-deps                	       0        1        0        0        1
31486 libgtop2-common                    	       0     2380        0        0     2380
31487 libgtop2-dev                       	       0        8        8        0        0
31488 libgtop2-doc                       	       0        3        0        0        3
31489 libgts-0.7-5                       	       0     1610        0        0     1610
31490 libgts-0.7-5t64                    	       0      112        0        0      112
31491 libgts-dev                         	       0       13       13        0        0
31492 libguac11                          	       0        1        0        0        1
31493 libguava-java                      	       0      505        0        0      505
31494 libgucharmap-2-90-dev              	       0        2        2        0        0
31495 libgucharmap7                      	       0        2        0        0        2
31496 libgudev1.0-cil                    	       0        6        0        0        6
31497 libguess-dev                       	       0        1        1        0        0
31498 libguess1                          	       0       22        0        0       22
31499 libguestfs-hfsplus                 	       0       85        0        0       85
31500 libguestfs-perl                    	       0       94        0        0       94
31501 libguestfs-reiserfs                	       0       84        0        0       84
31502 libguestfs-rescue                  	       0        2        0        0        2
31503 libguestfs-xfs                     	       0       84        0        0       84
31504 libguestfs0                        	       0      101        0        0      101
31505 libguestfs0t64                     	       0        4        0        0        4
31506 libguice-java                      	       0      472        0        0      472
31507 libguichan-0.8.1-1                 	       0        1        0        0        1
31508 libguichan-0.8.1-1v5               	       0       14        0        0       14
31509 libguichan-allegro-0.8.1-1         	       0        1        0        0        1
31510 libguichan-allegro-0.8.1-1v5       	       0        6        0        0        6
31511 libguichan-dev                     	       0        3        0        0        3
31512 libguichan-opengl-0.8.1-1          	       0        1        0        0        1
31513 libguichan-opengl-0.8.1-1v5        	       0        7        0        0        7
31514 libguichan-sdl-0.8.1-1             	       0        1        0        0        1
31515 libguichan-sdl-0.8.1-1v5           	       0       11        0        0       11
31516 libguile-ltdl-1                    	       0        5        0        0        5
31517 libguile-ssh13                     	       0        1        0        0        1
31518 libguile-ssh14                     	       0       12        0        0       12
31519 libgulkan-0.14-0                   	       0        1        0        0        1
31520 libgulkan-0.15-0                   	       0        5        0        0        5
31521 libgulkan-0.15-0t64                	       0        2        0        0        2
31522 libgulkan-dev                      	       0        2        2        0        0
31523 libgulkan-doc                      	       0        1        0        0        1
31524 libgulkan-utils                    	       0        5        5        0        0
31525 libgumbo-dev                       	       0       12       10        2        0
31526 libgumbo1-dbgsym                   	       0        1        1        0        0
31527 libgumbo2                          	       0       66        1        0       65
31528 libgumbo3                          	       0        4        0        0        4
31529 libgupnp-1.0-3                     	       0        1        0        0        1
31530 libgupnp-1.0-4                     	       0      202        1        0      201
31531 libgupnp-1.2-0                     	       0      504        3        0      501
31532 libgupnp-1.2-1                     	       0       19        0        0       19
31533 libgupnp-1.6-dev                   	       0        4        4        0        0
31534 libgupnp-av-1.0-2                  	       0      119        0        0      119
31535 libgupnp-av-1.0-dev                	       0        1        1        0        0
31536 libgupnp-av-doc                    	       0        1        0        0        1
31537 libgupnp-dlna-1.0-2                	       0        3        0        0        3
31538 libgupnp-dlna-2.0-3                	       0      118        0        0      118
31539 libgupnp-doc                       	       0        1        0        0        1
31540 libgupnp-igd-1.0-3                 	       0        1        0        0        1
31541 libgupnp-igd-1.0-4                 	       0     2825        9        0     2816
31542 libgupnp-igd-1.0-dev               	       0        2        2        0        0
31543 libgupnp-igd-1.6-0                 	       0      222        0        0      222
31544 libgupnp-igd-1.6-dev               	       0        2        2        0        0
31545 libgupnp-igd-doc                   	       0        1        0        0        1
31546 libgusb-dev                        	       0        2        2        0        0
31547 libgusb-doc                        	       0        1        0        0        1
31548 libgutenprint-common               	       0      634        0        0      634
31549 libgutenprint-dev                  	       0        5        5        0        0
31550 libgutenprint-doc                  	       0        6        0        0        6
31551 libgutenprint2                     	       0      112        0        0      112
31552 libgutenprint9                     	       0      631        1        0      630
31553 libgutenprintui2-1                 	       0        6        0        0        6
31554 libgutenprintui2-2                 	       0       96        0        0       96
31555 libgutenprintui2-dev               	       0        6        6        0        0
31556 libguvcview-2.0-2                  	       0       20        0        0       20
31557 libguvcview-2.1-2                  	       0       59        0        0       59
31558 libguvcview-2.1-2t64               	       0        1        0        0        1
31559 libguvcview-2.2                    	       0       10        0        0       10
31560 libguvcview-dev                    	       0        1        1        0        0
31561 libguytools2                       	       0       10        0        0       10
31562 libgv-guile                        	       0        1        0        0        1
31563 libgv-lua                          	       0        1        0        0        1
31564 libgv-perl                         	       0        1        0        0        1
31565 libgv-ruby                         	       0        1        0        0        1
31566 libgv-tcl                          	       0        4        0        0        4
31567 libgvc5                            	       0        2        2        0        0
31568 libgvc6-plugins-gtk                	       0      107        2        0      105
31569 libgvm22                           	       0        1        0        0        1
31570 libgvpr1                           	       0        3        0        0        3
31571 libgvpr2                           	       0     1719        0        0     1719
31572 libgweather-3-0                    	       0        4        0        0        4
31573 libgweather-3-15                   	       0       23        1        0       22
31574 libgweather-3-6                    	       0       24        0        0       24
31575 libgweather-3-dev                  	       0        1        1        0        0
31576 libgweather-common                 	       0      149        5        0      144
31577 libgwengui-cpp0                    	       0        3        0        0        3
31578 libgwengui-gtk2-0                  	       0        6        0        0        6
31579 libgwengui-gtk3-79t64              	       0        7        1        0        6
31580 libgwengui-gtk3-dev                	       0        4        4        0        0
31581 libgwengui-qt4-0                   	       0        2        0        0        2
31582 libgwengui-qt5-79                  	       0        7        0        0        7
31583 libgwenhywfar-core-dev             	       0        5        5        0        0
31584 libgwenhywfar60                    	       0       16        3        0       13
31585 libgwenhywfar78                    	       0        1        0        0        1
31586 libgwenhywfar79t64                 	       0        7        1        0        6
31587 libgwrap-runtime2                  	       0        6        0        0        6
31588 libgwyddion2-0                     	       0        5        1        0        4
31589 libgxps-dev                        	       0        1        1        0        0
31590 libgxps-doc                        	       0        2        0        0        2
31591 libgxps-utils                      	       0        3        3        0        0
31592 libgxps2t64                        	       0      138        1        0      137
31593 libgxr-0.15-0                      	       0        1        0        0        1
31594 libgxr-0.15-0t64                   	       0        1        0        0        1
31595 libgxr-dev                         	       0        1        1        0        0
31596 libgxw-dev                         	       0        1        1        0        0
31597 libgxw0                            	       0       24        0        0       24
31598 libgxwmm0                          	       0       23        0        0       23
31599 libgyoto8                          	       0        2        0        0        2
31600 libgzstream-dev                    	       0        1        1        0        0
31601 libgzstream0                       	       0        6        0        0        6
31602 libh2-java                         	       0        4        0        0        4
31603 libh2o0.13                         	       0        1        0        0        1
31604 libhackrf-dev                      	       0        7        7        0        0
31605 libhal-dev                         	       0        1        1        0        0
31606 libhal-storage-dev                 	       0        1        1        0        0
31607 libhal-storage1                    	       0        9        0        0        9
31608 libhal1                            	       0       10        1        0        9
31609 libhal1-flash                      	       0        1        0        0        1
31610 libhamcrest-java                   	       0      207        0        0      207
31611 libhamcrest-java-doc               	       0        3        0        0        3
31612 libhamlib++-dev                    	       0        6        6        0        0
31613 libhamlib++4                       	       0        5        0        0        5
31614 libhamlib++4t64                    	       0        1        0        0        1
31615 libhamlib-dev                      	       0       14       13        1        0
31616 libhamlib-doc                      	       0        3        0        0        3
31617 libhamlib-utils                    	       0       39       38        1        0
31618 libhamlib2                         	       0        4        4        0        0
31619 libhandy-0.0-0                     	       0       42        1        0       41
31620 libhandy-1-dev                     	       0        1        1        0        0
31621 libhangul-data                     	       0        9        0        0        9
31622 libharfbuzz-bin                    	       0        5        5        0        0
31623 libharfbuzz-cairo0                 	       0       56        0        0       56
31624 libharfbuzz-doc                    	       0        4        0        0        4
31625 libharfbuzz-gobject0               	       0      708        0        0      708
31626 libharfbuzz-gobject0-dbgsym        	       0        1        1        0        0
31627 libharfbuzz-icu0-dbgsym            	       0        1        1        0        0
31628 libharfbuzz0b-dbgsym               	       0        1        1        0        0
31629 libharminv3                        	       0        7        0        0        7
31630 libhash-diff-perl                  	       0        2        2        0        0
31631 libhash-fieldhash-perl             	       0       40        0        0       40
31632 libhash-flatten-perl               	       0        1        1        0        0
31633 libhash-merge-simple-perl          	       0        7        7        0        0
31634 libhash-moreutils-perl             	       0        5        5        0        0
31635 libhash-ordered-perl               	       0        3        3        0        0
31636 libhashkit-dev                     	       0        7        7        0        0
31637 libhashkit2t64                     	       0        9        4        0        5
31638 libhat-trie0                       	       0        1        0        0        1
31639 libhavege1                         	       0       45        0        0       45
31640 libhawtbuf-java                    	       0        1        0        0        1
31641 libhawtdispatch-java               	       0        1        0        0        1
31642 libhawtjni-generator-java          	       0        1        0        0        1
31643 libhawtjni-maven-plugin-java       	       0        1        0        0        1
31644 libhawtjni-runtime-java            	       0      378        0        0      378
31645 libhbci4j-core-java                	       0        3        0        0        3
31646 libhcrypto4-heimdal                	       0       46        0        0       46
31647 libhcrypto5t64-heimdal             	       0        2        0        0        2
31648 libhd-dev                          	       0        3        3        0        0
31649 libhd-doc                          	       0        3        0        0        3
31650 libhd14                            	       0        1        0        0        1
31651 libhd16                            	       0        3        0        0        3
31652 libhd21                            	       0      290        0        0      290
31653 libhd21t64                         	       0       41        0        0       41
31654 libhdate1                          	       0        5        0        0        5
31655 libhdb9-heimdal                    	       0       59        1        0       58
31656 libhdb9t64-heimdal                 	       0        1        0        0        1
31657 libhdf4-0                          	       0       35        0        0       35
31658 libhdf4-alt-dev                    	       0       44       43        1        0
31659 libhdf4-dev                        	       0        2        2        0        0
31660 libhdf4-doc                        	       0        7        0        0        7
31661 libhdf4g                           	       0        1        0        0        1
31662 libhdf4g-doc                       	       0        1        0        0        1
31663 libhdf5-10                         	       0        1        0        0        1
31664 libhdf5-100                        	       0       15        0        0       15
31665 libhdf5-103                        	       0       66        0        0       66
31666 libhdf5-103-1t64                   	       0       53        0        0       53
31667 libhdf5-310                        	       0       29        0        0       29
31668 libhdf5-7                          	       0        4        0        0        4
31669 libhdf5-8                          	       0        8        0        0        8
31670 libhdf5-cpp-100                    	       0        2        0        0        2
31671 libhdf5-cpp-103                    	       0       11        0        0       11
31672 libhdf5-cpp-103-1                  	       0       82        0        0       82
31673 libhdf5-cpp-103-1t64               	       0        2        0        0        2
31674 libhdf5-cpp-310                    	       0        5        0        0        5
31675 libhdf5-cpp-8                      	       0        1        0        0        1
31676 libhdf5-dev                        	       0       76       73        3        0
31677 libhdf5-doc                        	       0        7        0        0        7
31678 libhdf5-fortran-102                	       0       91        0        0       91
31679 libhdf5-fortran-102t64             	       0        2        0        0        2
31680 libhdf5-fortran-310                	       0        5        0        0        5
31681 libhdf5-hl-100t64                  	       0       45        0        0       45
31682 libhdf5-hl-310                     	       0       28        0        0       28
31683 libhdf5-hl-cpp-100                 	       0       66        0        0       66
31684 libhdf5-hl-cpp-100t64              	       0        2        0        0        2
31685 libhdf5-hl-cpp-310                 	       0        5        0        0        5
31686 libhdf5-hl-fortran-100             	       0       90        0        0       90
31687 libhdf5-hl-fortran-100t64          	       0        2        0        0        2
31688 libhdf5-hl-fortran-310             	       0        5        0        0        5
31689 libhdf5-mpi-dev                    	       0       15        0        0       15
31690 libhdf5-mpich-103-1                	       0        3        0        0        3
31691 libhdf5-mpich-hl-100               	       0        3        0        0        3
31692 libhdf5-openmpi-100                	       0        2        0        0        2
31693 libhdf5-openmpi-103                	       0       29        0        0       29
31694 libhdf5-openmpi-103-1              	       0      164        1        0      163
31695 libhdf5-openmpi-103-1t64           	       0       11        0        0       11
31696 libhdf5-openmpi-310                	       0        7        0        0        7
31697 libhdf5-openmpi-cpp-103-1          	       0       14        0        0       14
31698 libhdf5-openmpi-cpp-310            	       0        1        0        0        1
31699 libhdf5-openmpi-dev                	       0       16       16        0        0
31700 libhdf5-openmpi-fortran-102        	       0       27        0        0       27
31701 libhdf5-openmpi-fortran-310        	       0        1        0        0        1
31702 libhdf5-openmpi-hl-100             	       0       28        0        0       28
31703 libhdf5-openmpi-hl-310             	       0        1        0        0        1
31704 libhdf5-openmpi-hl-cpp-100         	       0       14        0        0       14
31705 libhdf5-openmpi-hl-cpp-310         	       0        1        0        0        1
31706 libhdf5-openmpi-hl-fortran-100     	       0       27        0        0       27
31707 libhdf5-openmpi-hl-fortran-310     	       0        1        0        0        1
31708 libhdf5-serial-1.6.5-0             	       0        1        0        0        1
31709 libhdf5-serial-1.6.6-0             	       0        1        0        0        1
31710 libhdf5-serial-dev                 	       0        1        0        0        1
31711 libhdfeos-dev                      	       0        1        1        0        0
31712 libhdfeos0                         	       0        1        0        0        1
31713 libhdfeos5-ruby1.9.1               	       0        2        0        0        2
31714 libhdhomerun-dev                   	       0        1        1        0        0
31715 libhdhomerun1                      	       0        5        0        0        5
31716 libhdhomerun5                      	       0        4        3        0        1
31717 libhe5-hdfeos-dev                  	       0        2        2        0        0
31718 libhe5-hdfeos0                     	       0        4        0        0        4
31719 libheadius-options-java            	       0        4        0        0        4
31720 libheadius-options-java-doc        	       0        1        0        0        1
31721 libhealpix-cxx-dev                 	       0        2        2        0        0
31722 libhealpix-cxx0                    	       0        1        0        0        1
31723 libhealpix-cxx2                    	       0        5        0        0        5
31724 libhealpix-cxx3                    	       0        2        0        0        2
31725 libhealpix-dev                     	       0        2        0        0        2
31726 libhealpix-java                    	       0        1        0        0        1
31727 libhealpix0                        	       0        2        0        0        2
31728 libheap-perl                       	       0       35       34        1        0
31729 libheaptrack                       	       0        8        8        0        0
31730 libheartbeat2                      	       0        1        0        0        1
31731 libheartbeat2-dev                  	       0        1        1        0        0
31732 libheif-dev                        	       0      175      168        7        0
31733 libheif-examples                   	       0       18       18        0        0
31734 libheif-plugin-aomdec              	       0       35        1        0       34
31735 libheif-plugin-aomenc              	       0      255        1        0      254
31736 libheif-plugin-dav1d               	       0      285        1        0      284
31737 libheif-plugin-j2kdec              	       0       24        0        0       24
31738 libheif-plugin-libde265            	       0      287        1        0      286
31739 libheimbase1t64-heimdal            	       0        2        0        0        2
31740 libheimntlm0t64-heimdal            	       0        2        0        0        2
31741 libhersheyfont0                    	       0        3        0        0        3
31742 libhesiod0                         	       0        5        0        0        5
31743 libhessian-java                    	       0        1        0        0        1
31744 libhessian-java-doc                	       0        1        0        0        1
31745 libhfsp-dev                        	       0        1        1        0        0
31746 libhfsp0                           	       0      120        0        0      120
31747 libhfsp0t64                        	       0        5        0        0        5
31748 libhfst55                          	       0        3        0        0        3
31749 libhfstospell10                    	       0       51        0        0       51
31750 libhibernate-commons-annotations-java	       0        1        0        0        1
31751 libhibernate-validator-java        	       0       14        0        0       14
31752 libhibernate-validator4-java       	       0       49        0        0       49
31753 libhibernate3-java                 	       0        1        0        0        1
31754 libhiccup-clojure                  	       0        1        0        0        1
31755 libhidapi-dev                      	       0       43       43        0        0
31756 libhidapi-hidraw0                  	       0      143        1        0      142
31757 libhidrd0                          	       0        1        0        0        1
31758 libhighgui-dev                     	       0        1        1        0        0
31759 libhighline-ruby1.9.1              	       0        1        0        0        1
31760 libhikaricp-java                   	       0        1        0        0        1
31761 libhime                            	       0        2        0        0        2
31762 libhippocanvas-1-0                 	       0        1        0        0        1
31763 libhiprand1                        	       0        1        0        0        1
31764 libhiprtc-builtins5                	       0        5        0        0        5
31765 libhiredis-dev                     	       0       10       10        0        0
31766 libhiredis0.10                     	       0        5        0        0        5
31767 libhiredis0.13                     	       0        5        0        0        5
31768 libhivex-bin                       	       0        2        2        0        0
31769 libhivex-dev                       	       0        1        1        0        0
31770 libhivex-ocaml                     	       0        1        1        0        0
31771 libhivex-ocaml-dev                 	       0        1        1        0        0
31772 libhivex0                          	       0      113        0        0      113
31773 libhmat-oss-dev                    	       0        1        1        0        0
31774 libhmat-oss1                       	       0        1        0        0        1
31775 libhmat-oss3                       	       0        3        0        0        3
31776 libhmmer2-dev                      	       0        1        1        0        0
31777 libhmsbeagle-java                  	       0        1        0        0        1
31778 libhmsbeagle1v5                    	       0        5        0        0        5
31779 libhocr-dev                        	       0        2        2        0        0
31780 libhocr0                           	       0        2        2        0        0
31781 libhoel1.4                         	       0        1        0        0        1
31782 libhogweed5                        	       0        1        1        0        0
31783 libhomfly-dev                      	       0        8        8        0        0
31784 libhomfly0                         	       0        9        0        0        9
31785 libhook-lexwrap-perl               	       0        1        1        0        0
31786 libhostfile-manager-perl           	       0        1        1        0        0
31787 libhowardhinnant-date-dev          	       0        2        2        0        0
31788 libhpdf-2.2.1                      	       0        1        0        0        1
31789 libhpdf-2.3.0                      	       0       24        0        0       24
31790 libhpdf-dev                        	       0        7        7        0        0
31791 libhpmud-dev                       	       0        1        1        0        0
31792 libhpricot-ruby                    	       0        1        0        0        1
31793 libhsa-runtime-dev                 	       0        5        4        1        0
31794 libhsa-runtime64-1                 	       0       52        0        0       52
31795 libhsakmt1                         	       0       52        0        0       52
31796 libhsm-bin                         	       0        3        3        0        0
31797 libhsqldb-java                     	       0       25        0        0       25
31798 libhsqldb-java-doc                 	       0        1        0        0        1
31799 libhsqldb-java-gcj                 	       0        2        2        0        0
31800 libhsqldb1.8.0-java                	       0      793        0        0      793
31801 libhtml-autopagerize-perl          	       0        1        1        0        0
31802 libhtml-clean-perl                 	       0        4        4        0        0
31803 libhtml-defang-perl                	       0        1        1        0        0
31804 libhtml-display-perl               	       0        1        1        0        0
31805 libhtml-encoding-perl              	       0        3        3        0        0
31806 libhtml-entities-numbered-perl     	       0        1        1        0        0
31807 libhtml-fillinform-perl            	       0        1        1        0        0
31808 libhtml-formattext-withlinks-andtables-perl	       0        3        3        0        0
31809 libhtml-formattext-withlinks-perl  	       0        4        4        0        0
31810 libhtml-formfu-perl                	       0        1        1        0        0
31811 libhtml-fromansi-tiny-perl         	       0        1        1        0        0
31812 libhtml-gentoc-perl                	       0        4        4        0        0
31813 libhtml-html5-parser-perl          	       0        2        2        0        0
31814 libhtml-html5-sanity-perl          	       0        2        2        0        0
31815 libhtml-linkextractor-perl         	       0        1        1        0        0
31816 libhtml-linklist-perl              	       0        4        4        0        0
31817 libhtml-lint-perl                  	       0       15       15        0        0
31818 libhtml-mason-perl                 	       0        2        2        0        0
31819 libhtml-mason-psgihandler-perl     	       0        1        1        0        0
31820 libhtml-prettyprinter-perl         	       0        1        1        0        0
31821 libhtml-quoted-perl                	       0        1        1        0        0
31822 libhtml-rewriteattributes-perl     	       0        1        1        0        0
31823 libhtml-simpleparse-perl           	       0        4        4        0        0
31824 libhtml-stream-perl                	       0        1        1        0        0
31825 libhtml-strip-perl                 	       0        2        0        0        2
31826 libhtml-stripscripts-parser-perl   	       0        2        2        0        0
31827 libhtml-stripscripts-perl          	       0        2        2        0        0
31828 libhtml-template-pluggable-perl    	       0        1        1        0        0
31829 libhtml-tidy-perl                  	       0        6        6        0        0
31830 libhtml-tidy5-perl                 	       0        1        1        0        0
31831 libhtml-tiny-perl                  	       0        3        3        0        0
31832 libhtml-toc-perl                   	       0        1        1        0        0
31833 libhtml-treebuilder-libxml-perl    	       0       88       87        1        0
31834 libhtml-wikiconverter-dokuwiki-perl	       0        1        1        0        0
31835 libhtml-wikiconverter-markdown-perl	       0        2        2        0        0
31836 libhtml-wikiconverter-perl         	       0        3        3        0        0
31837 libhtml-wikiconverter-wikkawiki-perl	       0        2        2        0        0
31838 libhtml5parser-java                	       0        4        0        0        4
31839 libhtmlcleaner-java                	       0        6        0        0        6
31840 libhtmlcxx-dev                     	       0        4        4        0        0
31841 libhtmlcxx3v5                      	       0        8        0        0        8
31842 libhtmlentities-ruby               	       0        1        0        0        1
31843 libhtmlentities-ruby1.9.1          	       0        1        0        0        1
31844 libhtmlparser-java                 	       0        7        0        0        7
31845 libhtp2                            	       0        6        1        0        5
31846 libhtree-ruby1.9.1                 	       0        2        0        0        2
31847 libhts3                            	       0        7        0        0        7
31848 libhts3t64                         	       0        1        0        0        1
31849 libhtscodecs2                      	       0        9        0        0        9
31850 libhtsengine1                      	       0       25        0        0       25
31851 libhtsjdk-java                     	       0        7        0        0        7
31852 libhttp-body-perl                  	       0        4        4        0        0
31853 libhttp-daemon-ssl-perl            	       0        2        2        0        0
31854 libhttp-exception-perl             	       0        2        2        0        0
31855 libhttp-link-parser-perl           	       0        2        2        0        0
31856 libhttp-lite-perl                  	       0       16       16        0        0
31857 libhttp-lrdd-perl                  	       0        1        1        0        0
31858 libhttp-oai-3.27-perl              	       0        2        2        0        0
31859 libhttp-parser2.1                  	       0       28        0        0       28
31860 libhttp-proxy-perl                 	       0        1        1        0        0
31861 libhttp-recorder-perl              	       0        1        1        0        0
31862 libhttp-request-ascgi-perl         	       0        2        2        0        0
31863 libhttp-request-params-perl        	       0        4        4        0        0
31864 libhttp-response-encoding-perl     	       0        2        2        0        0
31865 libhttp-server-simple-authen-perl  	       0        1        1        0        0
31866 libhttp-tinyish-perl               	       0        3        3        0        0
31867 libhttpasyncclient-java            	       0       24        0        0       24
31868 libhttpclient-java                 	       0      477        0        0      477
31869 libhttpclient-ruby1.9.1            	       0        1        0        0        1
31870 libhttpclient5-java                	       0        2        0        0        2
31871 libhttpcore-java                   	       0      478        0        0      478
31872 libhttpcore5-java                  	       0        2        0        0        2
31873 libhttpmime-java                   	       0       28        0        0       28
31874 libhttrack-dev                     	       0        1        1        0        0
31875 libhttrack2                        	       0       64        0        0       64
31876 libhugetlbfs-bin                   	       0        4        3        1        0
31877 libhugetlbfs0                      	       0        2        0        0        2
31878 libhugs-alut-bundled               	       0        9        7        2        0
31879 libhugs-base-bundled               	       0       11        9        2        0
31880 libhugs-cabal-bundled              	       0        9        7        2        0
31881 libhugs-fgl-bundled                	       0        9        7        2        0
31882 libhugs-glut-bundled               	       0        9        7        2        0
31883 libhugs-haskell-src-bundled        	       0        9        7        2        0
31884 libhugs-haskell98-bundled          	       0       11        9        2        0
31885 libhugs-haxml-bundled              	       0        8        6        2        0
31886 libhugs-hgl-bundled                	       0        9        7        2        0
31887 libhugs-hunit-bundled              	       0        9        7        2        0
31888 libhugs-mtl-bundled                	       0        9        7        2        0
31889 libhugs-network-bundled            	       0        9        7        2        0
31890 libhugs-openal-bundled             	       0        9        7        2        0
31891 libhugs-opengl-bundled             	       0        9        7        2        0
31892 libhugs-parsec-bundled             	       0        9        7        2        0
31893 libhugs-quickcheck-bundled         	       0        9        7        2        0
31894 libhugs-stm-bundled                	       0        9        7        2        0
31895 libhugs-time-bundled               	       0        9        7        2        0
31896 libhugs-unix-bundled               	       0        9        7        2        0
31897 libhugs-x11-bundled                	       0        9        7        2        0
31898 libhugs-xhtml-bundled              	       0        9        7        2        0
31899 libhunspell-1.2-0                  	       0        8        0        0        8
31900 libhunspell-1.3-0                  	       0       51        0        0       51
31901 libhunspell-1.4-0                  	       0       96        0        0       96
31902 libhunspell-1.6-0                  	       0        1        0        0        1
31903 libhunspell-dev                    	       0       39       38        1        0
31904 libhunspell-private-dev            	       0        2        0        0        2
31905 libhwasan0                         	       0      239        0        0      239
31906 libhwasan0-arm64-cross             	       0       26        0        0       26
31907 libhwloc-contrib-plugins           	       0        1        0        0        1
31908 libhwloc-dev                       	       0      148      148        0        0
31909 libhwloc-doc                       	       0        1        0        0        1
31910 libhwloc-plugins                   	       0      684        5        0      679
31911 libhwloc15                         	       0      683        7        0      676
31912 libhwloc5                          	       0       50        0        0       50
31913 libhwy-dev                         	       0       49       48        1        0
31914 libhx-dev                          	       0        1        1        0        0
31915 libhx-doc                          	       0        1        0        0        1
31916 libhx28                            	       0        6        0        0        6
31917 libhx32t64                         	       0        5        2        0        3
31918 libhx509-5t64-heimdal              	       0        2        0        0        2
31919 libhyphen-dev                      	       0        6        6        0        0
31920 libhyprcursor0                     	       0        2        0        0        2
31921 libhypre                           	       0        2        0        0        2
31922 libhypre-2.22.1                    	       0        1        0        0        1
31923 libhypre-2.23.0                    	       0        2        0        0        2
31924 libhypre-2.26.0                    	       0       52        0        0       52
31925 libhypre-2.28.0                    	       0        2        0        0        2
31926 libhypre-2.29.0                    	       0        1        0        0        1
31927 libhypre-2.32.0                    	       0        1        0        0        1
31928 libhypre-dev                       	       0        4        4        0        0
31929 libhyprlang-dev                    	       0        1        0        0        1
31930 libhyprlang2                       	       0        3        0        0        3
31931 libhyprutils-dev                   	       0        1        0        0        1
31932 libhyprutils0                      	       0        3        0        0        3
31933 libhz0                             	       0        1        0        0        1
31934 libi18n-charset-perl               	       0        1        1        0        0
31935 libi18n-ruby1.9.1                  	       0        1        0        0        1
31936 libi2c-dev                         	       0       18       18        0        0
31937 libi2c0                            	       0      139        0        0      139
31938 libibatis-java                     	       0        1        0        0        1
31939 libibdm1                           	       0        3        0        0        3
31940 libibmad5                          	       0       43        0        0       43
31941 libibnetdisc5                      	       0        4        0        0        4
31942 libibtk-dev                        	       0        1        1        0        0
31943 libibtk0                           	       0        2        0        0        2
31944 libibumad-dev                      	       0        4        4        0        0
31945 libibus-qt1                        	       0        3        0        0        3
31946 libical-parser-perl                	       0        1        1        0        0
31947 libical0                           	       0       13        0        0       13
31948 libical1a                          	       0       29        1        0       28
31949 libical2                           	       0       69        0        0       69
31950 libicc-utils-dev                   	       0        1        1        0        0
31951 libicc-utils2                      	       0        1        0        0        1
31952 libicc2                            	       0        4        0        0        4
31953 libice-doc                         	       0        5        0        0        5
31954 libiceoryx-binding-c2              	       0        1        0        0        1
31955 libiceoryx-hoofs2                  	       0        1        0        0        1
31956 libiceoryx-platform2               	       0        1        0        0        1
31957 libiceoryx-posh2                   	       0        1        0        0        1
31958 libicinga2                         	       0        1        0        0        1
31959 libiconloader-java                 	       0       24        0        0       24
31960 libiconv-hook-dev                  	       0        2        2        0        0
31961 libiconv-hook1                     	       0        6        4        0        2
31962 libiconv-ruby                      	       0        1        0        0        1
31963 libicsharpcode-nrefactory-cecil5.0-cil	       0        2        2        0        0
31964 libicsharpcode-nrefactory-csharp5.0-cil	       0        2        2        0        0
31965 libicsharpcode-nrefactory5.0-cil   	       0        2        2        0        0
31966 libicu-le-hb-dev                   	       0        1        1        0        0
31967 libicu-le-hb0                      	       0       10        0        0       10
31968 libicu36                           	       0        1        0        0        1
31969 libicu38                           	       0        2        0        0        2
31970 libicu40                           	       0        1        0        0        1
31971 libicu44                           	       0        9        0        0        9
31972 libicu48                           	       0       20        1        0       19
31973 libicu4j-4.2-java                  	       0        7        0        0        7
31974 libicu4j-4.4-java                  	       0       12        0        0       12
31975 libicu4j-49-java                   	       0        3        0        0        3
31976 libicu4j-java                      	       0      360        0        0      360
31977 libicu55                           	       0        2        0        0        2
31978 libicu57-dbg                       	       0        1        1        0        0
31979 libicu60                           	       0        9        1        0        8
31980 libicu64                           	       0        3        0        0        3
31981 libicu65                           	       0        9        2        0        7
31982 libicu71                           	       0       25        4        0       21
31983 libid3-3.8.3-dev                   	       0        4        4        0        0
31984 libid3-3.8.3c2a                    	       0        4        0        0        4
31985 libid3-3.8.3v5                     	       0      205        0        0      205
31986 libid3-doc                         	       0        1        0        0        1
31987 libid3-tools                       	       0       21       21        0        0
31988 libid3tag0-dev                     	       0       48       48        0        0
31989 libiddawc0.9                       	       0        1        0        0        1
31990 libideviceactivation-1.0-2         	       0        1        0        0        1
31991 libidl-2-0                         	       0       47        0        0       47
31992 libidl-dev                         	       0       13       13        0        0
31993 libidl0                            	       0        2        0        0        2
31994 libidn11-dev                       	       0       63       33        0       30
31995 libidn2-0-dev                      	       0        6        0        0        6
31996 libidn2-doc                        	       0        2        0        0        2
31997 libido-0.1-0                       	       0        1        0        0        1
31998 libido3-0.1-0                      	       0        1        0        0        1
31999 libido3-0.1-dev                    	       0        1        1        0        0
32000 libidw-java                        	       0       26        0        0       26
32001 libidzebra-2.0-dev                 	       0        2        2        0        0
32002 libidzebra-2.0-modules             	       0        2        0        0        2
32003 libiec16022-0                      	       0       36        1        0       35
32004 libiec16022-dev                    	       0        2        2        0        0
32005 libiec61883-dev                    	       0       13       13        0        0
32006 libieee1284-3                      	       0     2842        6        0     2836
32007 libieee1284-3-dev                  	       0        8        8        0        0
32008 libieee1284-3t64                   	       0      200        2        0      198
32009 libifcplusplus                     	       0        1        1        0        0
32010 libifp4                            	       0        4        0        0        4
32011 libigc1                            	       0       13        0        0       13
32012 libigdfcl1                         	       0       14        0        0       14
32013 libigdgmm5                         	       0      191        0        0      191
32014 libigfxcmrt7                       	       0        1        0        0        1
32015 libignition-cmake-dev              	       0        1        0        0        1
32016 libignition-math-dev               	       0        1        0        0        1
32017 libignition-math4-dev              	       0        1        0        0        1
32018 libignition-math6-6                	       0        1        0        0        1
32019 libignition-msgs-dev               	       0        1        1        0        0
32020 libignition-msgs8-8                	       0        1        0        0        1
32021 libignition-transport-dev          	       0        1        1        0        0
32022 libignition-transport11-11         	       0        1        0        0        1
32023 libignition-transport4-dev         	       0        1        0        0        1
32024 libignition-utils-dev              	       0        1        0        0        1
32025 libigraph-dev                      	       0        1        1        0        0
32026 libigraph3t64                      	       0        1        0        0        1
32027 libiio-dev                         	       0        4        4        0        0
32028 libiio-utils                       	       0        2        2        0        0
32029 libij-java                         	       0        9        0        0        9
32030 libijs-dev                         	       0        6        6        0        0
32031 libijs-doc                         	       0        4        0        0        4
32032 libiksemel-dev                     	       0       14       14        0        0
32033 libilbc-dev                        	       0        3        3        0        0
32034 libilbc2                           	       0       23        1        0       22
32035 libilmbase-dev                     	       0       28       28        0        0
32036 libilmbase12                       	       0      125        0        0      125
32037 libilmbase24                       	       0        2        0        0        2
32038 libilmbase6                        	       0       47        1        0       46
32039 libilmbase6v5                      	       0        1        0        0        1
32040 libimage-exif-perl                 	       0        4        0        0        4
32041 libimage-imlib2-perl               	       0        2        0        0        2
32042 libimage-magick-q16-perl           	       0      642        1        0      641
32043 libimage-metadata-jpeg-perl        	       0        5        5        0        0
32044 libimage-sane-perl                 	       0       52        1        0       51
32045 libimage-size-ruby1.9.1            	       0        1        0        0        1
32046 libimage-transport-dev             	       0        2        2        0        0
32047 libimage-transport0d               	       0        3        0        0        3
32048 libimageclasses1                   	       0        6        0        0        6
32049 libimagequant-dev                  	       0       14       13        1        0
32050 libimager-qrcode-perl              	       0        2        0        0        2
32051 libimageworsener1                  	       0        1        0        0        1
32052 libimath-doc                       	       0        1        0        0        1
32053 libimdi0                           	       0        4        0        0        4
32054 libime-bin                         	       0       20       20        0        0
32055 libime-data                        	       0       21        0        0       21
32056 libime-data-language-model         	       0       21        4        0       17
32057 libimecore0                        	       0       21        6        0       15
32058 libimepinyin0                      	       0       21        5        0       16
32059 libimetable0                       	       0       21        1        0       20
32060 libimglib2-java                    	       0        2        0        0        2
32061 libimgscalr-java                   	       0       29        0        0       29
32062 libimgui-dev                       	       0        3        3        0        0
32063 libiml-dev                         	       0        9        9        0        0
32064 libiml0                            	       0       10        0        0       10
32065 libimlib2-dev                      	       0       33       33        0        0
32066 libimobiledevice-dev               	       0       14       14        0        0
32067 libimobiledevice-doc               	       0        8        0        0        8
32068 libimobiledevice-glue-dev          	       0        5        5        0        0
32069 libimobiledevice-utils             	       0       53       53        0        0
32070 libimobiledevice1                  	       0        3        0        0        3
32071 libimobiledevice2                  	       0        4        0        0        4
32072 libimobiledevice4                  	       0       25        2        0       23
32073 libimporter-perl                   	       0        3        3        0        0
32074 libimthreshold-dev                 	       0        1        1        0        0
32075 libimthreshold0                    	       0        1        0        0        1
32076 libimthresholdfreeimage-dev        	       0        1        1        0        0
32077 libimthresholdfreeimage0           	       0        1        0        0        1
32078 libinchi-bin                       	       0        1        1        0        0
32079 libinchi-dev                       	       0        1        1        0        0
32080 libinchi1                          	       0       57        0        0       57
32081 libinchi1.07                       	       0       11        0        0       11
32082 libincidenceeditor-data            	       0        6        0        0        6
32083 libincidenceeditorsng4             	       0        4        1        0        3
32084 libindex0-trinity                  	       0       29        1        0       28
32085 libindi-data                       	       0      102        0        0      102
32086 libindi-dev                        	       0        2        2        0        0
32087 libindi-plugins                    	       0       30        0        0       30
32088 libindi0b                          	       0        2        0        0        2
32089 libindi1                           	       0        3        0        0        3
32090 libindialignmentdriver1            	       0       30        0        0       30
32091 libindicate-gtk3                   	       0        5        1        0        4
32092 libindicate-qt1                    	       0        1        0        0        1
32093 libindicate5                       	       0        9        1        0        8
32094 libindicator-transfer-dev          	       0        1        1        0        0
32095 libindicator-transfer1             	       0        1        0        0        1
32096 libindicator3                      	       0        1        0        0        1
32097 libindicator3-7                    	       0      169        3        0      166
32098 libindicator3-dev                  	       0        2        2        0        0
32099 libindicator7                      	       0       93        0        0       93
32100 libindiclient1                     	       0       86        3        0       83
32101 libindiclient2                     	       0        1        0        0        1
32102 libindidriver0c                    	       0        1        0        0        1
32103 libindidriver1                     	       0       32        0        0       32
32104 libindilx200-1                     	       0        2        0        0        2
32105 libindirect-perl                   	       0      170        0        0      170
32106 libinfgtk-0.7-0                    	       0        3        0        0        3
32107 libinfgtk-0.7-0t64                 	       0        1        0        0        1
32108 libinfinity-0.7-0                  	       0        3        0        0        3
32109 libinfinity-0.7-0t64               	       0        1        0        0        1
32110 libinfluxdb-lineprotocol-perl      	       0        1        1        0        0
32111 libini4j-java                      	       0        3        0        0        3
32112 libinih-dev                        	       0        5        5        0        0
32113 libiniparser-dev                   	       0        3        3        0        0
32114 libiniparser-doc                   	       0        3        0        0        3
32115 libiniparser4                      	       0        4        0        0        4
32116 libinklevel-dev                    	       0        1        1        0        0
32117 libinklevel5                       	       0       23        0        0       23
32118 libinnate-ruby1.9.1                	       0        1        0        0        1
32119 libinnodb3                         	       0        1        0        0        1
32120 libinotifytools0-dev               	       0        4        4        0        0
32121 libinput-pad-1.0-1                 	       0        2        0        0        2
32122 libinput-pad-dev                   	       0        1        1        0        0
32123 libinput-pad-xtest                 	       0        1        0        0        1
32124 libinput0                          	       0        2        0        0        2
32125 libinput5                          	       0       11        0        0       11
32126 libinputsynth-0.15-0               	       0        2        0        0        2
32127 libinputsynth-dev                  	       0        1        1        0        0
32128 libinsane-dev                      	       0        2        2        0        0
32129 libinsane-doc                      	       0        2        0        0        2
32130 libinsane1                         	       0       17        0        0       17
32131 libinsighttoolkit4-dev             	       0        2        2        0        0
32132 libinsighttoolkit4.12              	       0        1        1        0        0
32133 libinsighttoolkit4.13              	       0        9        9        0        0
32134 libinsighttoolkit5.2               	       0        2        2        0        0
32135 libinstaparse-clojure              	       0        1        0        0        1
32136 libinstpatch-dev                   	       0       41       40        1        0
32137 libint1                            	       0        4        0        0        4
32138 libint2-2                          	       0        1        0        0        1
32139 libintegers-ocaml                  	       0        2        1        0        1
32140 libintegers-ocaml-dev              	       0        2        2        0        0
32141 libintellij-annotations-java       	       0      337        0        0      337
32142 libintellij-annotations-java-doc   	       0        1        0        0        1
32143 libintelrdfpmath-dev               	       0        1        1        0        0
32144 libinteractive-markers-dev         	       0        1        1        0        0
32145 libinteractive-markers2d           	       0        1        0        0        1
32146 libinterimap                       	       0        1        1        0        0
32147 libintervalstorej-java             	       0        1        0        0        1
32148 libinventor1                       	       0        3        0        0        3
32149 libinventor1t64                    	       0        1        0        0        1
32150 libinvokebinder-java               	       0        4        0        0        4
32151 libinvokebinder-java-doc           	       0        1        0        0        1
32152 libio-aio-perl                     	       0        1        1        0        0
32153 libio-all-perl                     	       0       42       42        0        0
32154 libio-async-loop-epoll-perl        	       0        2        2        0        0
32155 libio-async-loop-glib-perl         	       0        1        1        0        0
32156 libio-async-perl                   	       0       62       61        1        0
32157 libio-bufferedselect-perl          	       0        1        1        0        0
32158 libio-captureoutput-perl           	       0        1        1        0        0
32159 libio-compress-brotli-perl         	       0      236        0        0      236
32160 libio-dirent-perl                  	       0        8        0        0        8
32161 libio-event-perl                   	       0        1        1        0        0
32162 libio-fdpass-perl                  	       0        5        0        0        5
32163 libio-handle-util-perl             	       0        5        5        0        0
32164 libio-interface-perl               	       0       38        5        0       33
32165 libio-lockedfile-perl              	       0        2        2        0        0
32166 libio-pager-perl                   	       0        2        2        0        0
32167 libio-pipely-perl                  	       0       14       13        1        0
32168 libio-prompt-perl                  	       0        1        1        0        0
32169 libio-prompt-tiny-perl             	       0        5        5        0        0
32170 libio-pty-easy-perl                	       0        5        5        0        0
32171 libio-socket-multicast-perl        	       0       12        0        0       12
32172 libio-stty-perl                    	       0       30       30        0        0
32173 libio-tee-perl                     	       0       14       14        0        0
32174 libiodbc2-dev                      	       0        2        2        0        0
32175 libip-country-perl                 	       0        2        2        0        0
32176 libip4tc-dev                       	       0        6        4        0        2
32177 libip4tc0                          	       0      938        0        0      938
32178 libip6tc-dev                       	       0        6        6        0        0
32179 libip6tc0                          	       0      937        0        0      937
32180 libip6tc2                          	       0     2112        1        0     2111
32181 libipa-hbac0                       	       0       13        2        0       11
32182 libipc-sharedcache-perl            	       0        8        7        1        0
32183 libipc-sharelite-perl              	       0       30        4        0       26
32184 libipe-dev                         	       0        2        2        0        0
32185 libipe7.1.4                        	       0        1        0        0        1
32186 libipe7.2.23                       	       0        1        0        0        1
32187 libipe7.2.25                       	       0        1        0        0        1
32188 libipe7.2.26                       	       0       10        0        0       10
32189 libipe7.2.28                       	       0        1        0        0        1
32190 libipe7.2.30                       	       0        2        0        0        2
32191 libipe7.2.7                        	       0        2        0        0        2
32192 libipe7.2.9                        	       0        1        0        0        1
32193 libipmiconsole2                    	       0       42        0        0       42
32194 libipmidetect0                     	       0       41        0        0       41
32195 libipmimonitoring6                 	       0        6        0        0        6
32196 libipsec-mb-dev                    	       0        3        3        0        0
32197 libipsec-mb0                       	       0       10        0        0       10
32198 libipsec-mb1                       	       0       35        0        0       35
32199 libipsec-mb2                       	       0        2        0        0        2
32200 libipset-dev                       	       0        1        1        0        0
32201 libipset11                         	       0        7        0        0        7
32202 libipset13                         	       0       78        0        0       78
32203 libipset13t64                      	       0       30        0        0       30
32204 libipset3                          	       0        6        0        0        6
32205 libipt-dev                         	       0        1        1        0        0
32206 libiptc-dev                        	       0        6        2        0        4
32207 libiptc0                           	       0      950        0        0      950
32208 libiptcdata0-dev                   	       0        1        1        0        0
32209 libipx-dev                         	       0        1        1        0        0
32210 libipx2                            	       0        1        0        0        1
32211 libiqa-dev                         	       0        1        1        0        0
32212 libiqa1                            	       0        1        0        0        1
32213 libirc-formatting-html-perl        	       0        4        4        0        0
32214 libirecovery-1.0-3                 	       0        8        0        0        8
32215 libirecovery-1.0-5                 	       0        1        0        0        1
32216 libirecovery-common                	       0        9        9        0        0
32217 libiri-perl                        	       0        3        3        0        0
32218 libirman-dev                       	       0        1        1        0        0
32219 libirman0                          	       0        9        0        0        9
32220 libirrlicht-dev                    	       0       14       14        0        0
32221 libirrlicht-doc                    	       0        1        1        0        0
32222 libirrlicht1.7a                    	       0        1        0        0        1
32223 libirrlicht1.8                     	       0       18        1        0       17
32224 libirrlicht1.8t64                  	       0        3        0        0        3
32225 libirs-export141                   	       0       13        0        0       13
32226 libirs-export91                    	       0      219        0        0      219
32227 libirs141                          	       0       16        0        0       16
32228 libirs161                          	       0      189        0        0      189
32229 libirstlm-dev                      	       0        1        1        0        0
32230 libirstlm1                         	       0        4        0        0        4
32231 libisal-dev                        	       0        4        4        0        0
32232 libisal2                           	       0        5        0        0        5
32233 libisc-export1100                  	       0      526        1        0      525
32234 libisc-export160                   	       0      486        1        0      485
32235 libisc-export166                   	       0        1        0        0        1
32236 libisc-export169                   	       0        1        0        0        1
32237 libisc-export189                   	       0        1        0        0        1
32238 libisc-export95                    	       0      221        0        0      221
32239 libisc11                           	       0        4        0        0        4
32240 libisc1100                         	       0      305        0        0      305
32241 libisc1105                         	       0       59        0        0       59
32242 libisc160                          	       0      194        0        0      194
32243 libisc44                           	       0        4        0        0        4
32244 libisc45                           	       0        5        0        0        5
32245 libisc62                           	       0       18        0        0       18
32246 libisc83                           	       0        2        0        0        2
32247 libisc84                           	       0       22        0        0       22
32248 libisc95                           	       0       89        0        0       89
32249 libisccc-export140                 	       0       12        0        0       12
32250 libisccc-export161                 	       0        3        0        0        3
32251 libisccc0                          	       0        3        0        0        3
32252 libisccc140                        	       0      192        0        0      192
32253 libisccc161                        	       0      315        0        0      315
32254 libisccc40                         	       0        5        0        0        5
32255 libisccc60                         	       0       18        0        0       18
32256 libisccc80                         	       0       22        0        0       22
32257 libisccc90                         	       0       89        0        0       89
32258 libisccfg-export140                	       0       12        0        0       12
32259 libisccfg-export144                	       0        1        0        0        1
32260 libisccfg-export90                 	       0      220        0        0      220
32261 libisccfg1                         	       0        3        0        0        3
32262 libisccfg140                       	       0      190        0        0      190
32263 libisccfg163                       	       0      315        0        0      315
32264 libisccfg62                        	       0       18        0        0       18
32265 libisccfg82                        	       0       21        0        0       21
32266 libisccfg90                        	       0       84        0        0       84
32267 libiscsi-bin                       	       0        1        1        0        0
32268 libiscsi-dev                       	       0        6        6        0        0
32269 libiscsi1                          	       0        7        0        0        7
32270 libiscsi2                          	       0       16        0        0       16
32271 libiscwt-java                      	       0        1        0        0        1
32272 libisfreetype-java                 	       0        3        0        0        3
32273 libisl-dev                         	       0       10       10        0        0
32274 libisl10                           	       0      162        0        0      162
32275 libisl15                           	       0      428        0        0      428
32276 libisl19                           	       0      270        0        0      270
32277 libisl21                           	       0        1        0        0        1
32278 libisl22                           	       0        9        0        0        9
32279 libismrmrd1.3                      	       0        1        0        0        1
32280 libismrmrd1.8                      	       0        2        0        0        2
32281 libisnativec-java                  	       0        3        0        0        3
32282 libisns0t64                        	       0       20        2        0       18
32283 libiso9660++-dev                   	       0        1        0        0        1
32284 libiso9660++0t64                   	       0        1        0        0        1
32285 libiso9660-4                       	       0        1        0        0        1
32286 libiso9660-5                       	       0        2        0        0        2
32287 libiso9660-7                       	       0        6        0        0        6
32288 libiso9660-8                       	       0       52        0        0       52
32289 libiso9660-dev                     	       0       17       17        0        0
32290 libisoburn-dev                     	       0        1        1        0        0
32291 libisoburn-doc                     	       0        2        0        0        2
32292 libisoburn1                        	       0      418        0        0      418
32293 libisoburn1t64                     	       0       62        0        0       62
32294 libisocodes1                       	       0        1        0        0        1
32295 libisocore1                        	       0        1        0        0        1
32296 libisofs-dev                       	       0        4        4        0        0
32297 libisofs-doc                       	       0        2        0        0        2
32298 libisofs6                          	       0     2061        0        0     2061
32299 libisofs6t64                       	       0      152        0        0      152
32300 libisorelax-java                   	       0      202        0        0      202
32301 libisrt-java                       	       0        3        0        0        3
32302 libistack-commons-java             	       0      339        0        0      339
32303 libite5                            	       0        2        0        0        2
32304 libiterm1                          	       0        1        0        0        1
32305 libitext-java                      	       0      775        0        0      775
32306 libitext-java-gcj                  	       0        2        2        0        0
32307 libitext-rtf-java                  	       0        1        0        0        1
32308 libitext1-java                     	       0       10        0        0       10
32309 libitext5-java                     	       0       31        0        0       31
32310 libitl0                            	       0        4        0        0        4
32311 libitm1                            	       0     2598        0        0     2598
32312 libitm1-alpha-cross                	       0        3        0        0        3
32313 libitm1-arm64-cross                	       0       39        0        0       39
32314 libitm1-dbgsym                     	       0        1        1        0        0
32315 libitm1-i386-cross                 	       0       14        0        0       14
32316 libitm1-ppc64-cross                	       0        6        0        0        6
32317 libitm1-ppc64el-cross              	       0        1        0        0        1
32318 libitm1-riscv64-cross              	       0        2        0        0        2
32319 libitm1-s390x-cross                	       0        4        0        0        4
32320 libitm1-sparc64-cross              	       0        3        0        0        3
32321 libitm1-x32-cross                  	       0        6        0        0        6
32322 libitpp-dev                        	       0        9        8        1        0
32323 libitpp8v5                         	       0       11        0        0       11
32324 libitsol-dev                       	       0        1        1        0        0
32325 libitsol1                          	       0        1        0        0        1
32326 libiv-unidraw1                     	       0        1        0        0        1
32327 libiv-unidraw2                     	       0        2        0        0        2
32328 libiv-unidraw2t64                  	       0        1        0        0        1
32329 libiv1                             	       0        1        0        0        1
32330 libiv2                             	       0        2        0        0        2
32331 libiv2t64                          	       0        1        0        0        1
32332 libivykis0t64                      	       0        2        2        0        0
32333 libiw-dev                          	       0       19       19        0        0
32334 libiw28                            	       0        1        0        0        1
32335 libiw29                            	       0        2        0        0        2
32336 libiw30t64                         	       0      183       10        0      173
32337 libixion-0.14-0                    	       0        1        0        0        1
32338 libixion-0.17-0                    	       0        1        0        0        1
32339 libixion-0.18-0                    	       0        1        0        0        1
32340 libixml11                          	       0       52        2        0       50
32341 libixp0                            	       0        1        0        0        1
32342 libj2ssh-java                      	       0       11        0        0       11
32343 libjaba-client-java                	       0        2        0        0        2
32344 libjack-dev                        	       0       52       51        1        0
32345 libjackrabbit-java                 	       0        8        0        0        8
32346 libjackson-json-java               	       0       11        0        0       11
32347 libjackson2-annotations-java       	       0       98        0        0       98
32348 libjackson2-annotations-java-doc   	       0        5        0        0        5
32349 libjackson2-core-java              	       0      171        0        0      171
32350 libjackson2-core-java-doc          	       0        2        0        0        2
32351 libjackson2-databind-java          	       0       97        0        0       97
32352 libjackson2-databind-java-doc      	       0        2        0        0        2
32353 libjackson2-dataformat-cbor        	       0        1        0        0        1
32354 libjackson2-dataformat-smile       	       0        2        0        0        2
32355 libjackson2-dataformat-smile-doc   	       0        1        0        0        1
32356 libjackson2-dataformat-xml-java    	       0        5        0        0        5
32357 libjackson2-dataformat-xml-java-doc	       0        2        0        0        2
32358 libjackson2-dataformat-yaml        	       0        6        0        0        6
32359 libjackson2-dataformat-yaml-doc    	       0        2        0        0        2
32360 libjackson2-jr-java                	       0       61        0        0       61
32361 libjackson2-module-jaxb-annotations-java	       0        1        0        0        1
32362 libjacoco-java                     	       0        2        0        0        2
32363 libjaffl-java                      	       0        2        0        0        2
32364 libjakarta-activation-java         	       0        2        0        0        2
32365 libjakarta-servlet-api-java        	       0        5        0        0        5
32366 libjam-java                        	       0        1        0        0        1
32367 libjama-dev                        	       0        1        1        0        0
32368 libjama-java                       	       0        3        0        0        3
32369 libjameica-datasource-java         	       0        3        0        0        3
32370 libjameica-util-java               	       0        3        0        0        3
32371 libjamon-java                      	       0        1        0        0        1
32372 libjanino-java                     	       0       38        0        0       38
32373 libjanino-java-doc                 	       0        3        0        0        3
32374 libjansi-java                      	       0      497        0        0      497
32375 libjansi-native-java               	       0      377        0        0      377
32376 libjansi1-java                     	       0       78        0        0       78
32377 libjansson-doc                     	       0        1        0        0        1
32378 libjargs-java                      	       0        8        0        0        8
32379 libjarjar-java                     	       0       52        0        0       52
32380 libjarjar-maven-plugin-java        	       0        1        0        0        1
32381 libjarjar-maven-plugin-java-doc    	       0        1        0        0        1
32382 libjas-java                        	       0       31        0        0       31
32383 libjas-plotter-java                	       0       11        0        0       11
32384 libjasper-1.701-1                  	       0        3        0        0        3
32385 libjasper-dev                      	       0       12       12        0        0
32386 libjasper-runtime                  	       0        1        1        0        0
32387 libjasper1                         	       0      147        1        0      146
32388 libjasypt-java                     	       0        2        0        0        2
32389 libjatl-java                       	       0       51        0        0       51
32390 libjaudiotagger-java               	       0        1        0        0        1
32391 libjaula1                          	       0        2        0        0        2
32392 libjaula1t64                       	       0        1        0        0        1
32393 libjava-classpath-clojure          	       0        1        0        0        1
32394 libjava-gnome-java                 	       0        3        0        0        3
32395 libjava-gnome-jni                  	       0        3        3        0        0
32396 libjava-jdbc-clojure               	       0        1        0        0        1
32397 libjava-jmx-clojure                	       0        1        0        0        1
32398 libjava-string-similarity-java     	       0       23        0        0       23
32399 libjava-xmlbuilder-java            	       0        1        0        0        1
32400 libjava3d-java                     	       0       69        0        0       69
32401 libjava3d-java-doc                 	       0        1        0        0        1
32402 libjava3d-jni                      	       0       69       69        0        0
32403 libjavacc-maven-plugin-java        	       0        3        0        0        3
32404 libjavaewah-java                   	       0       58        0        0       58
32405 libjavafxsvg-java                  	       0        1        0        0        1
32406 libjavaparser-java                 	       0       80        0        0       80
32407 libjavapoet-java                   	       0        2        0        0        2
32408 libjavascriptcoregtk-1.0-0         	       0       53        0        0       53
32409 libjavascriptcoregtk-1.0-dev       	       0        3        3        0        0
32410 libjavascriptcoregtk-3.0-0         	       0       60        0        0       60
32411 libjavascriptcoregtk-3.0-bin       	       0        1        1        0        0
32412 libjavascriptcoregtk-3.0-dev       	       0        2        2        0        0
32413 libjavascriptcoregtk-4.0-18-dbgsym 	       0        1        1        0        0
32414 libjavascriptcoregtk-4.0-bin       	       0        3        3        0        0
32415 libjavascriptcoregtk-4.0-dev       	       0       44       41        3        0
32416 libjavascriptcoregtk-4.1-dev       	       0       10        9        1        0
32417 libjavascriptcoregtk-5.0-0         	       0        1        0        0        1
32418 libjavascriptcoregtk-6.0-1         	       0      143       10        7      126
32419 libjavascriptcoregtk-6.0-dev       	       0        4        4        0        0
32420 libjavassist-java                  	       0        4        0        0        4
32421 libjavawriter-java                 	       0        3        0        0        3
32422 libjavawriter-java-doc             	       0        1        0        0        1
32423 libjawn-java                       	       0        1        0        0        1
32424 libjaxb-api-java                   	       0      399        0        0      399
32425 libjaxb-java                       	       0      336        0        0      336
32426 libjaxe-java                       	       0        1        0        0        1
32427 libjaxen-java                      	       0      502        0        0      502
32428 libjaxme-java                      	       0        4        0        0        4
32429 libjaxp1.3-java                    	       0      627        0        0      627
32430 libjaxp1.3-java-gcj                	       0        1        1        0        0
32431 libjaxrs-api-java                  	       0       15        0        0       15
32432 libjaxws-api-java                  	       0        4        0        0        4
32433 libjaxws-java                      	       0        3        0        0        3
32434 libjaylink-dev                     	       0        7        7        0        0
32435 libjazzy-java                      	       0        1        0        0        1
32436 libjbcrypt-java                    	       0        3        0        0        3
32437 libjbig2dec0-dev                   	       0        5        5        0        0
32438 libjbig2enc-dev                    	       0        1        1        0        0
32439 libjbig2enc0                       	       0        1        0        0        1
32440 libjbig2enc0t64                    	       0        8        0        0        8
32441 libjbigi-jni                       	       0        8        7        1        0
32442 libjboss-jdeparser2-java           	       0       49        0        0       49
32443 libjboss-logging-java              	       0       64        0        0       64
32444 libjboss-logging-java-doc          	       0        1        0        0        1
32445 libjboss-logging-tools-java        	       0       49        0        0       49
32446 libjboss-vfs-java                  	       0        4        0        0        4
32447 libjbzip2-java                     	       0        4        0        0        4
32448 libjcat-dev                        	       0        2        2        0        0
32449 libjchart2d-java                   	       0       20        0        0       20
32450 libjcharts-java                    	       0        2        0        0        2
32451 libjcifs-java                      	       0       69        0        0       69
32452 libjcifs-java-doc                  	       0        1        0        0        1
32453 libjcip-annotations-java           	       0       69        0        0       69
32454 libjcodings-java                   	       0        8        0        0        8
32455 libjcommander-java                 	       0      118        0        0      118
32456 libjcommander-java-doc             	       0        7        0        0        7
32457 libjcommon-java                    	       0      769        0        0      769
32458 libjcommon-java-doc                	       0        6        0        0        6
32459 libjconv-bin                       	       0        2        2        0        0
32460 libjconv2                          	       0        2        0        0        2
32461 libjcsp-java                       	       0       72        0        0       72
32462 libjcsp-java-doc                   	       0        1        0        0        1
32463 libjctools-java                    	       0       28        0        0       28
32464 libjdeb-java                       	       0        1        0        0        1
32465 libjdepend-java                    	       0       10        0        0       10
32466 libjdependency-java                	       0        1        0        0        1
32467 libjdns2                           	       0        1        0        0        1
32468 libjdom1-java                      	       0      453        0        0      453
32469 libjdom1-java-doc                  	       0        7        0        0        7
32470 libjdom2-intellij-java             	       0        1        0        0        1
32471 libjdom2-intellij-java-doc         	       0        1        0        0        1
32472 libjdom2-java                      	       0       26        0        0       26
32473 libjdom2-java-doc                  	       0        1        0        0        1
32474 libjebl2-java                      	       0        1        0        0        1
32475 libjellyfish-2.0-2                 	       0        1        0        0        1
32476 libjemalloc-dev                    	       0       22       22        0        0
32477 libjemalloc1                       	       0       82        0        0       82
32478 libjemmy2-java                     	       0        3        0        0        3
32479 libjempbox-java                    	       0       23        0        0       23
32480 libjenkins-trilead-ssh2-java       	       0        1        0        0        1
32481 libjerasure2                       	       0        1        0        0        1
32482 libjericho-html-java               	       0       17        0        0       17
32483 libjeromq-java                     	       0        5        0        0        5
32484 libjersey1-client-java             	       0        1        0        0        1
32485 libjersey1-core-java               	       0        2        0        0        2
32486 libjersey1-server-java             	       0        1        0        0        1
32487 libjetbrains-annotations-java      	       0      338        0        0      338
32488 libjetbrains-annotations-java-doc  	       0        5        0        0        5
32489 libjets3t-java                     	       0        1        0        0        1
32490 libjettison-java                   	       0        6        0        0        6
32491 libjetty8-java                     	       0        3        0        0        3
32492 libjetty8-java-doc                 	       0        1        0        0        1
32493 libjetty9-extra-java               	       0        6        0        0        6
32494 libjetty9-java                     	       0       74        0        0       74
32495 libjeuclid-core-java               	       0       20        0        0       20
32496 libjeuclid-fop-java                	       0        2        0        0        2
32497 libjexcelapi-java                  	       0        6        0        0        6
32498 libjffi-java                       	       0       29        0        0       29
32499 libjffi-jni                        	       0       29        0        0       29
32500 libjformatstring-java              	       0       51        0        0       51
32501 libjfreechart-java                 	       0       43        0        0       43
32502 libjfreechart-java-doc             	       0        1        0        0        1
32503 libjfreesvg-java                   	       0        2        0        0        2
32504 libjfugue-java                     	       0       31        0        0       31
32505 libjgit-java                       	       0       57        0        0       57
32506 libjgoodies-animation-java         	       0        1        0        0        1
32507 libjgoodies-common-java            	       0       68        0        0       68
32508 libjgoodies-forms-java             	       0       54        0        0       54
32509 libjgoodies-looks-java             	       0       40        0        0       40
32510 libjgraph-java                     	       0        3        0        0        3
32511 libjgrapht-java                    	       0        1        0        0        1
32512 libjgrapht0.6-java                 	       0        2        0        0        2
32513 libjgrapht0.8-java                 	       0        2        0        0        2
32514 libjgraphx-java                    	       0       16        0        0       16
32515 libjgroups-java                    	       0        1        0        0        1
32516 libjhdf4-java                      	       0        1        0        0        1
32517 libjhdf4-jni                       	       0        1        1        0        0
32518 libjhdf5-java                      	       0        1        0        0        1
32519 libjhdf5-jni                       	       0        1        1        0        0
32520 libjheaps-java                     	       0        1        0        0        1
32521 libjhighlight-java                 	       0        1        0        0        1
32522 libjhlabs-filters-java             	       0       26        0        0       26
32523 libjibx1.1-java                    	       0        6        0        0        6
32524 libjibx1.2-java                    	       0        1        0        0        1
32525 libjiconfont-font-awesome-java     	       0       20        0        0       20
32526 libjiconfont-java                  	       0       20        0        0       20
32527 libjiconfont-swing-java            	       0       20        0        0       20
32528 libjide-oss-java                   	       0       21        0        0       21
32529 libjim-dev                         	       0        1        1        0        0
32530 libjim0.75                         	       0       32        0        0       32
32531 libjim0.76                         	       0       83        0        0       83
32532 libjim0.77                         	       0      144        0        0      144
32533 libjim0.79                         	       0      480        0        0      480
32534 libjim0.81                         	       0     2078        0        0     2078
32535 libjim0.82                         	       0       17        0        0       17
32536 libjim0.82t64                      	       0       72        0        0       72
32537 libjim0.83                         	       0      138        0        0      138
32538 libjim0debian2                     	       0        6        0        0        6
32539 libjimfs-java                      	       0        2        0        0        2
32540 libjing-java                       	       0       12        0        0       12
32541 libjinglebase0.3-0                 	       0        1        0        0        1
32542 libjinglep2p0.3-0                  	       0        1        0        0        1
32543 libjinglesession0.3-0              	       0        1        0        0        1
32544 libjinglexmllite0.3-0              	       0        1        0        0        1
32545 libjinglexmpp0.3-0                 	       0        1        0        0        1
32546 libjinput-java                     	       0        5        0        0        5
32547 libjinput-jni                      	       0        5        5        0        0
32548 libjitescript-java                 	       0        4        0        0        4
32549 libjitterentropy                   	       0        1        0        0        1
32550 libjitterentropy-dev               	       0        1        1        0        0
32551 libjlapack-java                    	       0        1        0        0        1
32552 libjlatexmath-fop-java             	       0       15        0        0       15
32553 libjlatexmath-java                 	       0       90        0        0       90
32554 libjlayer-java                     	       0       11        0        0       11
32555 libjlha-java                       	       0       16        0        0       16
32556 libjlha-java-doc-ja                	       0        2        0        0        2
32557 libjlibeps-java                    	       0       12        0        0       12
32558 libjline-java                      	       0       67        0        0       67
32559 libjline-java-doc                  	       0        9        0        0        9
32560 libjline2-java                     	       0      102        0        0      102
32561 libjline3-java                     	       0        3        0        0        3
32562 libjmac-java                       	       0        1        1        0        0
32563 libjmdns-java                      	       0       88        0        0       88
32564 libjmol-java                       	       0       15        0        0       15
32565 libjna-java                        	       0      174        0        0      174
32566 libjna-java-doc                    	       0        3        0        0        3
32567 libjna-jni                         	       0      174        2        0      172
32568 libjna-platform-java               	       0      111        0        0      111
32569 libjni-inchi-java                  	       0       14        0        0       14
32570 libjni-inchi-jni                   	       0       14       14        0        0
32571 libjnlp-servlet-java               	       0        3        0        0        3
32572 libjnr-constants-java              	       0       29        0        0       29
32573 libjnr-enxio-java                  	       0        7        0        0        7
32574 libjnr-enxio-java-doc              	       0        1        0        0        1
32575 libjnr-ffi-java                    	       0       29        0        0       29
32576 libjnr-ffi-java-doc                	       0        6        0        0        6
32577 libjnr-netdb-java                  	       0       25        0        0       25
32578 libjnr-netdb-java-doc              	       0        5        0        0        5
32579 libjnr-posix-java                  	       0       29        0        0       29
32580 libjnr-posix-java-doc              	       0        6        0        0        6
32581 libjnr-unixsocket-java             	       0        7        0        0        7
32582 libjnr-x86asm-java                 	       0       29        0        0       29
32583 libjoda-convert-java               	       0        5        0        0        5
32584 libjoda-convert-java-doc           	       0        1        0        0        1
32585 libjoda-time-java                  	       0       26        0        0       26
32586 libjoda-time-java-doc              	       0        2        0        0        2
32587 libjodconverter-java               	       0        2        0        0        2
32588 libjodycode3                       	       0        2        0        0        2
32589 libjodycode3t64                    	       0        3        0        0        3
32590 libjogl-java                       	       0        1        0        0        1
32591 libjogl-jni                        	       0        1        1        0        0
32592 libjogl2-java                      	       0       19        0        0       19
32593 libjogl2-java-doc                  	       0        1        0        0        1
32594 libjogl2-jni                       	       0       19       19        0        0
32595 libjogl2-toolkits                  	       0        1        0        0        1
32596 libjolokia-core-java               	       0        1        0        0        1
32597 libjopendocument-java              	       0        7        0        0        7
32598 libjoptsimple-java                 	       0        9        0        0        9
32599 libjorbis-java                     	       0        1        0        0        1
32600 libjose-dev                        	       0        1        1        0        0
32601 libjose0                           	       0       11        0        0       11
32602 libjpa-2.1-spec-java               	       0        4        0        0        4
32603 libjpedal-jbig2-java               	       0        1        0        0        1
32604 libjpeg-dev                        	       0      681        0        0      681
32605 libjpeg-progs                      	       0        8        6        2        0
32606 libjpeg-tools                      	       0        7        6        1        0
32607 libjpeg8                           	       0       55        0        0       55
32608 libjpeg8-dev                       	       0        8        8        0        0
32609 libjpeg9                           	       0        7        0        0        7
32610 libjpegqs0                         	       0        1        0        0        1
32611 libjpf-java                        	       0        5        0        0        5
32612 libjpfcodegen-java                 	       0        2        0        0        2
32613 libjpgalleg4-dev                   	       0        1        1        0        0
32614 libjpgalleg4.4                     	       0       12        0        0       12
32615 libjpge-dev                        	       0        1        1        0        0
32616 libjpge0                           	       0        1        0        0        1
32617 libjq-dev                          	       0        5        5        0        0
32618 libjq1                             	       0     1165        0        0     1165
32619 libjrosetta-java                   	       0       15        0        0       15
32620 libjruby-joni-java                 	       0        4        4        0        0
32621 libjruby-utils-clojure             	       0        1        0        0        1
32622 libjs-angular-file-upload          	       0        1        0        0        1
32623 libjs-angular-gettext              	       0        1        0        0        1
32624 libjs-angular-schema-form          	       0        1        0        0        1
32625 libjs-angularjs                    	       0       19        0        0       19
32626 libjs-angularjs-smart-table        	       0        1        0        0        1
32627 libjs-asciimathml                  	       0        1        1        0        0
32628 libjs-async                        	       0      173        0        0      173
32629 libjs-backbone                     	       0       70        0        0       70
32630 libjs-bootbox                      	       0        1        0        0        1
32631 libjs-bootsidemenu                 	       0        2        0        0        2
32632 libjs-bootstrap                    	       0      243        0        0      243
32633 libjs-bootstrap-tour               	       0       56        0        0       56
32634 libjs-bootstrap4                   	       0      306        1        0      305
32635 libjs-bootstrap5                   	       0       20        0        0       20
32636 libjs-bootstrap5-doc               	       0        2        0        0        2
32637 libjs-bootswatch                   	       0       46        0        0       46
32638 libjs-c3                           	       0        2        0        0        2
32639 libjs-chart.js                     	       0        6        0        0        6
32640 libjs-chosen                       	       0        4        0        0        4
32641 libjs-coffeescript                 	       0       37        0        0       37
32642 libjs-cropper                      	       0       25        0        0       25
32643 libjs-d3                           	       0       74        0        0       74
32644 libjs-d3-format                    	       0        3        0        0        3
32645 libjs-d3-tip                       	       0        5        0        0        5
32646 libjs-dojo-core                    	       0        1        0        0        1
32647 libjs-dojo-dijit                   	       0        1        0        0        1
32648 libjs-dojo-dojox                   	       0        1        1        0        0
32649 libjs-elycharts                    	       0        4        0        0        4
32650 libjs-emojify                      	       0        2        0        0        2
32651 libjs-emojione                     	       0        2        0        0        2
32652 libjs-eonasdan-bootstrap-datetimepicker	       0        5        0        0        5
32653 libjs-es5-shim                     	       0       44        0        0       44
32654 libjs-es6-promise                  	       0       56        0        0       56
32655 libjs-events                       	       0      174        0        0      174
32656 libjs-excanvas                     	       0       58        0        0       58
32657 libjs-extjs                        	       0        1        0        0        1
32658 libjs-highlight.js                 	       0      358        0        0      358
32659 libjs-htmx                         	       0        1        0        0        1
32660 libjs-i18next                      	       0        1        0        0        1
32661 libjs-impress                      	       0        3        0        0        3
32662 libjs-inherits                     	       0      228        0        0      228
32663 libjs-is-typedarray                	       0      214        0        0      214
32664 libjs-iscroll                      	       0       86       85        1        0
32665 libjs-ismobilejs                   	       0        1        0        0        1
32666 libjs-jed                          	       0       56        0        0       56
32667 libjs-jquery                       	       0     2960        0        0     2960
32668 libjs-jquery-atwho                 	       0        1        0        0        1
32669 libjs-jquery-colorbox              	       0        2        0        0        2
32670 libjs-jquery-colorpicker           	       0        5        0        0        5
32671 libjs-jquery-cookie                	       0       23        0        0       23
32672 libjs-jquery-datatables            	       0       73        0        0       73
32673 libjs-jquery-datatables-extensions 	       0       35        0        0       35
32674 libjs-jquery-easing                	       0       55        0        0       55
32675 libjs-jquery-fancybox              	       0       55        0        0       55
32676 libjs-jquery-file-upload           	       0        1        1        0        0
32677 libjs-jquery-flot                  	       0       31        0        0       31
32678 libjs-jquery-flot-docs             	       0        1        0        0        1
32679 libjs-jquery-form                  	       0        8        0        0        8
32680 libjs-jquery-hotkeys               	       0       56        0        0       56
32681 libjs-jquery-i18n-properties       	       0        5        0        0        5
32682 libjs-jquery-isonscreen            	       0       51        0        0       51
32683 libjs-jquery-jplayer               	       0        1        0        0        1
32684 libjs-jquery-jstree                	       0        4        0        0        4
32685 libjs-jquery-lazyload              	       0        1        0        0        1
32686 libjs-jquery-livequery             	       0        1        0        0        1
32687 libjs-jquery-metadata              	       0      111        0        0      111
32688 libjs-jquery-migrate-1             	       0        2        0        0        2
32689 libjs-jquery-minicolors            	       0       12        0        0       12
32690 libjs-jquery-mobile                	       0        3        0        0        3
32691 libjs-jquery-mousewheel            	       0      110        0        0      110
32692 libjs-jquery-placeholder           	       0        5        0        0        5
32693 libjs-jquery-scrollto              	       0       24        0        0       24
32694 libjs-jquery-selectize.js          	       0       41        0        0       41
32695 libjs-jquery-tablesorter           	       0      111        0        0      111
32696 libjs-jquery-throttle-debounce     	       0       43       25        0       18
32697 libjs-jquery-timepicker            	       0       57        0        0       57
32698 libjs-jquery-tipsy                 	       0        1        0        0        1
32699 libjs-jquery-typeahead             	       0       56        0        0       56
32700 libjs-jquery-ui                    	       0      739        0        0      739
32701 libjs-jquery-ui-docs               	       0        6        5        1        0
32702 libjs-jquery-ui-theme-base         	       0       41        0        0       41
32703 libjs-jquery-ui-theme-redmond      	       0        1        0        0        1
32704 libjs-jquery-ui-theme-smoothness   	       0       15        0        0       15
32705 libjs-jquery-ui-theme-south-street 	       0        3        0        0        3
32706 libjs-jquery-ui-theme-ui-darkness  	       0        3        0        0        3
32707 libjs-jquery-ui-theme-ui-lightness 	       0       10        0        0       10
32708 libjs-jquery-ui-touch-punch        	       0        3        0        0        3
32709 libjs-jquery.quicksearch           	       0        1        0        0        1
32710 libjs-jsencrypt                    	       0        1        0        0        1
32711 libjs-json                         	       0       46        0        0       46
32712 libjs-jstimezonedetect             	       0       15        0        0       15
32713 libjs-katex                        	       0       35        0        0       35
32714 libjs-leaflet                      	       0       70        0        0       70
32715 libjs-leaflet.markercluster        	       0        1        0        0        1
32716 libjs-lightbox2                    	       0        1        0        0        1
32717 libjs-lodash                       	       0       10        0        0       10
32718 libjs-lrdragndrop                  	       0        1        0        0        1
32719 libjs-lunr                         	       0      153        0        0      153
32720 libjs-magic-search                 	       0        1        0        0        1
32721 libjs-marked                       	       0       61        0        0       61
32722 libjs-mathjax                      	       0     2174        0        0     2174
32723 libjs-mathjax-doc                  	       0        9        0        0        9
32724 libjs-mediaelement                 	       0        2        0        0        2
32725 libjs-microplugin.js               	       0       42        0        0       42
32726 libjs-mochikit                     	       0        3        3        0        0
32727 libjs-modernizr                    	       0      298        0        0      298
32728 libjs-modestmaps                   	       0        1        0        0        1
32729 libjs-moment                       	       0       65        0        0       65
32730 libjs-moment-timezone              	       0        7        0        0        7
32731 libjs-mootools                     	       0       35        0        0       35
32732 libjs-mustache                     	       0       10        0        0       10
32733 libjs-node-uuid                    	       0        4        0        0        4
32734 libjs-nouislider                   	       0        2        0        0        2
32735 libjs-objectpath                   	       0        1        0        0        1
32736 libjs-of-ocaml                     	       0        1        1        0        0
32737 libjs-of-ocaml-dev                 	       0        1        1        0        0
32738 libjs-openlayers                   	       0       24        0        0       24
32739 libjs-pdf                          	       0        4        0        0        4
32740 libjs-prettify                     	       0      239        0        0      239
32741 libjs-prototype                    	       0       60        0        0       60
32742 libjs-psl                          	       0       66        0        0       66
32743 libjs-punycode                     	       0        3        0        0        3
32744 libjs-raphael                      	       0        7        0        0        7
32745 libjs-regenerate                   	       0      182        0        0      182
32746 libjs-remark-slide                 	       0       32        0        0       32
32747 libjs-requirejs                    	       0      107        0        0      107
32748 libjs-requirejs-text               	       0       63        0        0       63
32749 libjs-rickshaw                     	       0       11        0        0       11
32750 libjs-s5                           	       0        3        0        0        3
32751 libjs-sax                          	       0        2        0        0        2
32752 libjs-scriptaculous                	       0       46        0        0       46
32753 libjs-select2.js                   	       0        1        0        0        1
32754 libjs-sifter.js                    	       0       42        0        0       42
32755 libjs-sizzle                       	       0      296        0        0      296
32756 libjs-skeleton                     	       0        2        0        0        2
32757 libjs-source-map                   	       0      201        0        0      201
32758 libjs-sphinxdoc                    	       0     2413        0        0     2413
32759 libjs-spin.js                      	       0        1        0        0        1
32760 libjs-sprintf-js                   	       0      191        0        0      191
32761 libjs-strophe                      	       0        1        0        0        1
32762 libjs-swfobject                    	       0        3        0        0        3
32763 libjs-term.js                      	       0        9        0        0        9
32764 libjs-text-encoding                	       0       56        0        0       56
32765 libjs-three                        	       0       85        1        0       84
32766 libjs-toastr                       	       0        1        0        0        1
32767 libjs-tv4                          	       0        1        0        0        1
32768 libjs-twitter-bootstrap            	       0        1        0        0        1
32769 libjs-twitter-bootstrap-datepicker 	       0       42        0        0       42
32770 libjs-typedarray-to-buffer         	       0      205        0        0      205
32771 libjs-uglify                       	       0        5        0        0        5
32772 libjs-underscore                   	       0     2693        0        0     2693
32773 libjs-util                         	       0      182        0        0      182
32774 libjs-x2gokdriveclient             	       0        1        0        0        1
32775 libjs-xmlextras                    	       0       58        0        0       58
32776 libjs-xterm                        	       0       53        0        0       53
32777 libjsamp-java                      	       0        3        0        0        3
32778 libjsap-java                       	       0        2        0        0        2
32779 libjsch-agent-proxy-java           	       0        9        0        0        9
32780 libjsch-java                       	       0      185        0        0      185
32781 libjsch-java-doc                   	       0        1        0        0        1
32782 libjsofa-java                      	       0        2        0        0        2
32783 libjson-any-perl                   	       0        6        6        0        0
32784 libjson-c-doc                      	       0        2        0        0        2
32785 libjson-c4                         	       0        7        1        0        6
32786 libjson-glib-1.0-common            	       0     3477        3        0     3474
32787 libjson-glib-dev                   	       0       78       77        1        0
32788 libjson-glib-doc                   	       0        2        0        0        2
32789 libjson-parse-perl                 	       0      100        0        0      100
32790 libjson-path-perl                  	       0        1        1        0        0
32791 libjson-pp-perl                    	       0        6        6        0        0
32792 libjson-rpc-perl                   	       0        3        3        0        0
32793 libjson-simple-doc                 	       0        3        0        0        3
32794 libjson-simple-java                	       0       30        0        0       30
32795 libjson-webtoken-perl              	       0        7        7        0        0
32796 libjson0                           	       0       17        0        0       17
32797 libjson4s-java                     	       0        1        0        0        1
32798 libjsoncpp-dev                     	       0       63       62        1        0
32799 libjsoncpp-doc                     	       0        1        0        0        1
32800 libjsoncpp0                        	       0       24        0        0       24
32801 libjsoncpp0v5                      	       0        1        0        0        1
32802 libjsoncpp1                        	       0      193        2        0      191
32803 libjsonld-java                     	       0        2        0        0        2
32804 libjsonp-java                      	       0       17        0        0       17
32805 libjsonp2-java                     	       0        2        0        0        2
32806 libjsonparser-dev                  	       0        2        2        0        0
32807 libjsonrpc-glib-1.0-1              	       0        5        0        0        5
32808 libjsonrpc-glib-1.0-dev            	       0        1        1        0        0
32809 libjsoup-java                      	       0      395        0        0      395
32810 libjsoup-java-doc                  	       0        1        0        0        1
32811 libjsp-api-java                    	       0      803        0        0      803
32812 libjspeex-java                     	       0        1        0        0        1
32813 libjsr107cache-java                	       0        5        0        0        5
32814 libjsr166y-java                    	       0       85        0        0       85
32815 libjsr305-java                     	       0      509        0        0      509
32816 libjsr305-java-doc                 	       0        3        0        0        3
32817 libjsr311-api-java                 	       0        6        0        0        6
32818 libjsr311-api-java-doc             	       0        1        0        0        1
32819 libjsw2                            	       0        1        0        0        1
32820 libjswingreader-java               	       0        2        0        0        2
32821 libjsyntaxpane-java                	       0       32        0        0       32
32822 libjsyntaxpane-java-doc            	       0        1        0        0        1
32823 libjtds-java                       	       0        6        0        0        6
32824 libjte1                            	       0      158        0        0      158
32825 libjte2                            	       0     2097        0        0     2097
32826 libjtharness-java                  	       0        2        0        0        2
32827 libjtidy-java                      	       0       23        0        0       23
32828 libjts-java                        	       0        4        0        0        4
32829 libjudy-dev                        	       0        3        3        0        0
32830 libjudydebian1                     	       0       90        1        0       89
32831 libjuff0.10                        	       0        5        0        0        5
32832 libjuff0.10t64                     	       0        2        0        0        2
32833 libjulia-dev                       	       0        1        1        0        0
32834 libjulia1                          	       0       16        0        0       16
32835 libjung-free-java                  	       0        1        0        0        1
32836 libjuniversalchardet-java          	       0       13        0        0       13
32837 libjuniversalchardet-java-doc      	       0        1        0        0        1
32838 libjunixsocket-java                	       0       11        0        0       11
32839 libjunixsocket-jni                 	       0       11        0        0       11
32840 libjutils-java                     	       0        5        0        0        5
32841 libjvyamlb-java                    	       0        3        0        0        3
32842 libjws-api-java                    	       0        4        0        0        4
32843 libjwt-dev                         	       0        1        1        0        0
32844 libjwt-gnutls-dev                  	       0        1        1        0        0
32845 libjwt-gnutls2                     	       0        2        0        0        2
32846 libjwt0                            	       0        2        0        0        2
32847 libjxl-dev                         	       0       49       48        1        0
32848 libjxl-tools                       	       0        6        5        1        0
32849 libjxl0                            	       0        1        0        0        1
32850 libjxr-dev                         	       0        3        3        0        0
32851 libjxr0t64                         	       0      216        0        0      216
32852 libjzlib-java                      	       0      187        0        0      187
32853 libk3b-dev                         	       0        2        2        0        0
32854 libk3b-extracodecs                 	       0      152        1        0      151
32855 libk3b7                            	       0      112        0        0      112
32856 libk3b7-extracodecs                	       0      109        0        0      109
32857 libk3b8                            	       0      160        0        0      160
32858 libk3b8t64                         	       0        6        1        0        5
32859 libkaccounts-dev                   	       0        1        1        0        0
32860 libkaccounts1                      	       0       36        0        0       36
32861 libkactivities-models1             	       0        7        0        0        7
32862 libkactivities6                    	       0       45        1        0       44
32863 libkadm5clnt-mit11                 	       0       34        0        0       34
32864 libkadm5clnt-mit7                  	       0       14        0        0       14
32865 libkadm5clnt-mit8                  	       0        6        0        0        6
32866 libkadm5clnt-mit9                  	       0        6        0        0        6
32867 libkadm5clnt7-heimdal              	       0       21        0        0       21
32868 libkadm5clnt7t64-heimdal           	       0        1        0        0        1
32869 libkadm5srv-mit11                  	       0       34        0        0       34
32870 libkadm5srv-mit7                   	       0       14        0        0       14
32871 libkadm5srv-mit9                   	       0        5        0        0        5
32872 libkadm5srv8-heimdal               	       0       26        1        0       25
32873 libkadm5srv8t64-heimdal            	       0        1        0        0        1
32874 libkafs0-heimdal                   	       0       21        0        0       21
32875 libkafs0t64-heimdal                	       0        1        0        0        1
32876 libkakasi2                         	       0        1        0        0        1
32877 libkalarmcal2                      	       0        5        0        0        5
32878 libkarma0                          	       0        8        0        0        8
32879 libkasten2controllers2             	       0        1        0        0        1
32880 libkasten2core2                    	       0        1        0        0        1
32881 libkasten2gui2                     	       0        1        0        0        1
32882 libkasten2okteta1controllers1abi1  	       0        1        0        0        1
32883 libkasten2okteta1core1             	       0        1        0        0        1
32884 libkasten2okteta1gui1              	       0        1        0        0        1
32885 libkasten3controllers3             	       0        6        0        0        6
32886 libkasten3core3                    	       0        6        0        0        6
32887 libkasten3gui3                     	       0        6        0        0        6
32888 libkasten3okteta1controllers1      	       0        3        0        0        3
32889 libkasten3okteta1controllers1abi1  	       0        3        0        0        3
32890 libkasten3okteta1core1             	       0        6        0        0        6
32891 libkasten3okteta1gui1              	       0        6        0        0        6
32892 libkasten4controllers0             	       0       99        2        0       97
32893 libkasten4core0                    	       0       99        2        0       97
32894 libkasten4gui0                     	       0       99        2        0       97
32895 libkasten4okteta2controllers0      	       0       99        2        0       97
32896 libkasten4okteta2core0             	       0       99        2        0       97
32897 libkasten4okteta2gui0              	       0       99        2        0       97
32898 libkate-dev                        	       0       10       10        0        0
32899 libkate1                           	       0     3045        8        0     3037
32900 libkateinterfaces4                 	       0        2        0        0        2
32901 libkatepartinterfaces4             	       0       48        0        0       48
32902 libkblog4                          	       0        3        0        0        3
32903 libkcalcore4                       	       0        7        1        0        6
32904 libkcalendarutils-data             	       0        7        0        0        7
32905 libkcalutils4                      	       0        7        1        0        6
32906 libkcddb4                          	       0       16        0        0       16
32907 libkcddb6-5                        	       0       11        1        0       10
32908 libkcddb6-dev                      	       0        1        1        0        0
32909 libkchart-dev                      	       0        1        1        0        0
32910 libkchart2                         	       0       55        0        0       55
32911 libkchart2-l10n                    	       0       47        0        0       47
32912 libkcolorpicker-qt5-0              	       0       24        0        0       24
32913 libkcolorpicker-qt6-dev            	       0        1        1        0        0
32914 libkcompactdisc4                   	       0       16        0        0       16
32915 libkcompactdisc6-5                 	       0        5        0        0        5
32916 libkcpyplug0                       	       0        1        0        0        1
32917 libkdb-data                        	       0       12        0        0       12
32918 libkdb3-4                          	       0        2        0        0        2
32919 libkdb3-4abi1                      	       0       11        0        0       11
32920 libkdb3-dev                        	       0        1        1        0        0
32921 libkdb3-driver-mysql               	       0        5        0        0        5
32922 libkdb3-driver-postgresql          	       0        3        0        0        3
32923 libkdb3-driver-sqlite              	       0       12       12        0        0
32924 libkdb5-10t64                      	       0       43        0        0       43
32925 libkdb5-4                          	       0       14        0        0       14
32926 libkdb5-6                          	       0        6        0        0        6
32927 libkdb5-7                          	       0        5        0        0        5
32928 libkdb5-8                          	       0       14        0        0       14
32929 libkdb5-9                          	       0       20        0        0       20
32930 libkdc2-heimdal                    	       0       15        1        0       14
32931 libkdcraw-data                     	       0        9        0        0        9
32932 libkdcraw23                        	       0        3        0        0        3
32933 libkdcraw3-trinity                 	       0       11        0        0       11
32934 libkde3support4                    	       0       47        0        0       47
32935 libkde4-ruby1.8                    	       0        1        0        0        1
32936 libkdeclarative5                   	       0       46        1        0       45
32937 libkdecorations2-dev               	       0        6        6        0        0
32938 libkdecorations2private5v5         	       0       13        0        0       13
32939 libkdecorations2private9           	       0        4        1        0        3
32940 libkdecorations3-6                 	       0        2        0        1        1
32941 libkdecorations3private1           	       0        2        0        1        1
32942 libkdecorations4abi2               	       0        8        1        0        7
32943 libkdeedu-data                     	       0        2        0        0        2
32944 libkdeedu3                         	       0        1        0        0        1
32945 libkdegames1                       	       0        1        0        0        1
32946 libkdegames5a                      	       0        1        0        0        1
32947 libkdegames6-6                     	       0        8        0        0        8
32948 libkdegames6-i18n                  	       0        8        0        0        8
32949 libkdegames6abi1                   	       0        4        0        0        4
32950 libkdegames6private6               	       0        5        0        0        5
32951 libkdegamesprivate1abi1            	       0        3        0        0        3
32952 libkdepim-data                     	       0        7        0        0        7
32953 libkdepim-plugins                  	       0        5        0        0        5
32954 libkdepimdbusinterfaces4           	       0        4        1        0        3
32955 libkdf5-2                          	       0        1        0        0        1
32956 libkdgantt2-0                      	       0        4        1        0        3
32957 libkdl-parser-dev                  	       0        1        0        0        1
32958 libkdl-parser1d                    	       0        1        0        0        1
32959 libkdsingleapplication-qt6-1.0     	       0        4        0        0        4
32960 libkdsingleapplication-qt6-dev     	       0        1        1        0        0
32961 libkdsoap-bin                      	       0        1        1        0        0
32962 libkdsoap-dev                      	       0        1        1        0        0
32963 libkdsoap-doc                      	       0        1        1        0        0
32964 libkdsoap-qt6-2                    	       0        4        0        0        4
32965 libkdsoap-server1                  	       0        1        0        0        1
32966 libkdsoapwsdiscoveryclient0        	       0        4        0        0        4
32967 libkdtree++-dev                    	       0        6        0        0        6
32968 libkeduvocdocument-data            	       0       46        0        0       46
32969 libkeduvocdocument5                	       0       12        0        0       12
32970 libkeduvocdocument5abi1            	       0       42        0        0       42
32971 libkeduvocdocument5abi2            	       0        4        0        0        4
32972 libkemoticons4                     	       0       47        1        0       46
32973 libkephal4abi1                     	       0        5        1        0        4
32974 libkernlib1-gfortran               	       0        1        0        0        1
32975 libkexiv2-11                       	       0       17        1        0       16
32976 libkexiv2-3-trinity                	       0       11        0        0       11
32977 libkexiv2-data                     	       0       21        0        0       21
32978 libkexiv2qt6-dev                   	       0        1        1        0        0
32979 libkeybinder-3.0-dev               	       0        1        1        0        0
32980 libkeybinder-dev                   	       0        1        1        0        0
32981 libkeyutils-dev                    	       0        9        9        0        0
32982 libkf5activities-dev               	       0        7        7        0        0
32983 libkf5activities-doc               	       0        3        0        0        3
32984 libkf5activitiesstats-dev          	       0        5        5        0        0
32985 libkf5activitiesstats-doc          	       0        2        0        0        2
32986 libkf5akonadi-dev                  	       0        6        6        0        0
32987 libkf5akonadi-dev-bin              	       0        3        3        0        0
32988 libkf5akonadicalendar-dev          	       0        3        3        0        0
32989 libkf5akonadicalendar5             	       0       10        0        0       10
32990 libkf5akonadicontact-dev           	       0        4        4        0        0
32991 libkf5akonadicore-bin              	       0       12        0        0       12
32992 libkf5akonadicore5                 	       0       11        0        0       11
32993 libkf5akonadimime-dev              	       0        4        4        0        0
32994 libkf5akonadinotes-dev             	       0        2        2        0        0
32995 libkf5akonadiprivate5              	       0       14        0        0       14
32996 libkf5akonadisearch-data           	       0      494        0        0      494
32997 libkf5akonadisearch-dev            	       0        2        2        0        0
32998 libkf5akonadisearchcore5t64        	       0       18        4        0       14
32999 libkf5akonadisearchdebug5t64       	       0       16        0        0       16
33000 libkf5akonadisearchpim5t64         	       0       18        5        0       13
33001 libkf5akonadisearchxapian5t64      	       0       18        4        0       14
33002 libkf5akonadiserver-dev            	       0        7        7        0        0
33003 libkf5akonadiwidgets5              	       0       11        0        0       11
33004 libkf5akonadixml5                  	       0       50        0        0       50
33005 libkf5alarmcalendar-data           	       0      103        0        0      103
33006 libkf5alarmcalendar5               	       0       10        0        0       10
33007 libkf5alarmcalendar5abi1           	       0       93        3        0       90
33008 libkf5archive-dev                  	       0       27       25        2        0
33009 libkf5archive-doc                  	       0       18        0        0       18
33010 libkf5attica-dev                   	       0       32       32        0        0
33011 libkf5attica-doc                   	       0       22        0        0       22
33012 libkf5auth-dev                     	       0       35       34        1        0
33013 libkf5auth-dev-bin                 	       0       37       36        1        0
33014 libkf5auth-doc                     	       0       24        0        0       24
33015 libkf5baloo-doc                    	       0        3        0        0        3
33016 libkf5baloowidgets-dev             	       0        3        3        0        0
33017 libkf5blog5                        	       0        2        0        0        2
33018 libkf5bluezqt-dev                  	       0        3        3        0        0
33019 libkf5bluezqt-doc                  	       0        3        0        0        3
33020 libkf5bookmarks-dev                	       0       26       26        0        0
33021 libkf5bookmarks-doc                	       0       16        0        0       16
33022 libkf5calendarcore-dev             	       0        4        4        0        0
33023 libkf5calendarcore5                	       0       13        0        0       13
33024 libkf5calendarsupport-dev          	       0        3        3        0        0
33025 libkf5calendarsupport5             	       0       10        0        0       10
33026 libkf5calendarutils-dev            	       0        4        4        0        0
33027 libkf5cddb-dev                     	       0        2        2        0        0
33028 libkf5cddb5                        	       0      306        1        0      305
33029 libkf5codecs-dev                   	       0       36       35        1        0
33030 libkf5codecs-doc                   	       0       25        0        0       25
33031 libkf5compactdisc-dev              	       0        2        2        0        0
33032 libkf5compactdisc5                 	       0       74        1        0       73
33033 libkf5completion-dev               	       0       29       29        0        0
33034 libkf5completion-doc               	       0       18        0        0       18
33035 libkf5composereditorng5            	       0        1        0        0        1
33036 libkf5config-dev                   	       0       94       90        4        0
33037 libkf5config-dev-bin               	       0       95       91        4        0
33038 libkf5config-doc                   	       0       79        0        0       79
33039 libkf5configwidgets-dev            	       0       33       32        1        0
33040 libkf5configwidgets-doc            	       0       23        0        0       23
33041 libkf5contacteditor-dev            	       0        4        4        0        0
33042 libkf5contacts-data                	       0      780        1        0      779
33043 libkf5contacts-dev                 	       0        5        5        0        0
33044 libkf5coreaddons-dev               	       0       94       90        4        0
33045 libkf5coreaddons-doc               	       0       77        0        0       77
33046 libkf5crash-dev                    	       0       18       17        1        0
33047 libkf5crash-doc                    	       0       11        0        0       11
33048 libkf5dav-dev                      	       0        2        2        0        0
33049 libkf5dbusaddons-dev               	       0       87       83        4        0
33050 libkf5dbusaddons-doc               	       0       74        0        0       74
33051 libkf5declarative-dev              	       0        9        9        0        0
33052 libkf5declarative-doc              	       0        5        0        0        5
33053 libkf5dnssd-dev                    	       0        7        7        0        0
33054 libkf5dnssd-doc                    	       0        6        0        0        6
33055 libkf5doctools-dev                 	       0       19       18        1        0
33056 libkf5doctools5                    	       0     1169        0        0     1169
33057 libkf5emoticons-bin                	       0       51        1        0       50
33058 libkf5emoticons-data               	       0       53        0        0       53
33059 libkf5emoticons-dev                	       0        9        8        1        0
33060 libkf5emoticons-doc                	       0        7        0        0        7
33061 libkf5eventviews-dev               	       0        3        3        0        0
33062 libkf5eventviews5                  	       0       10        0        0       10
33063 libkf5filemetadata-data            	       0      806        6        0      800
33064 libkf5filemetadata-dev             	       0        7        7        0        0
33065 libkf5filemetadata-doc             	       0        3        0        0        3
33066 libkf5followupreminder5            	       0       27        0        0       27
33067 libkf5gapi-data                    	       0       15        0        0       15
33068 libkf5gapiblogger5                 	       0        1        0        0        1
33069 libkf5gapicalendar5                	       0       10        0        0       10
33070 libkf5gapicontacts5                	       0       10        0        0       10
33071 libkf5gapicore5                    	       0       10        0        0       10
33072 libkf5gapitasks5                   	       0       10        0        0       10
33073 libkf5globalaccel-dev              	       0       35       34        1        0
33074 libkf5globalaccel-doc              	       0       23        0        0       23
33075 libkf5gpgmepp-pthread5             	       0       11        0        0       11
33076 libkf5grantleetheme-dev            	       0        4        4        0        0
33077 libkf5gravatar-data                	       0      480        0        0      480
33078 libkf5gravatar-dev                 	       0        2        2        0        0
33079 libkf5gravatar5                    	       0       10        0        0       10
33080 libkf5guiaddons-data               	       0      965        0        0      965
33081 libkf5guiaddons-dev                	       0       37       36        1        0
33082 libkf5guiaddons-doc                	       0       25        0        0       25
33083 libkf5holidays-dev                 	       0        3        3        0        0
33084 libkf5holidays-doc                 	       0        2        0        0        2
33085 libkf5i18n-dev                     	       0       94       90        4        0
33086 libkf5i18n-doc                     	       0       77        0        0       77
33087 libkf5iconthemes-data              	       0     1209       14        1     1194
33088 libkf5iconthemes-dev               	       0       32       31        1        0
33089 libkf5iconthemes-doc               	       0       22        0        0       22
33090 libkf5identitymanagement-dev       	       0        4        4        0        0
33091 libkf5idletime-dev                 	       0        6        6        0        0
33092 libkf5idletime-doc                 	       0        4        0        0        4
33093 libkf5imap-dev                     	       0        3        3        0        0
33094 libkf5incidenceeditor-bin          	       0       13       13        0        0
33095 libkf5incidenceeditor-data         	       0      475        0        0      475
33096 libkf5incidenceeditor-dev          	       0        1        1        0        0
33097 libkf5incidenceeditor5             	       0       10        0        0       10
33098 libkf5itemmodels-dev               	       0       20       19        1        0
33099 libkf5itemmodels-doc               	       0       13        0        0       13
33100 libkf5itemviews-dev                	       0       34       34        0        0
33101 libkf5itemviews-doc                	       0       23        0        0       23
33102 libkf5jobwidgets-dev               	       0       27       27        0        0
33103 libkf5jobwidgets-doc               	       0       17        0        0       17
33104 libkf5jsembed-data                 	       0       38        0        0       38
33105 libkf5jsembed-dev                  	       0        1        1        0        0
33106 libkf5jsembed5                     	       0       38        0        0       38
33107 libkf5kaddressbookgrantlee5        	       0      101       11        0       90
33108 libkf5kaddressbookimportexport5    	       0       90        0        0       90
33109 libkf5kcmutils-bin                 	       0      850        0        0      850
33110 libkf5kcmutils-dev                 	       0       15       15        0        0
33111 libkf5kcmutils-doc                 	       0       10        0        0       10
33112 libkf5kdcraw-dev                   	       0        1        1        0        0
33113 libkf5kdcraw5                      	       0      641        8        0      633
33114 libkf5kdegames-dev                 	       0        1        1        0        0
33115 libkf5kdegamesprivate1             	       0       22        2        0       20
33116 libkf5kdegamesprivate7             	       0       44        0        0       44
33117 libkf5kdelibs4support-data         	       0      583        2        0      581
33118 libkf5kdelibs4support-dev          	       0        7        7        0        0
33119 libkf5kdelibs4support5t64          	       0       28       11        0       17
33120 libkf5kdepimdbusinterfaces5        	       0       27        0        0       27
33121 libkf5kdgantt2-5                   	       0       11        0        0       11
33122 libkf5kexiv2-dev                   	       0        2        2        0        0
33123 libkf5khtml-bin                    	       0      650        0        0      650
33124 libkf5khtml-data                   	       0      679        0        0      679
33125 libkf5khtml-dev                    	       0        2        2        0        0
33126 libkf5khtml5                       	       0      673        3        0      670
33127 libkf5kio-dev                      	       0       26       26        0        0
33128 libkf5kio-doc                      	       0       17        0        0       17
33129 libkf5kipi-data                    	       0      137        0        0      137
33130 libkf5kipi-dev                     	       0        2        2        0        0
33131 libkf5kipi31.0.0                   	       0       13        0        0       13
33132 libkf5kipi32.0.0                   	       0      121        2        0      119
33133 libkf5kirigami2-doc                	       0        7        0        0        7
33134 libkf5kjs-dev                      	       0        4        4        0        0
33135 libkf5kmahjongglib-dev             	       0        1        1        0        0
33136 libkf5kmahjongglib5                	       0       84        1        0       83
33137 libkf5konq-dev                     	       0        2        2        0        0
33138 libkf5kontactinterface-data        	       0      497        0        0      497
33139 libkf5kontactinterface-dev         	       0        2        2        0        0
33140 libkf5krosscore5                   	       0      534        1        0      533
33141 libkf5krossui5                     	       0      528        0        0      528
33142 libkf5ksieve-dev                   	       0        1        1        0        0
33143 libkf5ldap-data                    	       0      492        0        0      492
33144 libkf5ldap-dev                     	       0        2        2        0        0
33145 libkf5ldap5                        	       0       11        0        0       11
33146 libkf5libkdepim-dev                	       0        1        1        0        0
33147 libkf5libkdepim-plugins            	       0      496        0        0      496
33148 libkf5libkleo-data                 	       0      497        3        0      494
33149 libkf5libkleo-dev                  	       0        1        1        0        0
33150 libkf5mailcommon-dev               	       0        1        1        0        0
33151 libkf5mailcommon-plugins           	       0       14        0        0       14
33152 libkf5mailcommon5                  	       0       10        0        0       10
33153 libkf5mailimporter-dev             	       0        1        1        0        0
33154 libkf5mailimporterakonadi5         	       0      454        0        0      454
33155 libkf5mailtransport-dev            	       0        2        2        0        0
33156 libkf5mbox-dev                     	       0        2        2        0        0
33157 libkf5mediaplayer-data             	       0        9        0        0        9
33158 libkf5mediaplayer-dev              	       0        2        2        0        0
33159 libkf5mediaplayer5                 	       0        8        0        0        8
33160 libkf5mediawiki-dev                	       0        1        1        0        0
33161 libkf5mediawiki5                   	       0        8        0        0        8
33162 libkf5messagecomposer-dev          	       0        1        1        0        0
33163 libkf5messagecomposer5             	       0       10        0        0       10
33164 libkf5messagecomposer5abi1t64      	       0       17        4        0       13
33165 libkf5messagecore-dev              	       0        2        2        0        0
33166 libkf5messagecore5                 	       0       10        0        0       10
33167 libkf5messagecore5abi1t64          	       0       17        4        0       13
33168 libkf5messagelist-dev              	       0        2        2        0        0
33169 libkf5messagelist5                 	       0       10        0        0       10
33170 libkf5messagelist5abi1t64          	       0       17        4        0       13
33171 libkf5messageviewer-dev            	       0        2        2        0        0
33172 libkf5messageviewer5               	       0       10        0        0       10
33173 libkf5messageviewer5abi1t64        	       0       17        4        0       13
33174 libkf5mime-data                    	       0      541        2        0      539
33175 libkf5mime-dev                     	       0        7        7        0        0
33176 libkf5mime5                        	       0       12        0        0       12
33177 libkf5mimetreeparser-dev           	       0        3        3        0        0
33178 libkf5mimetreeparser5abi1t64       	       0       17        4        0       13
33179 libkf5modemmanagerqt-doc           	       0        1        0        0        1
33180 libkf5networkmanagerqt-dev         	       0        2        2        0        0
33181 libkf5networkmanagerqt-doc         	       0        1        0        0        1
33182 libkf5newstuff-dev                 	       0       13       13        0        0
33183 libkf5newstuff-doc                 	       0        9        0        0        9
33184 libkf5notifications-dev            	       0       20       20        0        0
33185 libkf5notifications-doc            	       0       15        0        0       15
33186 libkf5notifyconfig-data            	       0      901        0        0      901
33187 libkf5notifyconfig-dev             	       0       13       13        0        0
33188 libkf5notifyconfig-doc             	       0       10        0        0       10
33189 libkf5package-dev                  	       0       73       70        3        0
33190 libkf5package-doc                  	       0       62        0        0       62
33191 libkf5parts-data                   	       0     1048       13        1     1034
33192 libkf5parts-dev                    	       0       16       16        0        0
33193 libkf5parts-doc                    	       0       10        0        0       10
33194 libkf5parts-plugins                	       0      993        0        0      993
33195 libkf5people-data                  	       0      768        0        0      768
33196 libkf5people-dev                   	       0        4        4        0        0
33197 libkf5people-doc                   	       0        1        0        0        1
33198 libkf5pimcommon-dev                	       0        2        2        0        0
33199 libkf5pimcommon-plugins            	       0       14        0        0       14
33200 libkf5pimcommon5                   	       0       10        0        0       10
33201 libkf5pimtextedit-dev              	       0        4        4        0        0
33202 libkf5pimtextedit-plugins          	       0      490        0        0      490
33203 libkf5pimtextedit5                 	       0       10        0        0       10
33204 libkf5plasma-dev                   	       0       70       67        3        0
33205 libkf5plasma-doc                   	       0       60        0        0       60
33206 libkf5plotting-dev                 	       0        6        6        0        0
33207 libkf5plotting-doc                 	       0        5        0        0        5
33208 libkf5plotting5                    	       0      121        1        0      120
33209 libkf5prison-dev                   	       0        6        6        0        0
33210 libkf5prison-doc                   	       0        3        0        0        3
33211 libkf5prisonscanner5               	       0      635        0        0      635
33212 libkf5pty-data                     	       0      767        0        0      767
33213 libkf5pty-dev                      	       0        5        5        0        0
33214 libkf5pty-doc                      	       0        4        0        0        4
33215 libkf5pulseaudioqt-dev             	       0        3        3        0        0
33216 libkf5pulseaudioqt-doc             	       0        1        0        0        1
33217 libkf5pulseaudioqt2-doc            	       0        1        0        0        1
33218 libkf5pulseaudioqt4                	       0        2        1        0        1
33219 libkf5pulseaudioqt5                	       0       32        0        0       32
33220 libkf5purpose-dev                  	       0        3        3        0        0
33221 libkf5qgpgme5                      	       0       11        0        0       11
33222 libkf5qqc2desktopstyle-dev         	       0        2        0        0        2
33223 libkf5runner-dev                   	       0        5        5        0        0
33224 libkf5runner-doc                   	       0        1        0        0        1
33225 libkf5sane-data                    	       0       98        0        0       98
33226 libkf5sane-dev                     	       0        3        3        0        0
33227 libkf5sane5                        	       0       97        0        0       97
33228 libkf5screen-dev                   	       0        2        2        0        0
33229 libkf5sendlater5                   	       0       27        0        0       27
33230 libkf5service-data                 	       0     1203        0        0     1203
33231 libkf5service-dev                  	       0       87       83        4        0
33232 libkf5service-doc                  	       0       72        0        0       72
33233 libkf5solid-bin                    	       0        2        2        0        0
33234 libkf5solid-dev                    	       0       27       27        0        0
33235 libkf5solid-doc                    	       0       16        0        0       16
33236 libkf5sonnet-dev                   	       0       21       21        0        0
33237 libkf5sonnet-dev-bin               	       0       21       21        0        0
33238 libkf5sonnet-doc                   	       0       13        0        0       13
33239 libkf5style-dev                    	       0        3        3        0        0
33240 libkf5su-bin                       	       0      614        0        0      614
33241 libkf5su-data                      	       0      616        0        0      616
33242 libkf5su-dev                       	       0        4        4        0        0
33243 libkf5su-doc                       	       0        4        0        0        4
33244 libkf5su5                          	       0      614        2        0      612
33245 libkf5syndication-dev              	       0        3        3        0        0
33246 libkf5syndication5                 	       0       11        0        0       11
33247 libkf5syntaxhighlighting-dev       	       0       11       11        0        0
33248 libkf5syntaxhighlighting-doc       	       0        7        0        0        7
33249 libkf5sysguard-dev                 	       0       10       10        0        0
33250 libkf5templateparser-dev           	       0        2        2        0        0
33251 libkf5templateparser5t64           	       0       17        4        0       13
33252 libkf5texteditor-dev               	       0        9        9        0        0
33253 libkf5texteditor-doc               	       0        6        0        0        6
33254 libkf5textwidgets-data             	       0     1196        1        0     1195
33255 libkf5textwidgets-dev              	       0       18       18        0        0
33256 libkf5textwidgets-doc              	       0       12        0        0       12
33257 libkf5threadweaver-dev             	       0       11       11        0        0
33258 libkf5threadweaver-doc             	       0        8        0        0        8
33259 libkf5tnef-dev                     	       0        1        1        0        0
33260 libkf5torrent6                     	       0        8        0        0        8
33261 libkf5torrent6abi1                 	       0       16        0        0       16
33262 libkf5torrent6abi2                 	       0        1        0        0        1
33263 libkf5torrent6abi3                 	       0       57        5        0       52
33264 libkf5unitconversion-dev           	       0        8        8        0        0
33265 libkf5unitconversion-doc           	       0        5        0        0        5
33266 libkf5wallet-dev                   	       0        8        8        0        0
33267 libkf5wallet-doc                   	       0        7        0        0        7
33268 libkf5wayland-dev                  	       0        6        6        0        0
33269 libkf5wayland-doc                  	       0        3        0        0        3
33270 libkf5webengineviewer-dev          	       0        2        2        0        0
33271 libkf5webengineviewer5abi1t64      	       0       18        4        0       14
33272 libkf5webkit-dev                   	       0        3        3        0        0
33273 libkf5webkit5                      	       0       36        1        0       35
33274 libkf5widgetsaddons-dev            	       0       37       36        1        0
33275 libkf5widgetsaddons-doc            	       0       26        0        0       26
33276 libkf5windowsystem-dev             	       0       94       91        3        0
33277 libkf5windowsystem-doc             	       0       79        0        0       79
33278 libkf5xmlgui-bin                   	       0     1116        0        0     1116
33279 libkf5xmlgui-dev                   	       0       31       31        0        0
33280 libkf5xmlgui-doc                   	       0       21        0        0       21
33281 libkf5xmlrpcclient-data            	       0       48        0        0       48
33282 libkf5xmlrpcclient-dev             	       0        1        1        0        0
33283 libkf5xmlrpcclient-doc             	       0        1        0        0        1
33284 libkf5xmlrpcclient5                	       0       44        0        0       44
33285 libkf6archive-dev                  	       0        3        3        0        0
33286 libkf6archive-doc                  	       0        3        0        0        3
33287 libkf6attica-dev                   	       0        1        1        0        0
33288 libkf6attica-doc                   	       0        1        0        0        1
33289 libkf6auth-dev                     	       0        1        1        0        0
33290 libkf6auth-dev-bin                 	       0        1        1        0        0
33291 libkf6auth-doc                     	       0        1        0        0        1
33292 libkf6baloo-dev                    	       0        1        1        0        0
33293 libkf6baloo-doc                    	       0        1        0        0        1
33294 libkf6bluezqt-data                 	       0       49        0        0       49
33295 libkf6bluezqt-dev                  	       0        1        1        0        0
33296 libkf6bluezqt-doc                  	       0        1        0        0        1
33297 libkf6bookmarks-dev                	       0        3        3        0        0
33298 libkf6bookmarks-doc                	       0        3        0        0        3
33299 libkf6breezeicons-dev              	       0        1        1        0        0
33300 libkf6calendarcore-dev             	       0        1        1        0        0
33301 libkf6calendarcore-doc             	       0        1        0        0        1
33302 libkf6codecs-dev                   	       0        3        3        0        0
33303 libkf6codecs-doc                   	       0        3        0        0        3
33304 libkf6colorscheme-data             	       0       82        4        1       77
33305 libkf6colorscheme-dev              	       0        3        3        0        0
33306 libkf6colorscheme-doc              	       0        3        0        0        3
33307 libkf6completion-dev               	       0        3        3        0        0
33308 libkf6completion-doc               	       0        3        0        0        3
33309 libkf6config-dev                   	       0        4        4        0        0
33310 libkf6config-dev-bin               	       0        4        4        0        0
33311 libkf6config-doc                   	       0        4        0        0        4
33312 libkf6configwidgets-dev            	       0        3        3        0        0
33313 libkf6configwidgets-doc            	       0        3        0        0        3
33314 libkf6contacts-data                	       0       32        0        0       32
33315 libkf6contacts-dev                 	       0        1        1        0        0
33316 libkf6contacts-doc                 	       0        1        0        0        1
33317 libkf6coreaddons-dev               	       0        4        4        0        0
33318 libkf6coreaddons-doc               	       0        4        0        0        4
33319 libkf6crash-dev                    	       0        1        1        0        0
33320 libkf6crash-doc                    	       0        1        0        0        1
33321 libkf6dav-data                     	       0        7        0        0        7
33322 libkf6dav-dev                      	       0        1        1        0        0
33323 libkf6dav-doc                      	       0        1        0        0        1
33324 libkf6dav6                         	       0        7        0        0        7
33325 libkf6dbusaddons-dev               	       0        1        1        0        0
33326 libkf6dbusaddons-doc               	       0        1        0        0        1
33327 libkf6declarative-data             	       0       23        1        0       22
33328 libkf6declarative-dev              	       0        1        1        0        0
33329 libkf6declarative-doc              	       0        1        0        0        1
33330 libkf6dnssd-data                   	       0       17        0        0       17
33331 libkf6dnssd-dev                    	       0        1        1        0        0
33332 libkf6dnssd-doc                    	       0        1        0        0        1
33333 libkf6doctools-dev                 	       0        3        3        0        0
33334 libkf6doctools-doc                 	       0        3        0        0        3
33335 libkf6doctools6                    	       0       66        0        0       66
33336 libkf6filemetadata-data            	       0       35        0        0       35
33337 libkf6filemetadata-dev             	       0        1        1        0        0
33338 libkf6filemetadata-doc             	       0        1        0        0        1
33339 libkf6globalaccel-dev              	       0        2        2        0        0
33340 libkf6globalaccel-doc              	       0        2        0        0        2
33341 libkf6guiaddons-data               	       0       83        0        0       83
33342 libkf6guiaddons-dev                	       0        3        3        0        0
33343 libkf6guiaddons-doc                	       0        3        0        0        3
33344 libkf6holidays-data                	       0       24        2        0       22
33345 libkf6holidays-dev                 	       0        1        1        0        0
33346 libkf6holidays-doc                 	       0        1        0        0        1
33347 libkf6holidays6                    	       0       24        2        0       22
33348 libkf6i18n-dev                     	       0        3        3        0        0
33349 libkf6i18n-doc                     	       0        3        0        0        3
33350 libkf6iconthemes-data              	       0       68        0        0       68
33351 libkf6iconthemes-dev               	       0        2        2        0        0
33352 libkf6iconthemes-doc               	       0        2        0        0        2
33353 libkf6idletime-dev                 	       0        1        1        0        0
33354 libkf6idletime-doc                 	       0        1        0        0        1
33355 libkf6itemmodels-dev               	       0        1        1        0        0
33356 libkf6itemmodels-doc               	       0        1        0        0        1
33357 libkf6itemviews-dev                	       0        3        3        0        0
33358 libkf6itemviews-doc                	       0        3        0        0        3
33359 libkf6jobwidgets-dev               	       0        3        3        0        0
33360 libkf6jobwidgets-doc               	       0        3        0        0        3
33361 libkf6kcmutils-data                	       0       66        0        0       66
33362 libkf6kcmutils-dev                 	       0        1        1        0        0
33363 libkf6kcmutils-doc                 	       0        1        0        0        1
33364 libkf6kio-dev                      	       0        3        3        0        0
33365 libkf6kio-doc                      	       0        3        0        0        3
33366 libkf6modemmanagerqt-dev           	       0        1        1        0        0
33367 libkf6modemmanagerqt-doc           	       0        1        0        0        1
33368 libkf6networkmanagerqt-dev         	       0        1        1        0        0
33369 libkf6networkmanagerqt-doc         	       0        1        0        0        1
33370 libkf6newstuff-data                	       0       35        0        0       35
33371 libkf6newstuff-dev                 	       0        1        1        0        0
33372 libkf6newstuff-doc                 	       0        1        0        0        1
33373 libkf6notifications-dev            	       0        3        3        0        0
33374 libkf6notifications-doc            	       0        3        0        0        3
33375 libkf6notifyconfig-data            	       0       32        0        0       32
33376 libkf6notifyconfig-dev             	       0        1        1        0        0
33377 libkf6notifyconfig-doc             	       0        1        0        0        1
33378 libkf6package-data                 	       0       42        0        0       42
33379 libkf6package-dev                  	       0        1        1        0        0
33380 libkf6package-doc                  	       0        1        0        0        1
33381 libkf6parts-data                   	       0       44        0        0       44
33382 libkf6parts-dev                    	       0        2        2        0        0
33383 libkf6parts-doc                    	       0        2        0        0        2
33384 libkf6people-data                  	       0       32        0        0       32
33385 libkf6people-dev                   	       0        1        1        0        0
33386 libkf6people-doc                   	       0        1        0        0        1
33387 libkf6people6                      	       0       31        0        0       31
33388 libkf6peoplebackend6               	       0       32        0        0       32
33389 libkf6peoplewidgets6               	       0        1        0        0        1
33390 libkf6plotting-dev                 	       0        1        1        0        0
33391 libkf6plotting-doc                 	       0        1        0        0        1
33392 libkf6plotting6                    	       0       11        0        0       11
33393 libkf6prison-dev                   	       0        1        1        0        0
33394 libkf6prison-doc                   	       0        1        0        0        1
33395 libkf6prisonscanner6               	       0       48        0        0       48
33396 libkf6pty-data                     	       0       34        0        0       34
33397 libkf6pty-dev                      	       0        1        1        0        0
33398 libkf6pty-doc                      	       0        1        0        0        1
33399 libkf6pulseaudioqt-dev             	       0        1        1        0        0
33400 libkf6pulseaudioqt-doc             	       0        1        0        0        1
33401 libkf6pulseaudioqt4                	       0        1        0        0        1
33402 libkf6purpose-bin                  	       0       48        0        0       48
33403 libkf6purpose-data                 	       0       49        0        0       49
33404 libkf6purpose-dev                  	       0        1        1        0        0
33405 libkf6qqc2desktopstyle-dev         	       0        1        0        0        1
33406 libkf6runner-dev                   	       0        1        1        0        0
33407 libkf6runner-doc                   	       0        1        0        0        1
33408 libkf6service-data                 	       0       67        0        0       67
33409 libkf6service-dev                  	       0        3        3        0        0
33410 libkf6service-doc                  	       0        3        0        0        3
33411 libkf6solid-bin                    	       0        1        1        0        0
33412 libkf6solid-dev                    	       0        3        3        0        0
33413 libkf6solid-doc                    	       0        3        0        0        3
33414 libkf6sonnet-dev                   	       0        1        1        0        0
33415 libkf6sonnet-dev-bin               	       0        1        1        0        0
33416 libkf6sonnet-doc                   	       0        1        0        0        1
33417 libkf6statusnotifieritem-dev       	       0        1        1        0        0
33418 libkf6statusnotifieritem-doc       	       0        1        0        0        1
33419 libkf6style-dev                    	       0        1        1        0        0
33420 libkf6su-bin                       	       0       24        0        0       24
33421 libkf6su-data                      	       0       25        0        0       25
33422 libkf6su-dev                       	       0        1        1        0        0
33423 libkf6su-doc                       	       0        1        0        0        1
33424 libkf6svg-dev                      	       0        1        1        0        0
33425 libkf6svg-doc                      	       0        1        0        0        1
33426 libkf6syndication-dev              	       0        1        1        0        0
33427 libkf6syndication-doc              	       0        1        0        0        1
33428 libkf6syntaxhighlighting-dev       	       0        2        2        0        0
33429 libkf6syntaxhighlighting-doc       	       0        2        0        0        2
33430 libkf6syntaxhighlighting-tools     	       0        1        1        0        0
33431 libkf6textautocorrectionwidgets1   	       0        7        0        0        7
33432 libkf6texteditor-bin               	       0       24       21        3        0
33433 libkf6texteditor-data              	       0       25        0        0       25
33434 libkf6texteditor-dev               	       0        2        2        0        0
33435 libkf6texteditor-doc               	       0        2        0        0        2
33436 libkf6texteditor-katepart          	       0       24        0        0       24
33437 libkf6texteditor6                  	       0       24        0        0       24
33438 libkf6textgrammarcheck1            	       0        7        0        0        7
33439 libkf6texttemplate-dev             	       0        1        1        0        0
33440 libkf6texttemplate-doc             	       0        1        0        0        1
33441 libkf6texttranslator1              	       0        7        0        0        7
33442 libkf6textwidgets-data             	       0       39        0        0       39
33443 libkf6textwidgets-dev              	       0        1        1        0        0
33444 libkf6textwidgets-doc              	       0        1        0        0        1
33445 libkf6threadweaver-dev             	       0        2        2        0        0
33446 libkf6threadweaver-doc             	       0        2        0        0        2
33447 libkf6unitconversion-data          	       0       23        4        1       18
33448 libkf6unitconversion-dev           	       0        1        1        0        0
33449 libkf6unitconversion-doc           	       0        1        0        0        1
33450 libkf6userfeedback-bin             	       0        1        1        0        0
33451 libkf6userfeedback-dev             	       0        1        1        0        0
33452 libkf6userfeedback-doc             	       0       25        0        0       25
33453 libkf6wallet-dev                   	       0        1        1        0        0
33454 libkf6wallet-doc                   	       0        1        0        0        1
33455 libkf6widgetsaddons-dev            	       0        3        3        0        0
33456 libkf6widgetsaddons-doc            	       0        3        0        0        3
33457 libkf6windowsystem-dev             	       0        4        4        0        0
33458 libkf6windowsystem-doc             	       0        4        0        0        4
33459 libkf6xmlgui-dev                   	       0        2        2        0        0
33460 libkf6xmlgui-doc                   	       0        2        0        0        2
33461 libkface-data                      	       0        1        0        0        1
33462 libkfontinst5                      	       0      542        5        1      536
33463 libkfontinst6                      	       0       22        1        0       21
33464 libkfontinstui5                    	       0      540        3        0      537
33465 libkfontinstui6                    	       0       22        1        0       21
33466 libkgantt-dev                      	       0        1        1        0        0
33467 libkgantt-l10n                     	       0        5        0        0        5
33468 libkgantt0-trinity                 	       0       22        0        0       22
33469 libkgantt6-3                       	       0        6        0        0        6
33470 libkgapi-data                      	       0       11        0        0       11
33471 libkgapi2-2                        	       0        5        1        0        4
33472 libkggzmod4                        	       0        1        0        0        1
33473 libkggznet4                        	       0        1        0        0        1
33474 libkhtml5                          	       0       47        1        0       46
33475 libkickpass0                       	       0        1        0        0        1
33476 libkidletime4                      	       0        8        1        0        7
33477 libkim-api2                        	       0        1        0        0        1
33478 libkimageannotator-qt5-0           	       0       24        0        0       24
33479 libkimageannotator-qt6-dev         	       0        1        1        0        0
33480 libkimap-data                      	       0        6        0        0        6
33481 libkimap4                          	       0        6        1        0        5
33482 libkimproxy4                       	       0        3        0        0        3
33483 libkinosearch1-perl                	       0        1        0        0        1
33484 libkipi-data                       	       0        2        0        0        2
33485 libkipi0-trinity                   	       0       12        0        0       12
33486 libkipi11                          	       0        2        0        0        2
33487 libkirigamiaddonsstatefulapp6      	       0        2        0        0        2
33488 libkitchensink-clojure             	       0        1        0        0        1
33489 libkiten1-trinity                  	       0       23        0        0       23
33490 libkiten5                          	       0        3        0        0        3
33491 libkitinerary-data                 	       0        6        0        0        6
33492 libkiwix11                         	       0        7        0        0        7
33493 libkiwix9                          	       0        3        0        0        3
33494 libkjsapi4                         	       0       48        1        0       47
33495 libkjsembed4                       	       0       48        0        0       48
33496 libkkc-common                      	       0        5        0        0        5
33497 libkkc-data                        	       0        5        2        0        3
33498 libkkc2                            	       0        5        2        0        3
33499 libklatexformula4                  	       0        4        0        0        4
33500 libklatexformula4-dev              	       0        1        1        0        0
33501 libklatexformula4-doc              	       0        1        0        0        1
33502 libkldap-data                      	       0        8        0        0        8
33503 libkldap4                          	       0       31        1        0       30
33504 libkleo-data                       	       0        6        0        0        6
33505 libkleo4                           	       0        4        1        0        3
33506 libkleopatra1-trinity              	       0       31        2        0       29
33507 libklibc                           	       0     4134        0        0     4134
33508 libklibc-dev                       	       0        2        2        0        0
33509 libklu1                            	       0       91        0        0       91
33510 libklu1.1.0                        	       0        1        0        0        1
33511 libklu1.2.1                        	       0        1        0        0        1
33512 libklu2                            	       0        5        0        0        5
33513 libkmahjongg6                      	       0        5        0        0        5
33514 libkmahjongglib4                   	       0        2        0        0        2
33515 libkmailtransport-data             	       0        8        0        0        8
33516 libkmanagesieve4                   	       0        2        0        0        2
33517 libkmbox4                          	       0        6        1        0        5
33518 libkmediaplayer4                   	       0       45        0        0       45
33519 libkmfl0                           	       0        1        0        0        1
33520 libkmflcomp0                       	       0        1        0        0        1
33521 libkmime4                          	       0       31        1        0       30
33522 libkml-dev                         	       0       37       36        1        0
33523 libkml0                            	       0        5        0        0        5
33524 libkmlbase1t64                     	       0       60        0        0       60
33525 libkmlconvenience1                 	       0       99        0        0       99
33526 libkmlconvenience1t64              	       0        2        0        0        2
33527 libkmldom1t64                      	       0       60        0        0       60
33528 libkmlengine1t64                   	       0       60        0        0       60
33529 libkmlregionator1                  	       0       99        0        0       99
33530 libkmlregionator1t64               	       0        2        0        0        2
33531 libkmlxsd1                         	       0       98        0        0       98
33532 libkmlxsd1t64                      	       0        2        0        0        2
33533 libkmnkbp0-0                       	       0        1        0        0        1
33534 libkmod2-dbgsym                    	       0        1        1        0        0
33535 libknewstuff2-4                    	       0       32        0        0       32
33536 libknopflerfish-osgi-framework-java	       0       44        0        0       44
33537 libknopflerfish-osgi-java-doc      	       0        1        0        0        1
33538 libknot10                          	       0        1        0        0        1
33539 libknot11                          	       0        3        0        0        3
33540 libknot14                          	       0        1        1        0        0
33541 libknot14t64                       	       0        1        1        0        0
33542 libknot15                          	       0        1        1        0        0
33543 libknot5                           	       0        1        0        0        1
33544 libknot8                           	       0        3        0        0        3
33545 libknotifyconfig4                  	       0       45        1        0       44
33546 libkntlm4                          	       0       47        0        0       47
33547 libkohana2-php                     	       0        1        1        0        0
33548 libkolab0                          	       0        3        0        0        3
33549 libkolab1                          	       0       10        0        0       10
33550 libkolab2                          	       0        1        0        0        1
33551 libkolabxml1                       	       0        3        0        0        3
33552 libkolabxml1v5                     	       0      503        1        0      502
33553 libkomparediff2-4                  	       0        1        0        0        1
33554 libkomparediff2-5                  	       0       98        1        0       97
33555 libkomparediff2-6                  	       0        8        0        0        8
33556 libkomparediff2-data               	       0        8        0        0        8
33557 libkomparediff2-dev                	       0        1        1        0        0
33558 libkompareinterface5               	       0       67        0        0       67
33559 libkompareinterface6               	       0        5        0        0        5
33560 libkonq-dev                        	       0        1        1        0        0
33561 libkonq4-trinity-dev               	       0        1        1        0        0
33562 libkonq5-templates                 	       0       25        0        0       25
33563 libkonq5abi1                       	       0       18        1        0       17
33564 libkonqsidebarplugin4a             	       0       15        0        0       15
33565 libkontactinterface-data           	       0        6        0        0        6
33566 libkontactinterface4a              	       0        4        0        0        4
33567 libkopete4                         	       0       29        0        0       29
33568 libkpathsea-dev                    	       0        4        4        0        0
33569 libkpathsea4                       	       0        3        0        0        3
33570 libkpathsea5                       	       0        7        0        0        7
33571 libkpathsea6                       	       0     2658        1        0     2657
33572 libkpeople-data                    	       0        1        0        0        1
33573 libkpeople3                        	       0        1        1        0        0
33574 libkpgp4                           	       0        4        1        0        3
33575 libkpim6addressbookimportexport6   	       0        6        0        0        6
33576 libkpim6akonadisearchdebug6        	       0        6        0        0        6
33577 libkpim6akonadixml6                	       0        3        0        0        3
33578 libkpim6calendarsupport6           	       0        6        0        0        6
33579 libkpim6eventviews6                	       0        6        0        0        6
33580 libkpim6gapicalendar6              	       0        6        0        0        6
33581 libkpim6gapicore6                  	       0        6        0        0        6
33582 libkpim6gapidrive6                 	       0        1        0        0        1
33583 libkpim6gapipeople6                	       0        6        0        0        6
33584 libkpim6gapitasks6                 	       0        6        0        0        6
33585 libkpim6importwizard6              	       0        6        0        0        6
33586 libkpim6incidenceeditor6           	       0        6        0        0        6
33587 libkpim6itinerary6                 	       0        6        0        0        6
33588 libkpim6kmanagesieve6              	       0        6        0        0        6
33589 libkpim6kontactinterface6          	       0        6        0        0        6
33590 libkpim6ksieve6                    	       0        6        0        0        6
33591 libkpim6ksievecore6                	       0        6        0        0        6
33592 libkpim6ksieveui6                  	       0        6        0        0        6
33593 libkpim6ldapwidgets6               	       0        6        0        0        6
33594 libkpim6mailimporterakonadi6       	       0        6        0        0        6
33595 libkpim6mimetreeparsercore6        	       0        3        0        0        3
33596 libkpim6mimetreeparserwidgets6     	       0        3        0        0        3
33597 libkpim6pimcommonactivities6       	       0        6        0        0        6
33598 libkpim6pkpass6                    	       0        6        0        0        6
33599 libkpim6smtp6                      	       0        6        0        0        6
33600 libkpim6tnef6                      	       0        6        0        0        6
33601 libkpimaddressbookimportexport5    	       0      379        1        0      378
33602 libkpimgapidrive5                  	       0       13        0        0       13
33603 libkpimgapimaps5                   	       0        1        0        0        1
33604 libkpimidentities1-trinity         	       0       30        2        0       28
33605 libkpimidentities4                 	       0       31        1        0       30
33606 libkpimimportwizard-dev            	       0        1        1        0        0
33607 libkpimimportwizard5               	       0      459        0        0      459
33608 libkpimitinerary-bin               	       0        1        0        0        1
33609 libkpimitinerary-data              	       0      463        0        0      463
33610 libkpimkdav-data                   	       0       19        0        0       19
33611 libkpimkdav5abi2                   	       0       18        0        0       18
33612 libkpimtextedit-data               	       0        7        0        0        7
33613 libkpimtextedit4                   	       0       31        1        0       30
33614 libkpimutils4                      	       0       31        1        0       30
33615 libkpipewire-dev                   	       0        2        2        0        0
33616 libkpipewiredmabuf5                	       0      412        1        0      411
33617 libkpipewiredmabuf6                	       0       25        0        0       25
33618 libkpipewirerecord5                	       0      413        1        0      412
33619 libkpipewirerecord6                	       0       24        0        0       24
33620 libkpmcore-dev                     	       0        1        0        1        0
33621 libkpmcore10                       	       0       80        0        0       80
33622 libkpmcore12                       	       0      465        0        0      465
33623 libkpmcore4                        	       0        1        0        0        1
33624 libkpmcore7                        	       0        3        0        0        3
33625 libkprintutils4                    	       0       18        1        0       17
33626 libkproperty-data                  	       0       12        0        0       12
33627 libkpropertycore3-4                	       0       11        0        0       11
33628 libkpropertywidgets3-4             	       0       11        0        0       11
33629 libkpty4                           	       0       47        1        0       46
33630 libkqueue-dev                      	       0        1        1        0        0
33631 libkqueue0                         	       0        2        0        0        2
33632 libkrad0                           	       0       16        0        0       16
33633 libkrb5-26t64-heimdal              	       0        2        0        0        2
33634 libkrb53                           	       0        1        0        0        1
33635 libkreport-data                    	       0       12        0        0       12
33636 libkreport3-4                      	       0       11        0        0       11
33637 libkrosscore4                      	       0       48        0        0       48
33638 libkrossui4                        	       0        7        0        0        7
33639 libkryo-java                       	       0       51        0        0       51
33640 libksane-data                      	       0       12        0        0       12
33641 libksane-dev                       	       0        1        1        0        0
33642 libksane0                          	       0        3        0        0        3
33643 libksanecore-dev                   	       0        1        1        0        0
33644 libksanecore1                      	       0       47        0        0       47
33645 libksanecore6-1                    	       0        9        0        0        9
33646 libksanewidgets6-6                 	       0        9        0        0        9
33647 libksba-dev                        	       0        7        7        0        0
33648 libksba-mingw-w64-dev              	       0        2        2        0        0
33649 libkscan1                          	       0        1        0        0        1
33650 libkscan1-trinity                  	       0       27        0        0       27
33651 libkscreen-dev                     	       0        1        1        0        0
33652 libkscreen-doc                     	       0        1        0        0        1
33653 libkscreen1                        	       0        5        1        0        4
33654 libkscreensaver5                   	       0        5        0        0        5
33655 libkseexpr-data                    	       0      112        0        0      112
33656 libkseexpr4                        	       0      112        0        0      112
33657 libkseexprui4                      	       0      112        0        0      112
33658 libksgrd4                          	       0        6        1        0        5
33659 libksgrd7                          	       0       29        0        0       29
33660 libkshark2                         	       0        2        0        0        2
33661 libksieve-data                     	       0        7        0        0        7
33662 libksieve0-trinity                 	       0       28        1        0       27
33663 libksieve4                         	       0        2        0        0        2
33664 libksieveui4                       	       0        2        0        0        2
33665 libksignalplotter4                 	       0        5        0        0        5
33666 libksignalplotter7                 	       0       28        0        0       28
33667 libksignalplotter9                 	       0       94        2        0       92
33668 libksmtp-data                      	       0        6        0        0        6
33669 libksquirrel0-trinity              	       0        3        3        0        0
33670 libktextaddons-data                	       0       10        0        0       10
33671 libktexteditor4                    	       0       48        1        0       47
33672 libktnef-data                      	       0        6        0        0        6
33673 libktnef4                          	       0        4        0        0        4
33674 libktoblzcheck1-dev                	       0        2        2        0        0
33675 libktoblzcheck1c2a                 	       0        1        1        0        0
33676 libktoblzcheck1v5                  	       0       17        8        0        9
33677 libktorrent-dev                    	       0        2        2        0        0
33678 libktorrent-l10n                   	       0       48        4        0       44
33679 libktorrent5                       	       0        6        0        0        6
33680 libktorrent6-6                     	       0       13        1        0       12
33681 libktpcommoninternals9             	       0        2        0        0        2
33682 libktpcommoninternals9abi1         	       0       10        4        0        6
33683 libktpcommoninternalsprivate7      	       0        1        1        0        0
33684 libktplogger9                      	       0        1        0        0        1
33685 libktplogger9abi1                  	       0        9        2        0        7
33686 libktpmodels9                      	       0        1        0        0        1
33687 libktpmodels9abi1                  	       0        9        4        0        5
33688 libktpmodelsprivate7               	       0        1        1        0        0
33689 libktpotr9                         	       0        5        0        0        5
33690 libktpwidgets9                     	       0        8        4        0        4
33691 libktpwidgetsprivate7              	       0        1        1        0        0
33692 libkunitconversion4                	       0        9        1        0        8
33693 libkutils4                         	       0        7        0        0        7
33694 libkvazaar-dev                     	       0        2        2        0        0
33695 libkvazaar3                        	       0       14        0        0       14
33696 libkvazaar4                        	       0       12        1        0       11
33697 libkvilib5                         	       0       13        0        0       13
33698 libkvutils10                       	       0        1        0        0        1
33699 libkweathercore-data               	       0        1        0        0        1
33700 libkweathercore6                   	       0        1        0        0        1
33701 libkwineffects13                   	       0        1        1        0        0
33702 libkwineffects1abi5                	       0        6        1        0        5
33703 libkwineffects9                    	       0       11        0        0       11
33704 libkwinglesutils1                  	       0        5        0        0        5
33705 libkwinglutils13                   	       0        1        1        0        0
33706 libkwinglutils1abi2                	       0        5        1        0        4
33707 libkwinglutils9                    	       0       10        0        0       10
33708 libkwinxrenderutils13              	       0        1        1        0        0
33709 libkwinxrenderutils9               	       0       11        0        0       11
33710 libkworkspace4abi2                 	       0        6        1        0        5
33711 libkxl0                            	       0        3        0        0        3
33712 libkxml2-java                      	       0       61        0        0       61
33713 libkxmlrpcclient4                  	       0       25        0        0       25
33714 libkyotocabinet-dev                	       0        3        3        0        0
33715 libkyotocabinet16                  	       0        4        0        0        4
33716 libkyotocabinet16v5                	       0      210        0        0      210
33717 liblab-gamut1                      	       0     1696        0        0     1696
33718 liblablgl-ocaml                    	       0        4        4        0        0
33719 liblablgl-ocaml-dev                	       0        3        3        0        0
33720 liblablgtk2-gl-ocaml               	       0        1        1        0        0
33721 liblablgtk2-ocaml                  	       0        2        2        0        0
33722 liblablgtk3-ocaml                  	       0        3        3        0        0
33723 liblablgtk3-ocaml-dev              	       0        2        2        0        0
33724 liblablgtk3-ocaml-doc              	       0        2        0        0        2
33725 liblablgtksourceview3-ocaml        	       0        1        1        0        0
33726 liblabltk-ocaml                    	       0        5        5        0        0
33727 liblabltk-ocaml-dev                	       0        3        3        0        0
33728 libladr4                           	       0        3        0        0        3
33729 liblaf-plugin-java                 	       0       19        0        0       19
33730 liblaf-widget-java                 	       0        6        0        0        6
33731 liblam4                            	       0        2        0        0        2
33732 liblangtag-common                  	       0     2883        0        0     2883
33733 liblangtag-dev                     	       0        2        2        0        0
33734 liblangtag-gobject0                	       0        2        0        0        2
33735 liblanguage-detector-java          	       0        2        0        0        2
33736 liblapack-dev                      	       0      173        4        0      169
33737 liblapack-doc                      	       0       12        0        0       12
33738 liblapack-test                     	       0        2        0        0        2
33739 liblapack64-3                      	       0        1        0        0        1
33740 liblapack64-dev                    	       0        1        0        0        1
33741 liblapack64-test                   	       0        1        0        0        1
33742 liblapacke                         	       0       12        0        0       12
33743 liblapacke-dev                     	       0        3        3        0        0
33744 liblapacke64                       	       0        1        0        0        1
33745 liblapacke64-dev                   	       0        1        0        0        1
33746 liblas-bin                         	       0        1        1        0        0
33747 liblas-c3                          	       0        4        0        0        4
33748 liblas3                            	       0        4        0        0        4
33749 liblaser-geometry-dev              	       0        1        1        0        0
33750 liblaser-geometry0d                	       0        1        0        0        1
33751 liblash-compat-1debian0            	       0        1        0        0        1
33752 liblash-compat-dev                 	       0        1        1        0        0
33753 liblasi0                           	       0        1        0        0        1
33754 liblasso3                          	       0        1        0        0        1
33755 liblastfm-fingerprint5-1           	       0        3        0        0        3
33756 liblastfm-java                     	       0        1        0        0        1
33757 liblastfm1                         	       0        8        0        0        8
33758 liblastfm5-dev                     	       0        3        3        0        0
33759 liblaszip-api8                     	       0        1        0        0        1
33760 liblaszip-dev                      	       0        1        1        0        0
33761 liblaszip8                         	       0       15        0        0       15
33762 liblatex-tounicode-perl            	       0       21       21        0        0
33763 liblavfile-2.0-0                   	       0        2        0        0        2
33764 liblavfile-2.1-0                   	       0       34        0        0       34
33765 liblavfile-2.1-0t64                	       0        2        0        0        2
33766 liblavfile-2.2-0                   	       0       17        0        0       17
33767 liblavfile-2.2-0t64                	       0        5        0        0        5
33768 liblavjpeg-2.0-0                   	       0        3        0        0        3
33769 liblavjpeg-2.1-0                   	       0       35        0        0       35
33770 liblavjpeg-2.1-0t64                	       0        2        0        0        2
33771 liblavjpeg-2.2-0                   	       0       17        0        0       17
33772 liblavjpeg-2.2-0t64                	       0        5        0        0        5
33773 liblavplay-2.0-0                   	       0        2        0        0        2
33774 liblavplay-2.1-0                   	       0       34        0        0       34
33775 liblavplay-2.1-0t64                	       0        2        0        0        2
33776 liblavplay-2.2-0                   	       0       17        0        0       17
33777 liblavplay-2.2-0t64                	       0        5        0        0        5
33778 liblayershellqtinterface-dev       	       0        3        3        0        0
33779 liblayout-java                     	       0      741        0        0      741
33780 liblayout-java-doc                 	       0        1        0        0        1
33781 liblazymap-clojure                 	       0        1        0        0        1
33782 liblbfgs-dev                       	       0        2        2        0        0
33783 liblbfgs0                          	       0        2        0        0        2
33784 liblbfgsb0                         	       0      520        1        0      519
33785 liblcgdm-dev                       	       0        1        0        0        1
33786 liblcgdm1                          	       0        1        0        0        1
33787 liblcmaps-dev                      	       0        1        0        0        1
33788 liblcmaps-without-gsi-dev          	       0        1        0        0        1
33789 liblcmaps-without-gsi0             	       0        1        0        0        1
33790 liblcmaps0                         	       0        1        0        0        1
33791 liblcms-utils                      	       0        2        2        0        0
33792 liblcms1                           	       0       30        0        0       30
33793 liblcms1-dev                       	       0        2        2        0        0
33794 libldacbt-abr-dev                  	       0        1        1        0        0
33795 libldacbt-enc-dev                  	       0        1        1        0        0
33796 libldap-common                     	       0     4059        0        0     4059
33797 libldap-dev                        	       0      103      100        3        0
33798 libldap2-dev                       	       0      126       50        0       76
33799 libldb-dev                         	       0        8        7        1        0
33800 libldb1                            	       0      273        1        0      272
33801 libldl2                            	       0       30        0        0       30
33802 libldl2.0.1                        	       0        1        0        0        1
33803 libldl2.1.0                        	       0        1        0        0        1
33804 libldl3                            	       0        2        0        0        2
33805 libldm-1.0-0                       	       0      107        0        0      107
33806 libldm-1.0-0t64                    	       0        3        0        0        3
33807 libldm-dev                         	       0        1        1        0        0
33808 libldns-dev                        	       0        5        5        0        0
33809 libldns1                           	       0        3        0        0        3
33810 libldns2                           	       0        1        0        0        1
33811 libldns3                           	       0       21        1        0       20
33812 libldns3t64                        	       0        5        1        0        4
33813 libleatherman-data                 	       0        1        0        0        1
33814 libleatherman1.12.1                	       0        4        0        0        4
33815 libleatherman1.4.0                 	       0        1        0        0        1
33816 libleatherman1.4.2                 	       0       10        0        0       10
33817 liblensfun-bin                     	       0        3        3        0        0
33818 liblensfun-data                    	       0       19        0        0       19
33819 liblensfun-data-v1                 	       0      318        0        0      318
33820 liblensfun-dev                     	       0       12       12        0        0
33821 liblensfun-doc                     	       0        1        0        0        1
33822 liblensfun0                        	       0       16        0        0       16
33823 liblensfun1                        	       0      315        1        0      314
33824 liblept3                           	       0        2        0        0        2
33825 liblept4                           	       0        7        0        0        7
33826 libleptonica6                      	       0       39        0        1       38
33827 liblerc3                           	       0        4        1        0        3
33828 liblessen-java                     	       0        2        0        0        2
33829 libleveldb-dev                     	       0       17       17        0        0
33830 libleveldb1v5                      	       0        7        0        0        7
33831 liblexical-persistence-perl        	       0        5        5        0        0
33832 liblexical-sealrequirehints-perl   	       0        9        0        0        9
33833 liblexical-underscore-perl         	       0        3        3        0        0
33834 liblfi0                            	       0        1        0        0        1
33835 liblfunction-dev                   	       0        9        9        0        0
33836 liblfunction0                      	       0        1        0        0        1
33837 liblfunction1                      	       0       11        0        0       11
33838 liblhasa-dev                       	       0        1        1        0        0
33839 liblhasa0                          	       0       80        0        0       80
33840 liblib-abs-perl                    	       0        1        1        0        0
33841 libliberator-clojure               	       0        1        0        0        1
33842 liblief-dev                        	       0        1        1        0        0
33843 liblief0                           	       0        1        0        0        1
33844 libliftoff-dev                     	       0        1        1        0        0
33845 liblightcouch-java                 	       0      140        0        0      140
33846 liblightdm-gobject-1-0-dbgsym      	       0        1        0        1        0
33847 liblightdm-gobject-dev             	       0        4        3        1        0
33848 liblightdm-qt-3-0                  	       0        1        0        0        1
33849 liblightdm-qt-dev                  	       0        1        1        0        0
33850 liblightdm-qt5-3-0                 	       0        7        0        0        7
33851 liblightdm-qt5-3-0-dbgsym          	       0        1        0        1        0
33852 liblightdm-qt5-3-dev               	       0        1        0        1        0
33853 liblilv-dev                        	       0       23       23        0        0
33854 liblimba0                          	       0        7        0        0        7
33855 liblimesuite-dev                   	       0        4        4        0        0
33856 liblimesuite20.10-1                	       0        6        0        0        6
33857 liblimesuite22.09-1                	       0       38        2        0       36
33858 liblimesuite23.11-1                	       0       10        0        0       10
33859 liblinbox-1.5.2-0                  	       0        1        0        0        1
33860 liblinbox-1.6.3-0                  	       0        1        0        0        1
33861 liblinbox-1.7.0-0                  	       0        9        0        0        9
33862 liblinbox-dev                      	       0        9        9        0        0
33863 liblinboxsage-1.5.2-0              	       0        1        0        0        1
33864 liblineak0                         	       0        1        0        0        1
33865 liblinear-dev                      	       0        6        6        0        0
33866 liblinear1                         	       0       25        0        0       25
33867 liblinear3                         	       0      164        0        0      164
33868 liblinear4                         	       0      805        0        0      805
33869 liblinearmath2.82                  	       0        1        0        0        1
33870 liblinebreak1                      	       0        1        0        0        1
33871 liblinebreak2                      	       0        3        0        0        3
33872 liblingot0                         	       0       16        0        0       16
33873 liblingua-en-fathom-perl           	       0        2        2        0        0
33874 liblingua-en-findnumber-perl       	       0        4        4        0        0
33875 liblingua-en-inflect-number-perl   	       0        4        4        0        0
33876 liblingua-en-inflect-phrase-perl   	       0        4        4        0        0
33877 liblingua-en-nameparse-perl        	       0        3        3        0        0
33878 liblingua-en-number-isordinal-perl 	       0        4        4        0        0
33879 liblingua-en-sentence-perl         	       0        2        2        0        0
33880 liblingua-en-syllable-perl         	       0        2        2        0        0
33881 liblingua-en-tagger-perl           	       0        4        4        0        0
33882 liblingua-en-words2nums-perl       	       0        4        4        0        0
33883 liblingua-ga-gramadoir-perl        	       0        1        1        0        0
33884 liblingua-ispell-perl              	       0        2        2        0        0
33885 liblingua-pt-stemmer-perl          	       0        4        4        0        0
33886 liblingua-stem-fr-perl             	       0        3        3        0        0
33887 liblingua-stem-it-perl             	       0        3        3        0        0
33888 liblingua-stem-ru-perl             	       0        3        3        0        0
33889 liblingua-stem-snowball-da-perl    	       0        4        4        0        0
33890 liblingua-stem-snowball-perl       	       0        3        0        0        3
33891 liblingua-stopwords-perl           	       0        1        1        0        0
33892 liblingua-translit-perl            	       0      110      107        3        0
33893 liblink-grammar4                   	       0        5        0        0        5
33894 liblink-grammar4-dev               	       0        1        1        0        0
33895 liblink-grammar5                   	       0       78        1        0       77
33896 liblink-grammar5t64                	       0        7        0        0        7
33897 liblinphone++11                    	       0        1        0        0        1
33898 liblinphone++11t64                 	       0        3        0        0        3
33899 liblinphone-dev                    	       0        1        1        0        0
33900 liblinphone11                      	       0        1        0        0        1
33901 liblinphone11t64                   	       0        3        0        0        3
33902 liblinphone2                       	       0        1        0        0        1
33903 liblinphone4                       	       0        1        0        0        1
33904 liblinphone5                       	       0        2        0        0        2
33905 liblinux-desktopfiles-perl         	       0        1        1        0        0
33906 liblinux-distribution-perl         	       0        3        3        0        0
33907 liblinux-dvb-perl                  	       0        1        0        0        1
33908 liblinux-epoll-perl                	       0        3        0        0        3
33909 liblinux-io-prio-perl              	       0        1        1        0        0
33910 liblinuxsampler                    	       0        1        1        0        0
33911 liblip-dev                         	       0        1        1        0        0
33912 liblip2                            	       0        1        1        0        0
33913 libliquid1                         	       0       18        0        0       18
33914 libliquid1d                        	       0        1        0        0        1
33915 libliquid2d                        	       0        3        0        0        3
33916 liblirc0t64                        	       0        5        1        0        4
33917 liblircclient-dev                  	       0       12        0        0       12
33918 liblircclient0                     	       0       50        0        0       50
33919 liblist-allutils-perl              	       0      134      131        3        0
33920 liblist-someutils-xs-perl          	       0      593        0        0      593
33921 liblistaller-glib0                 	       0        2        0        0        2
33922 liblistserialsj-dev                	       0       85        0        0       85
33923 liblistserialsj1                   	       0       85        1        0       84
33924 liblitehtml-dev                    	       0        1        1        0        0
33925 liblitehtml0                       	       0       46        0        0       46
33926 liblitehtml0t64                    	       0        5        0        0        5
33927 liblitl0                           	       0        1        0        0        1
33928 liblivemedia-dev                   	       0        8        8        0        0
33929 liblivemedia102                    	       0        1        0        0        1
33930 liblivemedia106                    	       0        1        0        0        1
33931 liblivemedia107                    	       0       26        0        0       26
33932 liblivemedia112                    	       0        4        1        0        3
33933 liblivemedia115                    	       0        1        0        0        1
33934 liblivemedia116                    	       0        5        0        0        5
33935 liblivemedia23                     	       0       26        1        0       25
33936 liblivemedia42                     	       0        1        0        0        1
33937 liblivemedia52                     	       0        1        0        0        1
33938 liblivemedia57                     	       0       63        0        0       63
33939 liblivemedia58                     	       0        2        0        0        2
33940 liblivemedia61                     	       0        1        0        0        1
33941 liblivemedia64                     	       0      119        0        0      119
33942 liblivemedia66                     	       0        7        0        0        7
33943 liblivemedia77                     	       0        5        0        0        5
33944 liblivemedia81                     	       0        1        0        0        1
33945 liblivemedia94                     	       0       18        0        0       18
33946 liblivetribe-jsr223-java           	       0        5        0        0        5
33947 liblizzie-java                     	       0        2        2        0        0
33948 liblld-14                          	       0        1        1        0        0
33949 liblld-14-dev                      	       0        1        1        0        0
33950 liblld-15                          	       0        1        1        0        0
33951 liblld-16                          	       0        1        1        0        0
33952 liblld-16-dev                      	       0        1        1        0        0
33953 liblld-19                          	       0        3        3        0        0
33954 liblld-19-dev                      	       0        3        3        0        0
33955 liblld-dev                         	       0        2        0        0        2
33956 liblldb-11                         	       0        5        5        0        0
33957 liblldb-13                         	       0        1        1        0        0
33958 liblldb-14-dev                     	       0        1        1        0        0
33959 liblldb-15                         	       0        1        1        0        0
33960 liblldb-16                         	       0        4        4        0        0
33961 liblldb-16-dev                     	       0        1        1        0        0
33962 liblldb-16t64                      	       0        1        1        0        0
33963 liblldb-19                         	       0        4        3        1        0
33964 liblldb-19-dev                     	       0        1        1        0        0
33965 liblldb-7                          	       0        1        1        0        0
33966 liblldb-dev                        	       0        2        0        0        2
33967 libllhttp-dev                      	       0        1        1        0        0
33968 libllhttp9.1                       	       0        9        0        0        9
33969 libllvm-16-ocaml-dev               	       0        1        1        0        0
33970 libllvm-19-ocaml-dev               	       0        1        1        0        0
33971 libllvm10                          	       0       11        0        0       11
33972 libllvm12                          	       0        9        2        0        7
33973 libllvm13                          	       0       50        1        0       49
33974 libllvm14.0.50200-amdgpu           	       0        1        1        0        0
33975 libllvm14t64                       	       0       18        0        0       18
33976 libllvm15t64                       	       0       14        0        0       14
33977 libllvm16                          	       0       50        5        0       45
33978 libllvm16.0.50600-amdgpu           	       0        1        0        0        1
33979 libllvm16.0.50703-amdgpu           	       0        1        1        0        0
33980 libllvm16t64                       	       0       51        0        0       51
33981 libllvm17.0.60000-amdgpu           	       0        1        0        0        1
33982 libllvm17.0.60002-amdgpu           	       0        1        1        0        0
33983 libllvm20                          	       0        2        1        0        1
33984 libllvm3.0                         	       0        5        0        0        5
33985 libllvm3.2                         	       0        1        0        0        1
33986 libllvm3.3                         	       0        1        0        0        1
33987 libllvm3.4                         	       0        3        0        0        3
33988 libllvm3.5                         	       0       75        0        0       75
33989 libllvm3.5v5                       	       0        1        0        0        1
33990 libllvm3.6v5                       	       0        1        0        0        1
33991 libllvm3.7                         	       0        2        0        0        2
33992 libllvm3.8                         	       0       15        0        0       15
33993 libllvm3.9                         	       0      105        0        0      105
33994 libllvm6.0                         	       0       28        1        0       27
33995 libllvm7                           	       0      284        1        0      283
33996 libllvm8                           	       0        4        0        0        4
33997 libllvm9                           	       0       67        0        0       67
33998 libllvmlibc-19-dev                 	       0        1        1        0        0
33999 libllvmspirvlib-15-dev             	       0        3        3        0        0
34000 libllvmspirvlib-17-dev             	       0        3        3        0        0
34001 libllvmspirvlib-19-dev             	       0        1        1        0        0
34002 libllvmspirvlib11                  	       0        4        0        0        4
34003 libllvmspirvlib14                  	       0       14        0        0       14
34004 libllvmspirvlib15                  	       0       36        0        0       36
34005 libllvmspirvlib17                  	       0        4        0        0        4
34006 libllvmspirvlib18.1                	       0        1        0        0        1
34007 libllvmspirvlib19.1                	       0        4        0        0        4
34008 liblmdb-dev                        	       0       17       17        0        0
34009 liblmdb-file-perl                  	       0        1        0        0        1
34010 liblms7compact0                    	       0       36        2        0       34
34011 liblnk1                            	       0       17        0        0       17
34012 liblo-dev                          	       0       22       21        1        0
34013 liblo-tools                        	       0        5        5        0        0
34014 liblo10k1-0                        	       0        5        0        0        5
34015 libloader-java                     	       0      746        0        0      746
34016 libloader-java-doc                 	       0        4        0        0        4
34017 libloadpng4-dev                    	       0        1        1        0        0
34018 libloadpng4.4                      	       0        2        0        0        2
34019 liblocal-lib-perl                  	       0       46       46        0        0
34020 liblocale-maketext-fuzzy-perl      	       0        1        1        0        0
34021 liblocale-maketext-gettext-perl    	       0        3        3        0        0
34022 liblocale-maketext-lexicon-perl    	       0        6        6        0        0
34023 liblocale-msgfmt-perl              	       0        4        4        0        0
34024 liblocale-po-perl                  	       0        6        6        0        0
34025 liblocale-ruby1.9.1                	       0        1        0        0        1
34026 liblocale-xgettext-perl            	       0        3        3        0        0
34027 liblocales-perl                    	       0        1        1        0        0
34028 liblockdev1                        	       0        4        0        0        4
34029 liblockdev1-dev                    	       0        1        1        0        0
34030 liblockfile-dev                    	       0       16       16        0        0
34031 liblodepng-dev                     	       0        1        1        0        0
34032 liblodepng0                        	       0        1        0        0        1
34033 liblog-any-adapter-tap-perl        	       0        1        1        0        0
34034 liblog-dispatch-array-perl         	       0        1        1        0        0
34035 liblog-dispatch-config-perl        	       0        2        2        0        0
34036 liblog-dispatch-configurator-any-perl	       0        1        1        0        0
34037 liblog-dispatch-filerotate-perl    	       0        6        5        1        0
34038 liblog-dispatchouli-perl           	       0        1        1        0        0
34039 liblog-loglite-perl                	       0        1        1        0        0
34040 liblog-report-optional-perl        	       0        1        1        0        0
34041 liblog-report-perl                 	       0        1        1        0        0
34042 liblog-trace-perl                  	       0        2        2        0        0
34043 liblog4cplus-1.1-9                 	       0       26        0        0       26
34044 liblog4cplus-2.0.5                 	       0      167        4        0      163
34045 liblog4cpp5                        	       0        1        0        0        1
34046 liblog4cpp5-dev                    	       0       10       10        0        0
34047 liblog4cpp5v5                      	       0       33        0        0       33
34048 liblog4cxx-dev                     	       0        5        5        0        0
34049 liblog4cxx-doc                     	       0        1        0        0        1
34050 liblog4cxx10v5                     	       0        1        0        0        1
34051 liblog4cxx11                       	       0        2        0        0        2
34052 liblog4cxx15                       	       0        5        0        0        5
34053 liblog4j1.2-java                   	       0      663        0        0      663
34054 liblog4j1.2-java-doc               	       0        7        0        0        7
34055 liblog4j2-java                     	       0      140        0        0      140
34056 liblog4j2-java-doc                 	       0        1        0        0        1
34057 liblog4net1.2-cil                  	       0        5        5        0        0
34058 liblog4shib2                       	       0        1        0        0        1
34059 liblog4tango-dev                   	       0        1        1        0        0
34060 liblog4tango5v5                    	       0        2        0        0        2
34061 liblogback-java                    	       0      121        0        0      121
34062 liblogfile-rotate-perl             	       0        5        5        0        0
34063 liblogg4-dev                       	       0        1        1        0        0
34064 liblogg4.4                         	       0        1        0        0        1
34065 liblogging-stdlog0                 	       0      663        0        0      663
34066 liblognorm0                        	       0        2        2        0        0
34067 liblognorm1                        	       0      208        0        0      208
34068 liblognorm5                        	       0     4026        0        0     4026
34069 liblogs-ocaml                      	       0        1        1        0        0
34070 liblogs-ocaml-dev                  	       0        1        1        0        0
34071 liblogsys-dev                      	       0        1        1        0        0
34072 liblogsys4                         	       0        1        0        0        1
34073 libloki-dev                        	       0        3        3        0        0
34074 libloki0.1.7                       	       0        3        0        0        3
34075 liblombok-ast-java                 	       0        3        0        0        3
34076 liblombok-java                     	       0        2        0        0        2
34077 liblombok-patcher-java             	       0        1        0        0        1
34078 liblomiri-api0                     	       0        1        0        0        1
34079 liblomiri-thumbnailer-qt1.0        	       0        1        0        0        1
34080 liblomirigestures5                 	       0        1        0        0        1
34081 liblomirimetrics5                  	       0        1        0        0        1
34082 liblomiritoolkit5                  	       0        1        0        0        1
34083 liblopsub1                         	       0        5        0        0        5
34084 liblorene-debian1                  	       0        2        0        0        2
34085 liblorene-debian1t64               	       0        1        0        0        1
34086 liblorene-dev                      	       0        2        2        0        0
34087 liblorene-export-debian0           	       0        1        0        0        1
34088 liblorene-export-debian0t64        	       0        1        0        0        1
34089 liblorenef77-debian1               	       0        2        0        0        2
34090 liblorenef77-debian1t64            	       0        1        0        0        1
34091 liblossywav1                       	       0        1        0        0        1
34092 libloudmouth1-0                    	       0     1038        1        0     1037
34093 libloudmouth1-dev                  	       0        2        2        0        0
34094 liblouis-bin                       	       0        8        8        0        0
34095 liblouis-data                      	       0     3048        0        0     3048
34096 liblouis-dev                       	       0        3        3        0        0
34097 liblouis12                         	       0       82        0        0       82
34098 liblouis14                         	       0        2        0        0        2
34099 liblouis17                         	       0      174        1        0      173
34100 liblouis2                          	       0       19        0        0       19
34101 liblouis9                          	       0        1        0        0        1
34102 liblouisutdml-data                 	       0     2750        0        0     2750
34103 liblouisutdml-dev                  	       0        1        1        0        0
34104 liblouisutdml6                     	       0        1        0        0        1
34105 liblouisutdml7                     	       0       76        0        0       76
34106 liblouisutdml8                     	       0      125        0        0      125
34107 liblouisutdml9                     	       0     2414        0        0     2414
34108 liblouisutdml9t64                  	       0      175        0        0      175
34109 liblouisxml-bin                    	       0        1        1        0        0
34110 liblouisxml-data                   	       0        1        0        0        1
34111 liblouisxml-dev                    	       0        1        1        0        0
34112 liblouisxml1                       	       0        1        0        0        1
34113 liblpm-dev                         	       0        1        1        0        0
34114 liblpm-lua                         	       0        1        0        0        1
34115 liblpm1                            	       0        1        0        0        1
34116 liblpsolve55-dev                   	       0        2        2        0        0
34117 liblrcalc-dev                      	       0        9        9        0        0
34118 liblrcalc1                         	       0       10        0        0       10
34119 liblrdf0-dev                       	       0       10        9        1        0
34120 liblrm2                            	       0       14        0        0       14
34121 liblrm2-dev                        	       0        1        1        0        0
34122 liblrmd1                           	       0        4        0        0        4
34123 liblrs-dev                         	       0        1        1        0        0
34124 liblrs0                            	       0        1        0        0        1
34125 liblrs1                            	       0        3        0        0        3
34126 liblsan0                           	       0     2474        0        0     2474
34127 liblsan0-arm64-cross               	       0       38        0        0       38
34128 liblsan0-ppc64-cross               	       0        6        0        0        6
34129 liblsan0-ppc64el-cross             	       0        1        0        0        1
34130 liblsan0-riscv64-cross             	       0        2        0        0        2
34131 liblscp-dev                        	       0        1        1        0        0
34132 liblscp6                           	       0        3        0        0        3
34133 liblsmash2                         	       0        2        0        0        2
34134 liblsof0                           	       0      135        0        0      135
34135 liblsofui9                         	       0       10        0        0       10
34136 libltc-dev                         	       0        1        1        0        0
34137 libltc11                           	       0     2820        0        0     2820
34138 libltdl3                           	       0        2        1        0        1
34139 liblttng-ust-ctl4                  	       0        4        0        0        4
34140 liblttng-ust-ctl5                  	       0       26        0        0       26
34141 liblttng-ust-ctl5t64               	       0       17        0        0       17
34142 liblttng-ust-dev                   	       0        1        1        0        0
34143 liblttng-ust-python-agent1         	       0        1        0        0        1
34144 liblttng-ust0                      	       0        4        0        0        4
34145 liblttoolbox3                      	       0        4        0        0        4
34146 liblttoolbox3-3.5-1                	       0        3        0        0        3
34147 liblua40                           	       0        2        0        0        2
34148 liblua5.1-0-dev                    	       0       57       57        0        0
34149 liblua5.1-luacsnd                  	       0        2        1        0        1
34150 liblua5.2-0-dbg                    	       0        1        1        0        0
34151 liblua5.2-dev                      	       0       64       63        1        0
34152 liblua5.3-0-dbg                    	       0        3        3        0        0
34153 liblua5.4-dev                      	       0       18       18        0        0
34154 liblua50                           	       0       14        1        0       13
34155 liblua50-dev                       	       0        5        5        0        0
34156 libluabind-dev                     	       0        2        0        0        2
34157 libluabind0.9.1d1                  	       0        3        0        0        3
34158 libluajit-5.1-common               	       0      460        0        0      460
34159 libluajit-5.1-dev                  	       0       39       39        0        0
34160 libluajit2-5.1-2                   	       0       28        2        0       26
34161 libluajit2-5.1-common              	       0       28        0        0       28
34162 libluajit2-5.1-dev                 	       0        1        1        0        0
34163 liblualib40                        	       0        2        0        0        2
34164 liblualib50                        	       0       13        1        0       12
34165 liblualib50-dev                    	       0        4        4        0        0
34166 liblucene++-contrib0v5             	       0        1        0        0        1
34167 liblucene++-dev                    	       0        1        1        0        0
34168 liblucene++0t64                    	       0        1        0        0        1
34169 liblucene++0v5                     	       0       12        1        0       11
34170 liblucene3-contrib-java            	       0        8        0        0        8
34171 liblucene3-java                    	       0        8        0        0        8
34172 liblucene4-java                    	       0        1        0        0        1
34173 liblucene4.10-java                 	       0       12        0        0       12
34174 liblucene8-java                    	       0        1        0        0        1
34175 libluksde-utils                    	       0        1        1        0        0
34176 libluksde1                         	       0       15        0        0       15
34177 liblur3                            	       0        3        0        0        3
34178 liblutok3                          	       0        1        0        0        1
34179 liblv-perl                         	       0        1        1        0        0
34180 liblv2dynparam1-dev                	       0        1        1        0        0
34181 liblv2dynparamhost1-1              	       0        1        0        0        1
34182 liblv2dynparamplugin1-0            	       0        5        0        0        5
34183 liblvm2app2.2                      	       0       85        0        0       85
34184 liblvm2cmd2.02                     	       0       93        0        0       93
34185 liblwgeom-2.3-0                    	       0        3        0        0        3
34186 liblwgeom-2.4-0                    	       0        1        0        0        1
34187 liblwgeom-2.5-0                    	       0        5        1        0        4
34188 liblwgeom-dev                      	       0        1        1        0        0
34189 liblwipv6-2                        	       0        1        0        0        1
34190 liblwjgl-java                      	       0        5        0        0        5
34191 liblwjgl-java-doc                  	       0        1        0        0        1
34192 liblwjgl-java-jni                  	       0        5        5        0        0
34193 liblwp-authen-wsse-perl            	       0       11       11        0        0
34194 liblwp-protocol-http-socketunix-perl	       0        2        2        0        0
34195 liblwp-protocol-socks-perl         	       0        6        6        0        0
34196 liblwp-useragent-chicaching-perl   	       0        1        1        0        0
34197 liblwp-useragent-progressbar-perl  	       0        1        1        0        0
34198 liblwpx-paranoidagent-perl         	       0        3        3        0        0
34199 liblwres1                          	       0        1        0        0        1
34200 liblwres141                        	       0      192        0        0      192
34201 liblwres161                        	       0      312        0        0      312
34202 liblwres40                         	       0        5        0        0        5
34203 liblwres60                         	       0       18        0        0       18
34204 liblwres80                         	       0       20        0        0       20
34205 liblwres9                          	       0        3        0        0        3
34206 liblwres90                         	       0       84        0        0       84
34207 liblwt-log-ocaml                   	       0        1        1        0        0
34208 liblwt-log-ocaml-dev               	       0        1        1        0        0
34209 liblwt-ocaml                       	       0        4        3        0        1
34210 liblwt-ocaml-dev                   	       0        3        3        0        0
34211 liblxi1                            	       0        1        0        0        1
34212 liblxqt-backlight-helper           	       0        7        7        0        0
34213 liblxqt-dev                        	       0        1        1        0        0
34214 liblxqt-globalkeys-ui1-dev         	       0        2        2        0        0
34215 liblxqt-globalkeys1-dev            	       0        2        2        0        0
34216 liblxqt1-dev                       	       0        1        1        0        0
34217 liblz1                             	       0     1465        6        0     1459
34218 liblz4-tool                        	       0       38        1        0       37
34219 liblzf-dev                         	       0        1        1        0        0
34220 liblzfse1                          	       0        1        0        0        1
34221 liblzma-doc                        	       0       14        0        0       14
34222 liblzma2                           	       0        8        0        0        8
34223 liblzo-dev                         	       0        1        1        0        0
34224 liblzo1                            	       0        4        0        0        4
34225 liblzo2-dev                        	       0       75       75        0        0
34226 libm17n-dev                        	       0        6        6        0        0
34227 libm4ri-0.0.20140914               	       0        1        0        0        1
34228 libm4ri-0.0.20200125               	       0        9        0        0        9
34229 libm4ri-dev                        	       0        9        9        0        0
34230 libm4rie-0.0.20150908              	       0        1        0        0        1
34231 libm4rie-0.0.20200125              	       0        9        0        0        9
34232 libm4rie-dev                       	       0        9        9        0        0
34233 libmaa2                            	       0        1        0        0        1
34234 libmaa3                            	       0        9        0        0        9
34235 libmac-widgets-java                	       0        3        0        0        3
34236 libmac10                           	       0        2        0        0        2
34237 libmac2                            	       0        5        0        0        5
34238 libmac8                            	       0        1        0        0        1
34239 libmacaroons0                      	       0        1        0        0        1
34240 libmad-ocaml                       	       0        3        3        0        0
34241 libmad-ocaml-dev                   	       0        3        3        0        0
34242 libmadlib                          	       0        1        0        0        1
34243 libmadlib-dev                      	       0        1        1        0        0
34244 libmaeparser1                      	       0       59        0        0       59
34245 libmagic-ocaml                     	       0        1        1        0        0
34246 libmagic-ocaml-dev                 	       0        1        1        0        0
34247 libmagic1-dbgsym                   	       0        1        1        0        0
34248 libmagick++-6.q16-5                	       0        3        0        0        3
34249 libmagick++-6.q16-7                	       0       36        0        0       36
34250 libmagick++-6.q16-9                	       0        2        0        0        2
34251 libmagick++-6.q16-9t64             	       0       33        0        0       33
34252 libmagick++-6.q16-dev              	       0       42        0        0       42
34253 libmagick++-6.q16hdri-8            	       0        1        0        0        1
34254 libmagick++-6.q16hdri-9t64         	       0        1        0        0        1
34255 libmagick++-6.q16hdri-dev          	       0        2        0        0        2
34256 libmagick++-7-5                    	       0        6        0        0        6
34257 libmagick++-7.q16-5                	       0       22        0        0       22
34258 libmagick++-dev                    	       0       37        0        0       37
34259 libmagick++5                       	       0        7        0        0        7
34260 libmagick++9c2a                    	       0        1        0        0        1
34261 libmagick9                         	       0        1        1        0        0
34262 libmagickcore-6.q16-2              	       0       15        1        0       14
34263 libmagickcore-6.q16-2-extra        	       0       10        0        0       10
34264 libmagickcore-6.q16-3              	       0      123        0        0      123
34265 libmagickcore-6.q16-3-extra        	       0      101        0        0      101
34266 libmagickcore-6.q16-5              	       0        1        0        0        1
34267 libmagickcore-6.q16-7              	       0        9        0        0        9
34268 libmagickcore-6.q16-7-extra        	       0      124        0        0      124
34269 libmagickcore-6.q16-dev            	       0       73        0        0       73
34270 libmagickcore-6.q16hdri-3          	       0        1        0        0        1
34271 libmagickcore-6.q16hdri-3-extra    	       0        1        0        0        1
34272 libmagickcore-6.q16hdri-6          	       0        7        0        0        7
34273 libmagickcore-6.q16hdri-6-extra    	       0        5        0        0        5
34274 libmagickcore-6.q16hdri-7-extra    	       0        2        0        0        2
34275 libmagickcore-6.q16hdri-7t64       	       0        2        0        0        2
34276 libmagickcore-6.q16hdri-dev        	       0        2        0        0        2
34277 libmagickcore-7-10                 	       0       17        0        0       17
34278 libmagickcore-7-10t64              	       0        1        0        0        1
34279 libmagickcore-7-arch-config        	       0        2        1        1        0
34280 libmagickcore-7-headers            	       0        2        1        1        0
34281 libmagickcore-7.q16-10-extra       	       0      116        0        0      116
34282 libmagickcore-7.q16-dev            	       0        1        0        0        1
34283 libmagickcore-dev                  	       0       24        0        0       24
34284 libmagickcore3                     	       0        1        1        0        0
34285 libmagickcore5                     	       0       17        0        0       17
34286 libmagickcore5-extra               	       0       11        0        0       11
34287 libmagickwand-6.q16-2              	       0       14        1        0       13
34288 libmagickwand-6.q16-3              	       0      122        0        0      122
34289 libmagickwand-6.q16-5              	       0        1        0        0        1
34290 libmagickwand-6.q16-7              	       0        9        0        0        9
34291 libmagickwand-6.q16-dev            	       0       60        0        0       60
34292 libmagickwand-6.q16hdri-3          	       0        1        0        0        1
34293 libmagickwand-6.q16hdri-6          	       0        7        0        0        7
34294 libmagickwand-6.q16hdri-7t64       	       0        2        0        0        2
34295 libmagickwand-6.q16hdri-dev        	       0        2        0        0        2
34296 libmagickwand-7-10                 	       0       17        0        0       17
34297 libmagickwand-7-10t64              	       0        1        0        0        1
34298 libmagickwand-7-headers            	       0        1        0        1        0
34299 libmagickwand-7.q16-dev            	       0        1        0        0        1
34300 libmagickwand-dev                  	       0       21        0        0       21
34301 libmagickwand5                     	       0       17        0        0       17
34302 libmagics++-data                   	       0        7        0        0        7
34303 libmagics++-dev                    	       0        2        2        0        0
34304 libmagics++-metview-dev            	       0        2        0        0        2
34305 libmagplus3v5                      	       0        6        0        0        6
34306 libmail-bulkmail-perl              	       0        1        1        0        0
34307 libmail-gnupg-perl                 	       0        5        4        1        0
34308 libmail-java                       	       0      844        0        0      844
34309 libmail-java-doc                   	       0        9        0        0        9
34310 libmail-mboxparser-perl            	       0        1        1        0        0
34311 libmail-transport-perl             	       0       29       28        1        0
34312 libmailcommon-data                 	       0        6        0        0        6
34313 libmailcommon4                     	       0        2        1        0        1
34314 libmailimporter-data               	       0        6        0        0        6
34315 libmailimporter4                   	       0        2        1        0        1
34316 libmailutils-dev                   	       0        3        3        0        0
34317 libmailutils4                      	       0        4        0        0        4
34318 libmailutils5                      	       0      168        0        0      168
34319 libmailutils7                      	       0      305        0        0      305
34320 libmailutils8                      	       0        2        0        0        2
34321 libmailutils9                      	       0      636        0        0      636
34322 libmailutils9t64                   	       0       80        0        0       80
34323 libmalaga7                         	       0        2        0        0        2
34324 libmalai-java                      	       0        5        0        0        5
34325 libmalcontent-0-dev                	       0        1        1        0        0
34326 libmalcontent-ui-0-0               	       0       67        0        0       67
34327 libmalcontent-ui-1-1               	       0      324        0        1      323
34328 libmalcontent-ui-dev               	       0        1        1        0        0
34329 libmaloc1                          	       0        8        0        0        8
34330 libmanette-0.2-dev                 	       0        1        1        0        0
34331 libmango-perl                      	       0        1        1        0        0
34332 libmap-msgs-dev                    	       0        1        1        0        0
34333 libmapbox-variant-dev              	       0        2        0        0        2
34334 libmapnik-dev                      	       0        1        1        0        0
34335 libmapnik3.0                       	       0        4        4        0        0
34336 libmapnik3.1                       	       0       20       20        0        0
34337 libmapscript-ruby1.9.1             	       0        1        0        0        1
34338 libmapserver-dev                   	       0        1        1        0        0
34339 libmarble-dev                      	       0        3        3        0        0
34340 libmarblewidget-qt5-25             	       0        3        0        0        3
34341 libmarblewidget-qt5-28             	       0      136        2        0      134
34342 libmarblewidget19                  	       0        2        1        0        1
34343 libmarc-file-marcmaker-perl        	       0        1        1        0        0
34344 libmarc-lint-perl                  	       0        1        1        0        0
34345 libmarc-parser-raw-perl            	       0        1        1        0        0
34346 libmarc-spec-perl                  	       0        1        1        0        0
34347 libmarc4j-java                     	       0        2        0        0        2
34348 libmarco-dev                       	       0        1        1        0        0
34349 libmarco-private0                  	       0        3        0        0        3
34350 libmarco-private1                  	       0       71        0        0       71
34351 libmariadb-java                    	       0       38        0        0       38
34352 libmariadb2                        	       0        2        0        0        2
34353 libmariadbclient-dev               	       0        6        2        0        4
34354 libmariadbclient-dev-compat        	       0        3        0        0        3
34355 libmariadbclient18                 	       0      103        1        0      102
34356 libmariadbd-dev                    	       0       10       10        0        0
34357 libmariadbd18                      	       0        3        0        0        3
34358 libmariadbd19                      	       0       10        0        0       10
34359 libmariadbd19t64                   	       0        3        1        0        2
34360 libmarisa0                         	       0       43        7        0       36
34361 libmarkdent-perl                   	       0        1        1        0        0
34362 libmarkdown-php                    	       0        1        1        0        0
34363 libmarkdown2-dev                   	       0        2        2        0        0
34364 libmartchus-c++utilities5          	       0       10        6        0        4
34365 libmartchus-qtforkawesome1         	       0       10        6        0        4
34366 libmartchus-qtutilities6           	       0       10        6        0        4
34367 libmason-perl                      	       0        1        1        0        0
34368 libmason-plugin-cache-perl         	       0        1        1        0        0
34369 libmason-plugin-htmlfilters-perl   	       0        1        1        0        0
34370 libmason-plugin-routersimple-perl  	       0        1        1        0        0
34371 libmasonx-processdir-perl          	       0        1        1        0        0
34372 libmatch-simple-perl               	       0       31       31        0        0
34373 libmatch-simple-xs-perl            	       0       30        0        0       30
34374 libmatchbox1                       	       0        8        0        0        8
34375 libmate-desktop-doc                	       0        4        0        0        4
34376 libmate-menu-dev                   	       0        1        1        0        0
34377 libmate-panel-applet-dev           	       0        1        1        0        0
34378 libmate-panel-applet-doc           	       0        3        0        0        3
34379 libmate-slab0t64                   	       0       19        0        0       19
34380 libmate-window-settings1           	       0      494        1        1      492
34381 libmate-window-settings1t64        	       0       19        0        0       19
34382 libmatedict6                       	       0      511        2        0      509
34383 libmatekbd-common                  	       0      526        0        0      526
34384 libmatekbd-dev                     	       0        1        1        0        0
34385 libmatekeyring                     	       0        1        0        0        1
34386 libmatemixer-common                	       0      524        0        0      524
34387 libmatemixer-doc                   	       0        3        0        0        3
34388 libmatepolkit                      	       0        1        0        0        1
34389 libmateweather-dev                 	       0        1        1        0        0
34390 libmatewnck                        	       0        1        1        0        0
34391 libmatewnck-common                 	       0        1        0        0        1
34392 libmath-base-convert-perl          	       0       11       10        1        0
34393 libmath-basecnv-perl               	       0        2        2        0        0
34394 libmath-bezier-perl                	       0        2        2        0        0
34395 libmath-bigint-gmp-perl            	       0        7        0        0        7
34396 libmath-cephes-perl                	       0        1        0        0        1
34397 libmath-combinatorics-clojure      	       0        1        0        0        1
34398 libmath-combinatorics-perl         	       0        2        2        0        0
34399 libmath-convexhull-monotonechain-perl	       0       27        0        0       27
34400 libmath-derivative-perl            	       0        4        4        0        0
34401 libmath-geometry-voronoi-perl      	       0       27        0        0       27
34402 libmath-gmp-perl                   	       0        2        0        0        2
34403 libmath-int128-perl                	       0        5        0        0        5
34404 libmath-int64-perl                 	       0        5        0        0        5
34405 libmath-libm-perl                  	       0       27        0        0       27
34406 libmath-numeric-tower-clojure      	       0        1        0        0        1
34407 libmath-planepath-perl             	       0       27       27        0        0
34408 libmath-polygon-perl               	       0        1        1        0        0
34409 libmath-prime-util-gmp-perl        	       0        3        0        0        3
34410 libmath-prime-util-perl            	       0        3        3        0        0
34411 libmath-random-secure-perl         	       0        1        1        0        0
34412 libmath-spline-perl                	       0        4        4        0        0
34413 libmath-tamuanova-perl             	       0        1        0        0        1
34414 libmath-units-perl                 	       0        1        1        0        0
34415 libmath-vec-perl                   	       0        2        2        0        0
34416 libmath-vecstat-perl               	       0        3        3        0        0
34417 libmatheval-dev                    	       0        1        1        0        0
34418 libmatheval1                       	       0       10        0        0       10
34419 libmathic-dev                      	       0        1        1        0        0
34420 libmathic0v5                       	       0        1        0        0        1
34421 libmathicgb-dev                    	       0        1        1        0        0
34422 libmathicgb0                       	       0        1        0        0        1
34423 libmathml-ruby1.9.1                	       0        1        0        0        1
34424 libmatio-dev                       	       0        7        6        1        0
34425 libmatio-doc                       	       0        1        0        0        1
34426 libmatio13                         	       0        4        0        0        4
34427 libmatio4                          	       0        3        0        0        3
34428 libmatroska-dev                    	       0       14       14        0        0
34429 libmatroska0                       	       0        3        0        0        3
34430 libmatroska5                       	       0        5        0        0        5
34431 libmatroska6                       	       0       13        1        0       12
34432 libmatroska6v5                     	       0      176        0        0      176
34433 libmatthew-debug-java              	       0        2        0        0        2
34434 libmaven-ant-tasks-java            	       0        2        0        0        2
34435 libmaven-antrun-extended-plugin-java	       0        1        0        0        1
34436 libmaven-antrun-plugin-java        	       0        1        0        0        1
34437 libmaven-archiver-java             	       0       53        0        0       53
34438 libmaven-archiver-java-doc         	       0        1        0        0        1
34439 libmaven-artifact-transfer-java    	       0        4        0        0        4
34440 libmaven-assembly-plugin-java      	       0        1        0        0        1
34441 libmaven-bundle-plugin-java        	       0        5        0        0        5
34442 libmaven-clean-plugin-java         	       0        5        0        0        5
34443 libmaven-common-artifact-filters-java	       0        6        0        0        6
34444 libmaven-common-artifact-filters-java-doc	       0        1        0        0        1
34445 libmaven-compiler-plugin-java      	       0       23        0        0       23
34446 libmaven-dependency-analyzer-java  	       0        3        0        0        3
34447 libmaven-dependency-plugin-java    	       0        3        0        0        3
34448 libmaven-dependency-plugin-java-doc	       0        1        0        0        1
34449 libmaven-dependency-tree-java      	       0        6        0        0        6
34450 libmaven-dependency-tree-java-doc  	       0        1        0        0        1
34451 libmaven-deploy-plugin-java        	       0        5        0        0        5
34452 libmaven-doxia-tools-java          	       0        2        0        0        2
34453 libmaven-doxia-tools-java-doc      	       0        1        0        0        1
34454 libmaven-ejb-plugin-java           	       0        1        0        0        1
34455 libmaven-enforcer-plugin-java      	       0        2        0        0        2
34456 libmaven-exec-plugin-java          	       0        2        0        0        2
34457 libmaven-file-management-java      	       0      377        0        0      377
34458 libmaven-file-management-java-doc  	       0        1        0        0        1
34459 libmaven-filtering-java            	       0        5        0        0        5
34460 libmaven-install-plugin-java       	       0        6        0        0        6
34461 libmaven-invoker-java              	       0        3        0        0        3
34462 libmaven-invoker-plugin-java       	       0        1        0        0        1
34463 libmaven-jar-plugin-java           	       0       52        0        0       52
34464 libmaven-javadoc-plugin-java       	       0        3        0        0        3
34465 libmaven-jaxb2-plugin-java         	       0        1        0        0        1
34466 libmaven-mapping-java              	       0        1        0        0        1
34467 libmaven-parent-java               	       0      471        0        0      471
34468 libmaven-plugin-testing-java       	       0        1        0        0        1
34469 libmaven-plugin-tools-java         	       0        7        0        0        7
34470 libmaven-processor-plugin-java     	       0        1        0        0        1
34471 libmaven-reporting-api-java        	       0       11        0        0       11
34472 libmaven-reporting-exec-java       	       0        5        0        0        5
34473 libmaven-reporting-impl-java       	       0        8        0        0        8
34474 libmaven-reporting-impl-java-doc   	       0        1        0        0        1
34475 libmaven-repository-builder-java   	       0        1        0        0        1
34476 libmaven-repository-builder-java-doc	       0        1        0        0        1
34477 libmaven-resolver-1.6-java         	       0       10        0        0       10
34478 libmaven-resolver-java             	       0      467        0        0      467
34479 libmaven-resolver-transport-http-java	       0        3        0        0        3
34480 libmaven-resources-plugin-java     	       0        5        0        0        5
34481 libmaven-resources-plugin-java-doc 	       0        1        0        0        1
34482 libmaven-scm-java                  	       0        4        0        0        4
34483 libmaven-scm-java-doc              	       0        1        0        0        1
34484 libmaven-scm-providers-java        	       0        1        0        0        1
34485 libmaven-script-interpreter-java   	       0        1        0        0        1
34486 libmaven-shade-plugin-java         	       0        1        0        0        1
34487 libmaven-shade-plugin-java-doc     	       0        1        0        0        1
34488 libmaven-shared-incremental-java   	       0       23        0        0       23
34489 libmaven-shared-incremental-java-doc	       0        1        0        0        1
34490 libmaven-shared-io-java            	       0      376        0        0      376
34491 libmaven-shared-io-java-doc        	       0        1        0        0        1
34492 libmaven-shared-jar-java           	       0        1        0        0        1
34493 libmaven-shared-jar-java-doc       	       0        1        0        0        1
34494 libmaven-shared-utils-java         	       0      472        0        0      472
34495 libmaven-shared-utils-java-doc     	       0        2        0        0        2
34496 libmaven-site-plugin-java          	       0        5        0        0        5
34497 libmaven-site-plugin-java-doc      	       0        1        0        0        1
34498 libmaven-source-plugin-java        	       0        2        0        0        2
34499 libmaven-verifier-java             	       0        1        0        0        1
34500 libmaven-verifier-java-doc         	       0        1        0        0        1
34501 libmaven-war-plugin-java           	       0        1        0        0        1
34502 libmaven-war-plugin-java-doc       	       0        1        0        0        1
34503 libmaven2-core-java                	       0        4        0        0        4
34504 libmaven2-core-java-doc            	       0        1        0        0        1
34505 libmaven3-core-java                	       0      470        0        0      470
34506 libmaxflow0                        	       0        2        0        0        2
34507 libmaxmind-db-common-perl          	       0        5        5        0        0
34508 libmaxmind-db-reader-perl          	       0        5        5        0        0
34509 libmaxmind-db-reader-xs-perl       	       0        4        0        0        4
34510 libmaxminddb-dev                   	       0        4        4        0        0
34511 libmbassador-java                  	       0       20        0        0       20
34512 libmbedcrypto0                     	       0        2        0        0        2
34513 libmbedtls-doc                     	       0        1        0        0        1
34514 libmbedtls10                       	       0        1        0        0        1
34515 libmbedtls14t64                    	       0       42        1        0       41
34516 libmbedx509-1t64                   	       0       42        1        0       41
34517 libmbim-glib0                      	       0        1        0        0        1
34518 libmbt1                            	       0        4        0        0        4
34519 libmbtserver1                      	       0        2        0        0        2
34520 libmbus0                           	       0        1        1        0        0
34521 libmce-perl                        	       0        1        1        0        0
34522 libmckoisqldb-java                 	       0        3        0        0        3
34523 libmcpp-dev                        	       0        3        3        0        0
34524 libmcpp0                           	       0       73        0        0       73
34525 libmcrypt-dev                      	       0       19       19        0        0
34526 libmcs-utils                       	       0        1        1        0        0
34527 libmcs1                            	       0        2        2        0        0
34528 libmd3-1                           	       0        1        0        0        1
34529 libmd4c-dev                        	       0        1        1        0        0
34530 libmd4c-html0                      	       0        2        0        0        2
34531 libmd4c-html0-dev                  	       0        1        0        0        1
34532 libmd4c0-dbgsym                    	       0        1        1        0        0
34533 libmdb2                            	       0       10        0        0       10
34534 libmdb3                            	       0       23        0        0       23
34535 libmdb3t64                         	       0        3        0        0        3
34536 libmdbsql2                         	       0        9        0        0        9
34537 libmdbsql3                         	       0       23        0        0       23
34538 libmdbsql3t64                      	       0        3        0        0        3
34539 libmdbtools                        	       0        2        0        0        2
34540 libmdc2                            	       0        3        0        0        3
34541 libmdc3                            	       0       12        0        0       12
34542 libmdds-dev                        	       0        1        0        0        1
34543 libmdnsd1                          	       0        1        0        0        1
34544 libmeanwhile-dev                   	       0        4        4        0        0
34545 libmecab-ruby1.9.1                 	       0        1        0        0        1
34546 libmecab2                          	       0       19        0        0       19
34547 libmed-dev                         	       0        1        0        0        1
34548 libmed-doc                         	       0        1        0        0        1
34549 libmed-tools                       	       0        3        3        0        0
34550 libmed11                           	       0        4        0        0        4
34551 libmedc-dev                        	       0        6        6        0        0
34552 libmedc11                          	       0      107        1        0      106
34553 libmedc11t64                       	       0       13        0        0       13
34554 libmedc1v5                         	       0        1        0        0        1
34555 libmedia-convert-perl              	       0        2        2        0        0
34556 libmediaart-1.0-0                  	       0        8        0        0        8
34557 libmediaart-1.0-dev                	       0        1        1        0        0
34558 libmediaart-doc                    	       0        1        0        0        1
34559 libmediainfo-dev                   	       0        3        3        0        0
34560 libmediainfo-doc                   	       0        4        0        0        4
34561 libmediainfo0                      	       0        1        0        0        1
34562 libmediascanner-2.0-4              	       0        1        0        0        1
34563 libmediastreamer-base10            	       0       17        0        0       17
34564 libmediastreamer-base3             	       0       16        0        0       16
34565 libmediastreamer-data              	       0       27        0        0       27
34566 libmediastreamer-dev               	       0        1        1        0        0
34567 libmediastreamer-voip10            	       0       17        0        0       17
34568 libmediastreamer0                  	       0        1        0        0        1
34569 libmediastreamer1                  	       0        1        0        0        1
34570 libmediastreamer13                 	       0        1        0        0        1
34571 libmediastreamer13t64              	       0        3        0        0        3
34572 libmediawiki-api-perl              	       0       38       38        0        0
34573 libmedimport0v5                    	       0        4        0        0        4
34574 libmedley-clojure                  	       0        1        0        0        1
34575 libmeep-openmpi-dev                	       0        1        0        0        1
34576 libmeep-openmpi23                  	       0        1        0        0        1
34577 libmeep12                          	       0        1        0        0        1
34578 libmeep27                          	       0        1        0        0        1
34579 libmeep30                          	       0        3        0        0        3
34580 libmemcache-client-ruby1.9.1       	       0        1        0        0        1
34581 libmemcached-dev                   	       0        7        7        0        0
34582 libmemcached-tools                 	       0        5        5        0        0
34583 libmemcached10                     	       0        2        0        0        2
34584 libmemcached11t64                  	       0        9        4        0        5
34585 libmemcachedutil2t64               	       0        3        1        0        2
34586 libmemoize-expirelru-perl          	       0        4        4        0        0
34587 libmemtailor0                      	       0        1        0        0        1
34588 libmenhir-ocaml-dev                	       0        1        1        0        0
34589 libmenlo-legacy-perl               	       0        3        3        0        0
34590 libmenlo-perl                      	       0        3        3        0        0
34591 libmenu-cache-dev                  	       0        5        5        0        0
34592 libmenu-cache-doc                  	       0        1        0        0        1
34593 libmenu-cache1                     	       0        1        0        0        1
34594 libmercator-0.2-4c2a               	       0        1        0        0        1
34595 libmercator-0.3-3                  	       0        1        0        0        1
34596 libmeshoptimizer-dev               	       0        1        1        0        0
34597 libmeshoptimizer2d                 	       0        4        0        0        4
34598 libmessage-filters-dev             	       0        3        3        0        0
34599 libmessage-filters1d               	       0        4        0        0        4
34600 libmessagecomposer4                	       0        4        1        0        3
34601 libmessagecore4                    	       0        4        1        0        3
34602 libmessagelist4                    	       0        2        0        0        2
34603 libmessaging-menu-dev              	       0        1        1        0        0
34604 libmessaging-menu0                 	       0        9        0        0        9
34605 libmessagingmenu12.10-cil          	       0        1        0        0        1
34606 libmetacity1                       	       0        8        0        0        8
34607 libmetadata-extractor-java         	       0       68        0        0       68
34608 libmethod-autoload-perl            	       0        3        3        0        0
34609 libmethod-signatures-simple-perl   	       0        1        1        0        0
34610 libmetis-dev                       	       0       13       13        0        0
34611 libmetis-edf4.1                    	       0        1        0        0        1
34612 libmetkit-data                     	       0        1        0        0        1
34613 libmetkit0d                        	       0        1        0        0        1
34614 libmetrics-any-perl                	       0       30       29        1        0
34615 libmetro-policy-java               	       0        3        0        0        3
34616 libmetview0d                       	       0        1        0        0        1
34617 libmfsio1                          	       0        1        0        0        1
34618 libmfx-dev                         	       0        6        6        0        0
34619 libmfx-tools                       	       0        2        2        0        0
34620 libmfx0                            	       0       15        0        0       15
34621 libmgba                            	       0        1        0        0        1
34622 libmgba-dev                        	       0        1        1        0        0
34623 libmgba0.10                        	       0       13        0        0       13
34624 libmgba0.10t64                     	       0        1        0        0        1
34625 libmgl-data                        	       0        8        0        0        8
34626 libmgl-fltk7.5.0                   	       0        1        0        0        1
34627 libmgl-fltk8                       	       0        3        0        0        3
34628 libmgl-qt5-8                       	       0        2        0        0        2
34629 libmgl7.2.0                        	       0        1        0        0        1
34630 libmgl7.5.0                        	       0        1        0        0        1
34631 libmgl8                            	       0        5        0        0        5
34632 libmhash-dev                       	       0        6        6        0        0
34633 libmia-2.4-4                       	       0        2        0        0        2
34634 libmialm3                          	       0        2        0        0        2
34635 libmiaviewit0                      	       0        2        0        0        2
34636 libmicroba-java                    	       0       24        0        0       24
34637 libmicroblog4                      	       0        7        0        0        7
34638 libmicrodns-dev                    	       0        4        4        0        0
34639 libmicrodns0                       	       0       49        0        0       49
34640 libmicrohttpd-dev                  	       0       28       27        1        0
34641 libmicrohttpd10                    	       0        9        0        0        9
34642 libmicrohttpd5                     	       0        1        0        0        1
34643 libmidi-perl                       	       0        2        2        0        0
34644 libmigemo1                         	       0        3        1        0        2
34645 libmiglayout-java                  	       0       49        0        0       49
34646 libmigrate-parsetree-ocaml         	       0        1        0        0        1
34647 libmikmod2                         	       0       12        0        0       12
34648 libmikmod2-dev                     	       0        3        3        0        0
34649 libmilter-dev                      	       0        4        4        0        0
34650 libmimalloc-dev                    	       0        2        2        0        0
34651 libmimalloc2.0                     	       0       15        0        0       15
34652 libmimalloc3                       	       0        1        0        0        1
34653 libmime-base32-perl                	       0        9        9        0        0
34654 libmime-base64-urlsafe-perl        	       0       21       21        0        0
34655 libmime-encwords-perl              	       0        3        3        0        0
34656 libmime-explode-perl               	       0        1        0        0        1
34657 libmime-lite-html-perl             	       0        3        3        0        0
34658 libmime-perl                       	       0        2        0        0        2
34659 libmimelib1-dev                    	       0        1        1        0        0
34660 libmimelib1c2a                     	       0        2        0        0        2
34661 libmimelib1c2a-trinity             	       0       29        1        0       28
34662 libmimepull-java                   	       0        3        0        0        3
34663 libmimetic0                        	       0        1        0        0        1
34664 libmimetic0t64                     	       0        1        0        0        1
34665 libmimetic0v5                      	       0        1        0        0        1
34666 libmimetreeparser-data             	       0        3        0        0        3
34667 libmimic-doc                       	       0        1        0        0        1
34668 libmimic0                          	       0       27        0        0       27
34669 libminc-dev                        	       0        2        2        0        0
34670 libminc2-5.2.0                     	       0        4        0        0        4
34671 libminc2-5.3.0                     	       0        8        0        0        8
34672 libming-dev                        	       0        1        1        0        0
34673 libming-util                       	       0        1        1        0        0
34674 libming0                           	       0        1        0        0        1
34675 libming1                           	       0        1        0        0        1
34676 libmini18n-dev                     	       0        1        1        0        0
34677 libmini18n1                        	       0        7        0        0        7
34678 libminidjvu-dev                    	       0        1        1        0        0
34679 libminidjvu-mod-settings0          	       0        1        0        0        1
34680 libminidjvu-mod0                   	       0        1        0        0        1
34681 libminidjvu0                       	       0        6        0        0        6
34682 libminidjvu0t64                    	       0        1        0        0        1
34683 libminify-maven-plugin-java        	       0        1        0        0        1
34684 libminilector38u-ccid-bit4id       	       0        3        3        0        0
34685 libminimap0                        	       0        1        0        0        1
34686 libminini1                         	       0        6        0        0        6
34687 libminion-backend-sqlite-perl      	       0        1        1        0        0
34688 libminion-perl                     	       0        1        1        0        0
34689 libminiupnpc-dev                   	       0       22       22        0        0
34690 libminiupnpc10                     	       0       38        0        0       38
34691 libminiupnpc5                      	       0       10       10        0        0
34692 libminiupnpc8                      	       0        2        0        0        2
34693 libminizip-dev                     	       0       58       57        1        0
34694 libminlog-java                     	       0       51        0        0       51
34695 libminpack1                        	       0        4        0        0        4
34696 libmirage11                        	       0        2        1        0        1
34697 libmiral3                          	       0        1        0        0        1
34698 libmiral5                          	       0        1        0        0        1
34699 libmirclient9                      	       0        1        0        0        1
34700 libmircommon7                      	       0        1        0        0        1
34701 libmircommon9                      	       0        2        0        0        2
34702 libmircookie2                      	       0        2        0        0        2
34703 libmircore1                        	       0        1        0        0        1
34704 libmircore2                        	       0        2        0        0        2
34705 libmiroil3                         	       0        1        0        0        1
34706 libmirplatform18                   	       0        1        0        0        1
34707 libmirplatform24                   	       0        2        0        0        2
34708 libmirprotobuf3                    	       0        1        0        0        1
34709 libmirserver53                     	       0        1        0        0        1
34710 libmirserver58                     	       0        1        0        0        1
34711 libmirwayland0                     	       0        1        0        0        1
34712 libmirwayland4                     	       0        2        0        0        2
34713 libmission-control-plugins0t64     	       0        8        0        0        8
34714 libmixin-linewise-perl             	       0       11       11        0        0
34715 libmixlib-cli-ruby1.9.1            	       0        1        0        0        1
34716 libmjollnir0                       	       0        1        0        0        1
34717 libmjpegtools-1.9                  	       0        1        0        0        1
34718 libmjpegtools-dev                  	       0        3        3        0        0
34719 libmjpegutils-2.0-0                	       0        3        0        0        3
34720 libmjpegutils-2.1-0                	       0     2763        1        0     2762
34721 libmjpegutils-2.1-0t64             	       0      194        0        0      194
34722 libmjpegutils-2.2-0                	       0       45        0        0       45
34723 libmjpegutils-2.2-0t64             	       0       10        0        0       10
34724 libmjson-java                      	       0        7        0        0        7
34725 libmkdoc-xml-perl                  	       0        1        1        0        0
34726 libmkl-avx                         	       0        4        0        0        4
34727 libmkl-avx2                        	       0        4        0        0        4
34728 libmkl-avx512                      	       0        4        0        0        4
34729 libmkl-avx512-mic                  	       0        4        0        0        4
34730 libmkl-blacs-intelmpi-ilp64        	       0        1        0        0        1
34731 libmkl-blacs-intelmpi-lp64         	       0        1        0        0        1
34732 libmkl-blacs-openmpi-ilp64         	       0        1        0        0        1
34733 libmkl-blacs-openmpi-lp64          	       0        1        0        0        1
34734 libmkl-blacs-sgimpt-ilp64          	       0        1        0        0        1
34735 libmkl-blacs-sgimpt-lp64           	       0        1        0        0        1
34736 libmkl-cdft-core                   	       0        1        0        0        1
34737 libmkl-cluster-dev                 	       0        1        0        0        1
34738 libmkl-computational-dev           	       0        3        0        0        3
34739 libmkl-core                        	       0        4        0        0        4
34740 libmkl-def                         	       0        4        0        0        4
34741 libmkl-dev                         	       0        3        3        0        0
34742 libmkl-gf-ilp64                    	       0        4        0        0        4
34743 libmkl-gf-lp64                     	       0        4        0        0        4
34744 libmkl-gnu-thread                  	       0        4        0        0        4
34745 libmkl-intel-ilp64                 	       0        4        0        0        4
34746 libmkl-intel-lp64                  	       0        4        0        0        4
34747 libmkl-intel-thread                	       0        4        0        0        4
34748 libmkl-interface-dev               	       0        3        0        0        3
34749 libmkl-locale                      	       0        4        0        0        4
34750 libmkl-mc                          	       0        4        0        0        4
34751 libmkl-mc3                         	       0        4        0        0        4
34752 libmkl-meta-cluster                	       0        1        0        0        1
34753 libmkl-meta-computational          	       0        4        0        0        4
34754 libmkl-meta-interface              	       0        4        0        0        4
34755 libmkl-meta-threading              	       0        4        0        0        4
34756 libmkl-pgi-thread                  	       0        4        0        0        4
34757 libmkl-rt                          	       0        3        0        0        3
34758 libmkl-scalapack-ilp64             	       0        1        0        0        1
34759 libmkl-scalapack-lp64              	       0        1        0        0        1
34760 libmkl-sequential                  	       0        4        0        0        4
34761 libmkl-tbb-thread                  	       0        2        0        0        2
34762 libmkl-threading-dev               	       0        3        0        0        3
34763 libmkl-vml-avx                     	       0        4        0        0        4
34764 libmkl-vml-avx2                    	       0        4        0        0        4
34765 libmkl-vml-avx512                  	       0        4        0        0        4
34766 libmkl-vml-avx512-mic              	       0        4        0        0        4
34767 libmkl-vml-cmpt                    	       0        4        0        0        4
34768 libmkl-vml-def                     	       0        4        0        0        4
34769 libmkl-vml-mc                      	       0        4        0        0        4
34770 libmkl-vml-mc2                     	       0        4        0        0        4
34771 libmkl-vml-mc3                     	       0        4        0        0        4
34772 libmkv0                            	       0        3        0        0        3
34773 libmldbm-sync-perl                 	       0        1        1        0        0
34774 libmlpack-dev                      	       0        1        1        0        0
34775 libmlpack3                         	       0        2        0        0        2
34776 libmlpost-ocaml-dev                	       0        3        3        0        0
34777 libmlpost-ocaml-doc                	       0        3        0        0        3
34778 libmlrisctools-smlnj               	       0        1        0        0        1
34779 libmlt++-7-7                       	       0        7        0        0        7
34780 libmlt++-dev                       	       0        2        2        0        0
34781 libmlt++3                          	       0       66        1        0       65
34782 libmlt++7                          	       0      152        1        1      150
34783 libmlt-7-7                         	       0        7        0        0        7
34784 libmlt-data                        	       0      214        0        0      214
34785 libmlt-dev                         	       0        1        1        0        0
34786 libmlt6                            	       0       66        1        0       65
34787 libmlt7                            	       0      152        1        1      150
34788 libmlt7-data                       	       0        7        0        0        7
34789 libmlv3                            	       0        1        0        0        1
34790 libmlv3-dev                        	       0        1        1        0        0
34791 libmm-dev                          	       0        1        1        0        0
34792 libmm-glib-dev                     	       0        1        1        0        0
34793 libmm-glib-doc                     	       0        1        0        0        1
34794 libmm-ocaml                        	       0        1        1        0        0
34795 libmm-ocaml-dev                    	       0        1        1        0        0
34796 libmm14                            	       0        6        1        0        5
34797 libmmap-ocaml                      	       0        1        1        0        0
34798 libmmdb2-0                         	       0        1        0        0        1
34799 libmmdb2-dev                       	       0        1        1        0        0
34800 libmmpong0.9                       	       0        1        0        0        1
34801 libmms-dev                         	       0        5        5        0        0
34802 libmmtf-java                       	       0        1        0        0        1
34803 libmnemonicsetter-java             	       0       33        0        0       33
34804 libmng-dev                         	       0       14       14        0        0
34805 libmng2                            	       0       43        0        0       43
34806 libmobi-dev                        	       0        1        1        0        0
34807 libmobi-tools                      	       0        1        1        0        0
34808 libmobi0                           	       0        2        0        0        2
34809 libmockito-java                    	       0        3        0        0        3
34810 libmockobjects-java                	       0        2        0        0        2
34811 libmockobjects-java-doc            	       0        1        0        0        1
34812 libmodbus-dev                      	       0        7        6        1        0
34813 libmodello-java                    	       0        4        0        0        4
34814 libmodello-maven-plugin-java       	       0        1        0        0        1
34815 libmodem-vgetty-perl               	       0        1        1        0        0
34816 libmodemmanagerqt1                 	       0        1        0        0        1
34817 libmodglue1                        	       0        1        1        0        0
34818 libmodhmm-dev                      	       0        1        0        0        1
34819 libmodhmm0                         	       0        1        0        0        1
34820 libmodpbase64-0                    	       0        4        0        0        4
34821 libmodpbase64-dev                  	       0        3        3        0        0
34822 libmodplug-dev                     	       0      120      115        5        0
34823 libmodplug0c2                      	       0        1        0        0        1
34824 libmodulator-java                  	       0        1        0        0        1
34825 libmodule-cpants-analyse-perl      	       0        1        1        0        0
34826 libmodule-depends-perl             	       0       10       10        0        0
34827 libmodule-extract-perl             	       0        1        1        0        0
34828 libmodule-extractuse-perl          	       0        1        1        0        0
34829 libmodule-info-perl                	       0        3        3        0        0
34830 libmodule-inspector-perl           	       0        1        1        0        0
34831 libmodule-install-authorrequires-perl	       0        1        1        0        0
34832 libmodule-install-authortests-perl 	       0        2        2        0        0
34833 libmodule-install-contributors-perl	       0        1        1        0        0
34834 libmodule-install-perl             	       0        5        5        0        0
34835 libmodule-install-readmefrompod-perl	       0        1        1        0        0
34836 libmodule-manifest-perl            	       0        2        2        0        0
34837 libmodule-math-depends-perl        	       0        1        1        0        0
34838 libmodule-metadata-perl            	       0        5        5        0        0
34839 libmodule-refresh-perl             	       0       20       20        0        0
34840 libmodule-starter-perl             	       0        2        2        0        0
34841 libmodule-util-perl                	       0       12       12        0        0
34842 libmodule-versions-report-perl     	       0        1        1        0        0
34843 libmodule-want-perl                	       0        1        1        0        0
34844 libmodulemd2                       	       0        5        0        0        5
34845 libmoe1.5                          	       0        1        1        0        0
34846 libmojo-executor-java              	       0        1        0        0        1
34847 libmojo-ioloop-readwriteprocess-perl	       0        1        1        0        0
34848 libmojo-pg-perl                    	       0        1        1        0        0
34849 libmojo-rabbitmq-client-perl       	       0        1        1        0        0
34850 libmojo-server-fastcgi-perl        	       0      120      118        2        0
34851 libmojo-sqlite-perl                	       0        1        1        0        0
34852 libmojolicious-plugin-assetpack-perl	       0        1        1        0        0
34853 libmojolicious-plugin-authentication-perl	       0        1        1        0        0
34854 libmojolicious-plugin-authorization-perl	       0        1        1        0        0
34855 libmojolicious-plugin-basicauth-perl	       0        1        1        0        0
34856 libmojolicious-plugin-bcrypt-perl  	       0        1        1        0        0
34857 libmojolicious-plugin-i18n-perl    	       0        1        1        0        0
34858 libmojolicious-plugin-mailexception-perl	       0        1        1        0        0
34859 libmondorescue-perl                	       0        1        1        0        0
34860 libmongo-client0                   	       0        2        0        0        2
34861 libmongoc-1.0-0                    	       0       61        0        0       61
34862 libmongoc-1.0-0t64                 	       0        5        0        0        5
34863 libmongoc-dev                      	       0        1        1        0        0
34864 libmongoclient-dev                 	       0        1        1        0        0
34865 libmongoclient0                    	       0        1        0        0        1
34866 libmongocrypt-dev                  	       0        1        1        0        0
34867 libmongocrypt0                     	       0       62        0        0       62
34868 libmongodb-java                    	       0      140        0        0      140
34869 libmongodb-perl                    	       0        2        2        0        0
34870 libmongoose2                       	       0       30        0        0       30
34871 libmongoose3                       	       0        1        0        0        1
34872 libmonkey-patch-action-perl        	       0        1        1        0        0
34873 libmono-2.0-1                      	       0       61        0        0       61
34874 libmono-2.0-dev                    	       0       96       94        2        0
34875 libmono-accessibility2.0-cil       	       0        3        3        0        0
34876 libmono-addins-cil-dev             	       0        3        3        0        0
34877 libmono-addins-gui0.2-cil          	       0       21       21        0        0
34878 libmono-addins-msbuild-cil-dev     	       0        2        2        0        0
34879 libmono-addins-msbuild0.2-cil      	       0        3        3        0        0
34880 libmono-addins0.2-cil              	       0       26       26        0        0
34881 libmono-bytefx0.7.6.2-cil          	       0        1        1        0        0
34882 libmono-c5-1.1-cil                 	       0        3        3        0        0
34883 libmono-cairo2.0-cil               	       0        3        3        0        0
34884 libmono-cecil-private-cil          	       0       97       95        2        0
34885 libmono-cecil-vb0.9-cil            	       0        2        2        0        0
34886 libmono-cil-dev                    	       0       93       91        2        0
34887 libmono-codecontracts4.0-cil       	       0       94       92        2        0
34888 libmono-compilerservices-symbolwriter4.0-cil	       0       95       93        2        0
34889 libmono-corlib2.0-cil              	       0        3        3        0        0
34890 libmono-corlib4.0-cil              	       0        4        4        0        0
34891 libmono-cscompmgd0.0-cil           	       0       90       88        2        0
34892 libmono-cscompmgd8.0-cil           	       0        3        3        0        0
34893 libmono-csharp4.0c-cil             	       0      125      123        2        0
34894 libmono-custommarshalers4.0-cil    	       0       94       92        2        0
34895 libmono-data-tds2.0-cil            	       0        3        3        0        0
34896 libmono-data-tds4.0-cil            	       0       99       97        2        0
34897 libmono-data2.0-cil                	       0        1        1        0        0
34898 libmono-db2-1.0-cil                	       0       93       91        2        0
34899 libmono-debugger-soft-cil          	       0        1        0        0        1
34900 libmono-debugger-soft2.0a-cil      	       0        3        3        0        0
34901 libmono-debugger-soft4.0a-cil      	       0       93       91        2        0
34902 libmono-debugging-cil              	       0        1        1        0        0
34903 libmono-debugging-soft-cil         	       0        1        0        0        1
34904 libmono-entityframework-sqlserver6.0-cil	       0        3        3        0        0
34905 libmono-entityframework6.0-cil     	       0        3        3        0        0
34906 libmono-firebirdsql1.7-cil         	       0        1        1        0        0
34907 libmono-getoptions2.0-cil          	       0        1        1        0        0
34908 libmono-http4.0-cil                	       0       94       92        2        0
34909 libmono-i18n-cjk4.0-cil            	       0      114      111        3        0
34910 libmono-i18n-mideast4.0-cil        	       0      114      111        3        0
34911 libmono-i18n-other4.0-cil          	       0      114      111        3        0
34912 libmono-i18n-rare4.0-cil           	       0      114      111        3        0
34913 libmono-i18n-west2.0-cil           	       0        3        3        0        0
34914 libmono-i18n2.0-cil                	       0        3        3        0        0
34915 libmono-i18n4.0-all                	       0      114        0        0      114
34916 libmono-ldap2.0-cil                	       0        3        3        0        0
34917 libmono-management2.0-cil          	       0        3        3        0        0
34918 libmono-management4.0-cil          	       0       96       94        2        0
34919 libmono-messaging-rabbitmq2.0-cil  	       0        3        3        0        0
34920 libmono-messaging-rabbitmq4.0-cil  	       0       94       92        2        0
34921 libmono-messaging2.0-cil           	       0        3        3        0        0
34922 libmono-messaging4.0-cil           	       0       98       96        2        0
34923 libmono-microsoft-build-engine4.0-cil	       0       96       94        2        0
34924 libmono-microsoft-build-framework4.0-cil	       0       96       94        2        0
34925 libmono-microsoft-build-tasks-v4.0-4.0-cil	       0       96       94        2        0
34926 libmono-microsoft-build-utilities-v4.0-4.0-cil	       0       96       94        2        0
34927 libmono-microsoft-build2.0-cil     	       0        3        3        0        0
34928 libmono-microsoft-build4.0-cil     	       0       93       91        2        0
34929 libmono-microsoft-csharp4.0-cil    	       0      101       99        2        0
34930 libmono-microsoft-visualbasic10.0-cil	       0        2        2        0        0
34931 libmono-microsoft-visualbasic11.0-cil	       0        1        1        0        0
34932 libmono-microsoft-visualbasic8.0-cil	       0        1        1        0        0
34933 libmono-microsoft-visualc10.0-cil  	       0       94       92        2        0
34934 libmono-microsoft-web-infrastructure1.0-cil	       0       95       93        2        0
34935 libmono-microsoft8.0-cil           	       0        3        3        0        0
34936 libmono-npgsql2.0-cil              	       0        3        3        0        0
34937 libmono-npgsql4.0-cil              	       0        4        4        0        0
34938 libmono-opensystem-c4.0-cil        	       0        4        4        0        0
34939 libmono-oracle2.0-cil              	       0        3        3        0        0
34940 libmono-oracle4.0-cil              	       0       94       92        2        0
34941 libmono-parallel4.0-cil            	       0       93       91        2        0
34942 libmono-peapi2.0a-cil              	       0        3        3        0        0
34943 libmono-peapi4.0a-cil              	       0       93       91        2        0
34944 libmono-posix2.0-cil               	       0        3        3        0        0
34945 libmono-profiler                   	       0       54       50        2        2
34946 libmono-profiler-gui-thread-check  	       0       16        0        0       16
34947 libmono-rabbitmq2.0-cil            	       0        3        3        0        0
34948 libmono-rabbitmq4.0-cil            	       0       94       92        2        0
34949 libmono-relaxng2.0-cil             	       0        3        3        0        0
34950 libmono-relaxng4.0-cil             	       0       94       92        2        0
34951 libmono-security2.0-cil            	       0        3        3        0        0
34952 libmono-sharpzip2.6-cil            	       0        3        3        0        0
34953 libmono-sharpzip2.84-cil           	       0        3        3        0        0
34954 libmono-sharpzip4.84-cil           	       0      119      117        2        0
34955 libmono-simd2.0-cil                	       0        3        3        0        0
34956 libmono-simd4.0-cil                	       0       94       92        2        0
34957 libmono-smdiagnostics0.0-cil       	       0       90       88        2        0
34958 libmono-sqlite2.0-cil              	       0        3        3        0        0
34959 libmono-system-componentmodel-composition4.0-cil	       0       94       92        2        0
34960 libmono-system-configuration-install4.0-cil	       0       98       96        2        0
34961 libmono-system-data-datasetextensions4.0-cil	       0       94       92        2        0
34962 libmono-system-data-entity4.0-cil  	       0       90       88        2        0
34963 libmono-system-data-linq2.0-cil    	       0        3        3        0        0
34964 libmono-system-data-linq4.0-cil    	       0       95       93        2        0
34965 libmono-system-data-services-client4.0-cil	       0       95       93        2        0
34966 libmono-system-data-services2.0-cil	       0        3        3        0        0
34967 libmono-system-data-services4.0-cil	       0       94       92        2        0
34968 libmono-system-data2.0-cil         	       0        3        3        0        0
34969 libmono-system-deployment4.0-cil   	       0       90       88        2        0
34970 libmono-system-drawing-design4.0-cil	       0       95       93        2        0
34971 libmono-system-dynamic4.0-cil      	       0       94       92        2        0
34972 libmono-system-identitymodel-selectors4.0-cil	       0       98       96        2        0
34973 libmono-system-identitymodel4.0-cil	       0       98       96        2        0
34974 libmono-system-io-compression-filesystem4.0-cil	       0       93       91        2        0
34975 libmono-system-io-compression4.0-cil	       0       93       91        2        0
34976 libmono-system-json-microsoft4.0-cil	       0       93       91        2        0
34977 libmono-system-json2.0-cil         	       0        3        3        0        0
34978 libmono-system-json4.0-cil         	       0       93       91        2        0
34979 libmono-system-ldap-protocols4.0-cil	       0       93       91        2        0
34980 libmono-system-ldap2.0-cil         	       0        3        3        0        0
34981 libmono-system-management4.0-cil   	       0       94       92        2        0
34982 libmono-system-messaging2.0-cil    	       0        3        3        0        0
34983 libmono-system-messaging4.0-cil    	       0       98       96        2        0
34984 libmono-system-net-http-formatting4.0-cil	       0       93       91        2        0
34985 libmono-system-net2.0-cil          	       0        3        3        0        0
34986 libmono-system-net4.0-cil          	       0       94       92        2        0
34987 libmono-system-numerics-vectors4.0-cil	       0       90       88        2        0
34988 libmono-system-reactive-core2.2-cil	       0       93       91        2        0
34989 libmono-system-reactive-debugger2.2-cil	       0       93       91        2        0
34990 libmono-system-reactive-experimental2.2-cil	       0       93       91        2        0
34991 libmono-system-reactive-interfaces2.2-cil	       0       93       91        2        0
34992 libmono-system-reactive-linq2.2-cil	       0       93       91        2        0
34993 libmono-system-reactive-observable-aliases0.0-cil	       0       93       91        2        0
34994 libmono-system-reactive-platformservices2.2-cil	       0       93       91        2        0
34995 libmono-system-reactive-providers2.2-cil	       0       93       91        2        0
34996 libmono-system-reactive-runtime-remoting2.2-cil	       0       93       91        2        0
34997 libmono-system-reactive-windows-forms2.2-cil	       0       93       91        2        0
34998 libmono-system-reactive-windows-threading2.2-cil	       0       93       91        2        0
34999 libmono-system-reflection-context4.0-cil	       0       90       88        2        0
35000 libmono-system-runtime-caching4.0-cil	       0       96       94        2        0
35001 libmono-system-runtime-durableinstancing4.0-cil	       0       94       92        2        0
35002 libmono-system-runtime-interopservices-runtimeinformation4.0-cil	       0        3        3        0        0
35003 libmono-system-runtime2.0-cil      	       0        3        3        0        0
35004 libmono-system-servicemodel-activation4.0-cil	       0       98       96        2        0
35005 libmono-system-servicemodel-discovery4.0-cil	       0       94       92        2        0
35006 libmono-system-servicemodel-internals0.0-cil	       0      108      106        2        0
35007 libmono-system-servicemodel-routing4.0-cil	       0       94       92        2        0
35008 libmono-system-servicemodel-web4.0-cil	       0       94       92        2        0
35009 libmono-system-servicemodel4.0a-cil	       0       98       96        2        0
35010 libmono-system-serviceprocess4.0-cil	       0       94       92        2        0
35011 libmono-system-threading-tasks-dataflow4.0-cil	       0       93       91        2        0
35012 libmono-system-web-abstractions4.0-cil	       0       94       92        2        0
35013 libmono-system-web-dynamicdata4.0-cil	       0       94       92        2        0
35014 libmono-system-web-extensions-design4.0-cil	       0       94       92        2        0
35015 libmono-system-web-extensions4.0-cil	       0       95       93        2        0
35016 libmono-system-web-http-selfhost4.0-cil	       0       93       91        2        0
35017 libmono-system-web-http-webhost4.0-cil	       0       93       91        2        0
35018 libmono-system-web-http4.0-cil     	       0       93       91        2        0
35019 libmono-system-web-mobile4.0-cil   	       0       90       88        2        0
35020 libmono-system-web-mvc1.0-cil      	       0        3        3        0        0
35021 libmono-system-web-mvc2.0-cil      	       0        3        3        0        0
35022 libmono-system-web-mvc3.0-cil      	       0       94       92        2        0
35023 libmono-system-web-razor2.0-cil    	       0       94       92        2        0
35024 libmono-system-web-regularexpressions4.0-cil	       0       90       88        2        0
35025 libmono-system-web-routing4.0-cil  	       0       94       92        2        0
35026 libmono-system-web-webpages-deployment2.0-cil	       0       94       92        2        0
35027 libmono-system-web-webpages-razor2.0-cil	       0       94       92        2        0
35028 libmono-system-web-webpages2.0-cil 	       0       94       92        2        0
35029 libmono-system-web2.0-cil          	       0        3        3        0        0
35030 libmono-system-windows-forms-datavisualization4.0a-cil	       0       93       91        2        0
35031 libmono-system-windows4.0-cil      	       0       93       91        2        0
35032 libmono-system-workflow-activities4.0-cil	       0       90       88        2        0
35033 libmono-system-workflow-componentmodel4.0-cil	       0       90       88        2        0
35034 libmono-system-workflow-runtime4.0-cil	       0       90       88        2        0
35035 libmono-system-xaml4.0-cil         	       0       95       93        2        0
35036 libmono-system-xml-serialization4.0-cil	       0       93       91        2        0
35037 libmono-system2.0-cil              	       0        3        3        0        0
35038 libmono-tasklets2.0-cil            	       0        3        3        0        0
35039 libmono-tasklets4.0-cil            	       0       94       92        2        0
35040 libmono-upnp-cil                   	       0        2        2        0        0
35041 libmono-wcf3.0a-cil                	       0        3        3        0        0
35042 libmono-web4.0-cil                 	       0        6        6        0        0
35043 libmono-webbrowser2.0-cil          	       0        3        3        0        0
35044 libmono-webmatrix-data4.0-cil      	       0       94       92        2        0
35045 libmono-windowsbase3.0-cil         	       0        3        3        0        0
35046 libmono-winforms2.0-cil            	       0        3        3        0        0
35047 libmono-xbuild-tasks2.0-cil        	       0        3        3        0        0
35048 libmono-xbuild-tasks4.0-cil        	       0       96       94        2        0
35049 libmono0                           	       0        1        0        0        1
35050 libmono2.0-cil                     	       0        3        3        0        0
35051 libmonoboehm-2.0-1                 	       0       77        0        0       77
35052 libmonoboehm-2.0-dev               	       0        3        3        0        0
35053 libmonosgen-2.0-1                  	       0      102        0        0      102
35054 libmonosgen-2.0-dev                	       0       93       91        2        0
35055 libmonospaceif-common              	       0        2        0        0        2
35056 libmoon                            	       0        1        0        0        1
35057 libmoose-autobox-perl              	       0        1        1        0        0
35058 libmoose-perl                      	       0      105        0        0      105
35059 libmoosex-aliases-perl             	       0        2        2        0        0
35060 libmoosex-app-cmd-perl             	       0        2        2        0        0
35061 libmoosex-arrayref-perl            	       0        3        3        0        0
35062 libmoosex-async-perl               	       0        1        1        0        0
35063 libmoosex-attribute-chained-perl   	       0        1        1        0        0
35064 libmoosex-attribute-env-perl       	       0        1        1        0        0
35065 libmoosex-attributehelpers-perl    	       0        1        1        0        0
35066 libmoosex-attributeshortcuts-perl  	       0        1        1        0        0
35067 libmoosex-blessed-reconstruct-perl 	       0        1        1        0        0
35068 libmoosex-clone-perl               	       0        1        1        0        0
35069 libmoosex-compiletime-traits-perl  	       0        1        1        0        0
35070 libmoosex-configfromfile-perl      	       0        2        2        0        0
35071 libmoosex-daemonize-perl           	       0        1        1        0        0
35072 libmoosex-declare-perl             	       0        1        1        0        0
35073 libmoosex-emulate-class-accessor-fast-perl	       0        3        3        0        0
35074 libmoosex-followpbp-perl           	       0        2        2        0        0
35075 libmoosex-has-options-perl         	       0        1        1        0        0
35076 libmoosex-has-sugar-perl           	       0        7        7        0        0
35077 libmoosex-hasdefaults-perl         	       0        1        1        0        0
35078 libmoosex-lazyrequire-perl         	       0        2        2        0        0
35079 libmoosex-log-log4perl-perl        	       0        1        1        0        0
35080 libmoosex-markasmethods-perl       	       0        4        4        0        0
35081 libmoosex-meta-typeconstraint-forcecoercion-perl	       0        2        2        0        0
35082 libmoosex-meta-typeconstraint-mooish-perl	       0        1        1        0        0
35083 libmoosex-method-signatures-perl   	       0        2        2        0        0
35084 libmoosex-methodattributes-perl    	       0        3        3        0        0
35085 libmoosex-multiinitarg-perl        	       0        1        1        0        0
35086 libmoosex-nonmoose-perl            	       0        7        7        0        0
35087 libmoosex-object-pluggable-perl    	       0        2        2        0        0
35088 libmoosex-oneargnew-perl           	       0        1        1        0        0
35089 libmoosex-params-validate-perl     	       0        1        1        0        0
35090 libmoosex-role-withoverloading-perl	       0        3        0        0        3
35091 libmoosex-semiaffordanceaccessor-perl	       0        7        7        0        0
35092 libmoosex-setonce-perl             	       0        1        1        0        0
35093 libmoosex-singlearg-perl           	       0        1        1        0        0
35094 libmoosex-storage-perl             	       0        1        1        0        0
35095 libmoosex-strictconstructor-perl   	       0        4        4        0        0
35096 libmoosex-traitfor-meta-class-betteranonclassnames-perl	       0        1        1        0        0
35097 libmoosex-traits-perl              	       0        2        2        0        0
35098 libmoosex-traits-pluggable-perl    	       0        3        3        0        0
35099 libmoosex-types-common-perl        	       0        2        2        0        0
35100 libmoosex-types-datetime-morecoercions-perl	       0        1        1        0        0
35101 libmoosex-types-datetime-perl      	       0        2        2        0        0
35102 libmoosex-types-json-perl          	       0        1        1        0        0
35103 libmoosex-types-loadableclass-perl 	       0        2        2        0        0
35104 libmoosex-types-netaddr-ip-perl    	       0        1        1        0        0
35105 libmoosex-types-path-class-perl    	       0        2        2        0        0
35106 libmoosex-types-path-tiny-perl     	       0        2        2        0        0
35107 libmoosex-types-perl               	       0       14       14        0        0
35108 libmoosex-types-perl-perl          	       0        1        1        0        0
35109 libmoosex-types-set-object-perl    	       0        1        1        0        0
35110 libmoosex-types-stringlike-perl    	       0        2        2        0        0
35111 libmoosex-types-structured-perl    	       0        2        2        0        0
35112 libmoosex-types-varianttable-perl  	       0        1        1        0        0
35113 libmoosex-util-perl                	       0        1        1        0        0
35114 libmoosex-yaml-perl                	       0        1        1        0        0
35115 libmoox-configfromfile-perl        	       0        3        3        0        0
35116 libmoox-file-configdir-perl        	       0        3        3        0        0
35117 libmoox-locale-passthrough-perl    	       0        3        3        0        0
35118 libmoox-options-perl               	       0        3        3        0        0
35119 libmoox-role-logger-perl           	       0        1        1        0        0
35120 libmoox-singleton-perl             	       0        1        1        0        0
35121 libmoox-strictconstructor-perl     	       0        9        9        0        0
35122 libmoox-struct-perl                	       0       38       38        0        0
35123 libmoox-types-mooselike-numeric-perl	       0        6        6        0        0
35124 libmoox-types-setobject-perl       	       0        1        1        0        0
35125 libmoox-typetiny-perl              	       0        2        2        0        0
35126 libmopac7-1gf                      	       0        3        0        0        3
35127 libmorfologik-stemming2-java       	       0        1        0        0        1
35128 libmorph                           	       0        6        0        0        6
35129 libmorph-dev                       	       0        1        1        0        0
35130 libmosquitto-dev                   	       0        5        5        0        0
35131 libmotif-common                    	       0      402        0        0      402
35132 libmotif-dev                       	       0       29       29        0        0
35133 libmotif3                          	       0        2        0        0        2
35134 libmotif4                          	       0        2        0        0        2
35135 libmount1-dbgsym                   	       0        1        1        0        0
35136 libmouse-perl                      	       0      631        0        0      631
35137 libmousex-configfromfile-perl      	       0        1        1        0        0
35138 libmousex-getopt-perl              	       0        1        1        0        0
35139 libmousex-types-path-class-perl    	       0        1        1        0        0
35140 libmousex-types-perl               	       0        1        1        0        0
35141 libmove-base-msgs-dev              	       0        1        1        0        0
35142 libmovit-dev                       	       0        1        1        0        0
35143 libmovit5                          	       0        6        0        0        6
35144 libmovit8                          	       0      211        2        1      208
35145 libmowgli-2-0                      	       0        2        0        0        2
35146 libmowgli1                         	       0        1        0        0        1
35147 libmowgli2                         	       0        5        5        0        0
35148 libmozilla-ca-perl                 	       0        1        1        0        0
35149 libmozilla-publicsuffix-perl       	       0        8        8        0        0
35150 libmozjpeg-dev                     	       0        1        1        0        0
35151 libmozjpeg8                        	       0        1        0        0        1
35152 libmozjs-102-0t64                  	       0        2        1        0        1
35153 libmozjs-102-dev                   	       0        2        2        0        0
35154 libmozjs-115-0                     	       0        1        0        0        1
35155 libmozjs-128-dev                   	       0        1        1        0        0
35156 libmozjs-24-0                      	       0       47        0        0       47
35157 libmozjs-52-0                      	       0       12        0        0       12
35158 libmozjs-60-0                      	       0       22        1        0       21
35159 libmozjs-60-dev                    	       0        1        1        0        0
35160 libmozjs-78-dev                    	       0        3        3        0        0
35161 libmozjs-dev                       	       0        1        1        0        0
35162 libmozjs0d                         	       0        1        0        0        1
35163 libmozjs10d                        	       0        8        0        0        8
35164 libmozjs17d                        	       0        4        0        0        4
35165 libmozjs185-1.0                    	       0       15        0        0       15
35166 libmozjs185-1.0-dbgsym             	       0        1        1        0        0
35167 libmozjs185-dev                    	       0        2        2        0        0
35168 libmozjs24d                        	       0       10        0        0       10
35169 libmozjs26d                        	       0        2        0        0        2
35170 libmozjs2d                         	       0        1        0        0        1
35171 libmozldap-0d                      	       0        1        0        0        1
35172 libmp3-info-perl                   	       0       64       64        0        0
35173 libmp3-tag-perl                    	       0       48       48        0        0
35174 libmp3lame-ocaml                   	       0        2        2        0        0
35175 libmp3lame-ocaml-dev               	       0        2        2        0        0
35176 libmp3spi-java                     	       0        1        0        0        1
35177 libmp3splt-dev                     	       0        1        1        0        0
35178 libmp3splt0                        	       0        7        0        0        7
35179 libmp3splt0-flac                   	       0        4        0        0        4
35180 libmp3splt0-mp3                    	       0        6        0        0        6
35181 libmp3splt0-ogg                    	       0        6        0        0        6
35182 libmp3tag-ruby1.9.1                	       0        1        0        0        1
35183 libmp4-info-perl                   	       0        3        3        0        0
35184 libmp4v2-1                         	       0        2        0        0        2
35185 libmp4v2-2                         	       0       46        1        0       45
35186 libmp4v2-dev                       	       0        2        2        0        0
35187 libmpack0                          	       0        3        0        0        3
35188 libmpc-dev                         	       0       47       47        0        0
35189 libmpc2                            	       0       31        0        0       31
35190 libmpcdec-dev                      	       0       21       21        0        0
35191 libmpcdec3                         	       0        2        0        0        2
35192 libmpd-dev                         	       0        1        1        0        0
35193 libmpd1                            	       0       19        0        0       19
35194 libmpdclient-dev                   	       0       12       11        1        0
35195 libmpdclient-doc                   	       0        1        0        0        1
35196 libmpdec-dev                       	       0        2        2        0        0
35197 libmpeg2-4-dev                     	       0       27       27        0        0
35198 libmpeg2encpp-2.0-0                	       0        3        0        0        3
35199 libmpeg2encpp-2.1-0                	       0     2762        0        0     2762
35200 libmpeg2encpp-2.1-0t64             	       0      194        0        0      194
35201 libmpeg2encpp-2.2-0                	       0       44        0        0       44
35202 libmpeg2encpp-2.2-0t64             	       0       10        0        0       10
35203 libmpeg3-1                         	       0        1        0        0        1
35204 libmpeg3-2                         	       0       21        0        0       21
35205 libmpeg3-2t64                      	       0        5        0        0        5
35206 libmpeg3-dev                       	       0       17       17        0        0
35207 libmpeg3hv-1.5.0-1                 	       0        1        0        0        1
35208 libmpfi-dev                        	       0       10        0        0       10
35209 libmpfi-dev-common                 	       0       10       10        0        0
35210 libmpfi0                           	       0       74        0        0       74
35211 libmpfr-dev                        	       0       99       97        2        0
35212 libmpfr-doc                        	       0        9        0        0        9
35213 libmpfr1ldbl                       	       0        2        0        0        2
35214 libmpfr4                           	       0      491        0        0      491
35215 libmpfrc++-dev                     	       0       13       12        1        0
35216 libmpich1.0-dev                    	       0        1        1        0        0
35217 libmpich1.0gf                      	       0        3        3        0        0
35218 libmpich12                         	       0       21        0        0       21
35219 libmpj-java                        	       0        1        1        0        0
35220 libmplex2-2.0-0                    	       0        3        0        0        3
35221 libmplex2-2.1-0                    	       0     2762        1        0     2761
35222 libmplex2-2.1-0t64                 	       0      194        0        0      194
35223 libmplex2-2.2-0                    	       0       44        0        0       44
35224 libmplex2-2.2-0t64                 	       0       10        0        0       10
35225 libmpris-qt5-1                     	       0        8        0        0        8
35226 libmps3                            	       0        1        0        0        1
35227 libmpv-dev                         	       0       14       13        1        0
35228 libmpv1                            	       0       27        2        0       25
35229 libmpx0                            	       0        7        0        0        7
35230 libmpx2                            	       0      521        0        0      521
35231 libmpx2-dbg                        	       0        1        1        0        0
35232 libmpx2-i386-cross                 	       0        4        0        0        4
35233 libmqtt-client-java                	       0        1        0        0        1
35234 libmrm4                            	       0       38        0        0       38
35235 libmrml1c2a                        	       0        5        0        0        5
35236 libmrss0                           	       0        8        0        0        8
35237 libmrss0-dev                       	       0        1        1        0        0
35238 libmsgcat-perl                     	       0        3        0        0        3
35239 libmsgpack-cxx-dev                 	       0        4        4        0        0
35240 libmsgpack-dev                     	       0        4        4        0        0
35241 libmsgpack-java                    	       0        1        0        0        1
35242 libmsgpack-ruby1.9.1               	       0        1        0        0        1
35243 libmsgpack3                        	       0        1        0        0        1
35244 libmsgpackc2                       	       0      119        5        0      114
35245 libmsgraph-0-1                     	       0      116        0        0      116
35246 libmsgraph-1-1                     	       0        4        0        0        4
35247 libmsgsl-dev                       	       0        3        0        0        3
35248 libmsi0                            	       0       19        0        0       19
35249 libmsiecf-utils                    	       0        1        1        0        0
35250 libmsiecf1                         	       0       16        0        0       16
35251 libmsn0.3                          	       0        5        0        0        5
35252 libmspack-dev                      	       0        5        5        0        0
35253 libmspack-doc                      	       0        1        0        0        1
35254 libmspub-0.0-0                     	       0        1        0        0        1
35255 libmsv-java                        	       0      195        0        0      195
35256 libmsv1                            	       0        1        0        0        1
35257 libmt32emu                         	       0        1        0        0        1
35258 libmtbl-dev                        	       0        1        1        0        0
35259 libmtbl1                           	       0        1        0        0        1
35260 libmtdev-dev                       	       0       71       69        2        0
35261 libmtj-java                        	       0        1        0        0        1
35262 libmtp-dev                         	       0       24       24        0        0
35263 libmtp-doc                         	       0        4        0        0        4
35264 libmtp7                            	       0        1        0        0        1
35265 libmu-dbm9                         	       0        3        0        0        3
35266 libmu-tiny-perl                    	       0        1        1        0        0
35267 libmuffin-dev                      	       0        1        1        0        0
35268 libmujs-dev                        	       0       13       12        1        0
35269 libmujs1                           	       0       44        0        0       44
35270 libmultibitnums-ruby1.9.1          	       0        1        0        0        1
35271 libmulticobex1                     	       0       17        0        0       17
35272 libmulticobex1t64                  	       0        2        0        0        2
35273 libmultidimensional-perl           	       0       24        0        0       24
35274 libmultiverse-core-java            	       0       72        0        0       72
35275 libmumps-4.10.0                    	       0        1        0        0        1
35276 libmumps-5.3                       	       0        2        0        0        2
35277 libmumps-5.3.3                     	       0        1        0        0        1
35278 libmumps-5.4                       	       0        2        0        0        2
35279 libmumps-5.5                       	       0       52        0        0       52
35280 libmumps-5.6                       	       0        2        0        0        2
35281 libmumps-5.6t64                    	       0        1        0        0        1
35282 libmumps-5.7                       	       0        2        0        0        2
35283 libmumps-dev                       	       0        4        0        0        4
35284 libmumps-headers-dev               	       0        4        4        0        0
35285 libmumps-scotch-5.1.2              	       0        1        0        0        1
35286 libmumps-seq-5.3                   	       0        1        0        0        1
35287 libmumps-seq-5.5                   	       0        5        0        0        5
35288 libmumps-seq-5.6                   	       0        1        0        0        1
35289 libmumps-seq-dev                   	       0        1        0        0        1
35290 libmunge-maven-plugin-java         	       0        1        0        0        1
35291 libmunge2                          	       0      261        4        0      257
35292 libmuparser-dev                    	       0        6        6        0        0
35293 libmuparser2                       	       0        1        0        0        1
35294 libmuparserx-dev                   	       0        2        2        0        0
35295 libmuparserx4.0.11                 	       0        2        0        0        2
35296 libmupdf-dev                       	       0        5        5        0        0
35297 libmupdf25.1                       	       0       10        0        0       10
35298 libmupen64plus-dev                 	       0        1        1        0        0
35299 libmupen64plus2                    	       0       17        0        0       17
35300 libmurmurhash2                     	       0        1        0        0        1
35301 libmuroar0                         	       0        1        0        0        1
35302 libmuscle1                         	       0        1        0        0        1
35303 libmusic1v5                        	       0        1        0        0        1
35304 libmusicbrainz                     	       0        1        1        0        0
35305 libmusicbrainz-discid-perl         	       0      101        0        0      101
35306 libmusicbrainz3-6                  	       0       23        0        0       23
35307 libmusicbrainz4c2a                 	       0        3        0        0        3
35308 libmusicbrainz5-0                  	       0        3        0        0        3
35309 libmusicbrainz5-1                  	       0       10        0        0       10
35310 libmusicbrainz5-2                  	       0      342        0        0      342
35311 libmusicbrainz5-dev                	       0        3        3        0        0
35312 libmusicbrainz5cc2v5               	       0      626        3        0      623
35313 libmustache-d-dev                  	       0        1        0        0        1
35314 libmustache-d0                     	       0        1        0        0        1
35315 libmutter-12-0                     	       0        2        0        0        2
35316 libmutter-14-0                     	       0        8        3        0        5
35317 libmutter-3-0                      	       0        6        1        0        5
35318 libmutter-7-0                      	       0       32        5        0       27
35319 libmutter-9-0                      	       0        1        0        0        1
35320 libmutter0i                        	       0        4        0        0        4
35321 libmwaw-0.2-2                      	       0        1        0        0        1
35322 libmwaw-dev                        	       0        1        0        0        1
35323 libmx-1.0-2                        	       0        1        0        0        1
35324 libmx-bin                          	       0        6        6        0        0
35325 libmx-common                       	       0        6        0        0        6
35326 libmx4j-java                       	       0        4        0        0        4
35327 libmxml-dev                        	       0       46       46        0        0
35328 libmygpo-qt-dev                    	       0        2        2        0        0
35329 libmygpo-qt1                       	       0        6        0        0        6
35330 libmygui-dev                       	       0        5        5        0        0
35331 libmygui.ogreplatform0debian1v5    	       0        6        0        0        6
35332 libmygui.ogreplatform0debian1v5-dbgsym	       0        1        1        0        0
35333 libmygui.opengl3platform0debian1v5 	       0        5        0        0        5
35334 libmygui.opengl3platform0debian1v5-dbgsym	       0        1        1        0        0
35335 libmygui.openglplatform0debian1v5  	       0        5        0        0        5
35336 libmygui.openglplatform0debian1v5-dbgsym	       0        1        1        0        0
35337 libmyguiengine3debian1t64          	       0        1        0        0        1
35338 libmyguiengine3debian1v5           	       0       17        0        0       17
35339 libmyguiengine3debian1v5-dbgsym    	       0        1        1        0        0
35340 libmyodbc                          	       0       12        0        0       12
35341 libmypaint-1.3-0                   	       0      127        0        0      127
35342 libmypaint-common                  	       0     1651        0        0     1651
35343 libmypaint-dev                     	       0        1        1        0        0
35344 libmysofa-dev                      	       0       12       12        0        0
35345 libmysofa-utils                    	       0        5        5        0        0
35346 libmysofa0                         	       0      187        0        0      187
35347 libmyspell3c2                      	       0        1        0        0        1
35348 libmysql++-dev                     	       0        4        4        0        0
35349 libmysql++-doc                     	       0        1        1        0        0
35350 libmysql++3                        	       0        1        0        0        1
35351 libmysql++3v5                      	       0        3        0        0        3
35352 libmysql-java                      	       0        6        0        0        6
35353 libmysql-ocaml                     	       0        1        1        0        0
35354 libmysql-ocaml-dev                 	       0        1        1        0        0
35355 libmysql-ruby1.9.1                 	       0        1        0        0        1
35356 libmysqlclient-dev                 	       0       19       19        0        0
35357 libmysqlclient15-dev               	       0        2        2        0        0
35358 libmysqlclient15off                	       0        3        1        0        2
35359 libmysqlclient16                   	       0       10        0        0       10
35360 libmysqlclient20                   	       0        2        0        0        2
35361 libmysqlclient21                   	       0        2        0        0        2
35362 libmysqlcppconn-dev                	       0        3        3        0        0
35363 libmysqlcppconn7                   	       0        1        0        0        1
35364 libmysqlcppconn7t64                	       0        1        0        0        1
35365 libmysqlcppconn7v5                 	       0        5        1        0        4
35366 libmyth-34                         	       0        3        3        0        0
35367 libmythavcodec58                   	       0        1        0        0        1
35368 libmythavdevice58                  	       0        1        0        0        1
35369 libmythavdevice59                  	       0        4        0        0        4
35370 libmythavfilter7                   	       0        1        0        0        1
35371 libmythavformat58                  	       0        1        0        0        1
35372 libmythavutil52                    	       0        1        0        0        1
35373 libmythavutil56                    	       0        1        0        0        1
35374 libmythes-dev                      	       0        1        0        0        1
35375 libmythpostproc52                  	       0        1        0        0        1
35376 libmythpostproc55                  	       0        1        0        0        1
35377 libmythswresample0                 	       0        1        0        0        1
35378 libmythswresample3                 	       0        1        0        0        1
35379 libmythswscale2                    	       0        1        0        0        1
35380 libmythswscale5                    	       0        1        0        0        1
35381 libmythtv-perl                     	       0        4        4        0        0
35382 libmythzmq1                        	       0        1        0        0        1
35383 libn32atomic1-mips-cross           	       0        2        0        0        2
35384 libn32atomic1-mipsel-cross         	       0        1        0        0        1
35385 libn32gcc-12-dev-mips-cross        	       0        1        0        0        1
35386 libn32gcc-12-dev-mipsel-cross      	       0        1        0        0        1
35387 libn32gcc-s1-mips-cross            	       0        1        0        0        1
35388 libn32gcc-s1-mipsel-cross          	       0        1        0        0        1
35389 libn32gomp1-mips-cross             	       0        2        0        0        2
35390 libn32gomp1-mipsel-cross           	       0        1        0        0        1
35391 libn32stdc++-12-dev-mips-cross     	       0        1        0        0        1
35392 libn32stdc++-12-dev-mipsel-cross   	       0        1        0        0        1
35393 libn32stdc++6-mips-cross           	       0        1        0        0        1
35394 libn32stdc++6-mipsel-cross         	       0        1        0        0        1
35395 libnabrit-dev                      	       0        1        1        0        0
35396 libnabrit3                         	       0        5        0        0        5
35397 libnacl-dev                        	       0        2        2        0        0
35398 libnacore-dev                      	       0        1        1        0        0
35399 libnacore5                         	       0        5        0        0        5
35400 libnaga-java                       	       0       15        0        0       15
35401 libnagios-object-perl              	       0        2        2        0        0
35402 libnanomsg-dev                     	       0        2        2        0        0
35403 libnanomsg5                        	       0        5        1        0        4
35404 libnanopb-dev                      	       0        1        1        0        0
35405 libnanoxml2-java                   	       0        5        0        0        5
35406 libnarray-miss-ruby1.9.1           	       0        1        0        0        1
35407 libnarray-ruby1.9.1                	       0        1        0        0        1
35408 libnative-platform-java            	       0       51        0        0       51
35409 libnatpmp-dev                      	       0        5        5        0        0
35410 libnatspec-dev                     	       0        1        1        0        0
35411 libnatspec0                        	       0        2        0        0        2
35412 libnautilus-burn3                  	       0        1        0        0        1
35413 libnautilus-burn4                  	       0        1        0        0        1
35414 libnautilus-extension-dev          	       0        3        3        0        0
35415 libnautilus-extension1a            	       0      351        4        0      347
35416 libnauty2                          	       0       12        0        0       12
35417 libnauty2-dev                      	       0        3        3        0        0
35418 libnav-msgs-dev                    	       0        1        1        0        0
35419 libnb-absolutelayout-java          	       0        4        0        0        4
35420 libnb-apisupport3-java             	       0        1        0        0        1
35421 libnb-ide14-java                   	       0        1        1        0        0
35422 libnb-java5-java                   	       0        1        1        0        0
35423 libnb-javaparser-java              	       0        3        0        0        3
35424 libnb-org-openide-modules-java     	       0       19        0        0       19
35425 libnb-org-openide-util-java        	       0       62        0        0       62
35426 libnb-org-openide-util-lookup-java 	       0       62        0        0       62
35427 libnb-platform-devel-java          	       0        3        0        0        3
35428 libnb-platform13-java              	       0        1        0        0        1
35429 libnb-platform18-java              	       0       18        0        0       18
35430 libnbd-bin                         	       0        2        2        0        0
35431 libnbd-dev                         	       0        1        1        0        0
35432 libnbd0                            	       0       61        0        0       61
35433 libncap44                          	       0        5        0        0        5
35434 libncbi-ngs3                       	       0        6        0        0        6
35435 libncbi-vdb3                       	       0        6        0        0        6
35436 libncbi-wvdb2                      	       0        1        0        0        1
35437 libncbi6                           	       0        5        0        0        5
35438 libncl2                            	       0        1        0        0        1
35439 libncurses-ruby1.9                 	       0        1        0        0        1
35440 libncurses-ruby1.9.1               	       0        1        0        0        1
35441 libncurses5                        	       0      886        1        0      885
35442 libncurses5-dev                    	       0      340       39        0      301
35443 libncursesada11-dev                	       0        2        2        0        0
35444 libncursesada6.2.3                 	       0        3        0        0        3
35445 libncursesada9-dev                 	       0        1        1        0        0
35446 libncursesw5-dev                   	       0      107        5        0      102
35447 libndesk-dbus-glib1.0-cil          	       0        1        1        0        0
35448 libndesk-dbus1.0-cil               	       0        1        1        0        0
35449 libndi4                            	       0        1        1        0        0
35450 libndpi-bin                        	       0        1        1        0        0
35451 libndpi-wireshark                  	       0        1        0        0        1
35452 libndpi1a                          	       0        1        0        0        1
35453 libndpi2.6                         	       0        3        2        0        1
35454 libndpi4.2                         	       0        1        0        0        1
35455 libneatvnc0                        	       0       11        0        0       11
35456 libneko2                           	       0        4        0        0        4
35457 libnekohtml-java                   	       0       54        0        0       54
35458 libnel0                            	       0        1        0        0        1
35459 libnemesis3                        	       0        1        0        0        1
35460 libnemo-extension-dev              	       0        2        2        0        0
35461 libneon27-dev                      	       0       13       13        0        0
35462 libneon27-gnutls-dev               	       0        3        3        0        0
35463 libneon27t64-gnutls                	       0       76        1        0       75
35464 libnepomuk4                        	       0       24        1        0       23
35465 libnepomukcore4                    	       0        9        1        0        8
35466 libnepomukquery4a                  	       0       24        1        0       23
35467 libnepomukutils4                   	       0       24        1        0       23
35468 libnessus2                         	       0        1        0        0        1
35469 libnet-address-ip-local-perl       	       0        1        1        0        0
35470 libnet-amazon-s3-perl              	       0        1        1        0        0
35471 libnet-amqp-perl                   	       0        1        1        0        0
35472 libnet-arp-perl                    	       0        2        0        0        2
35473 libnet-async-fastcgi-perl          	       0        1        1        0        0
35474 libnet-bluetooth-perl              	       0        3        0        0        3
35475 libnet-bonjour-perl                	       0        1        1        0        0
35476 libnet-cli-interact-perl           	       0        1        1        0        0
35477 libnet-cups-perl                   	       0       31        0        0       31
35478 libnet-dbus-glib-perl              	       0       13        0        0       13
35479 libnet-dhcp-perl                   	       0        1        1        0        0
35480 libnet-dns-async-perl              	       0        1        1        0        0
35481 libnet-dns-fingerprint-perl        	       0        3        3        0        0
35482 libnet-dns-resolver-mock-perl      	       0        1        1        0        0
35483 libnet-dns-sec-perl                	       0      316        3        0      313
35484 libnet-dropbox-api-perl            	       0        3        3        0        0
35485 libnet-fastcgi-perl                	       0        1        1        0        0
35486 libnet-freedb-perl                 	       0        4        0        0        4
35487 libnet-github-perl                 	       0        1        1        0        0
35488 libnet-gpsd3-perl                  	       0        1        1        0        0
35489 libnet-gpsd3-poe-perl              	       0        1        1        0        0
35490 libnet-https-any-perl              	       0        2        2        0        0
35491 libnet-httpserver-perl             	       0        1        1        0        0
35492 libnet-ifconfig-wrapper-perl       	       0        3        2        1        0
35493 libnet-imap-perl                   	       0        1        1        0        0
35494 libnet-imap-simple-perl            	       0       54       52        2        0
35495 libnet-imap-simple-ssl-perl        	       0        2        2        0        0
35496 libnet-inet6glue-perl              	       0        3        3        0        0
35497 libnet-interface-perl              	       0        1        0        0        1
35498 libnet-ip-minimal-perl             	       0        1        1        0        0
35499 libnet-irc-perl                    	       0        4        3        1        0
35500 libnet-jabber-perl                 	       0        2        2        0        0
35501 libnet-mac-vendor-perl             	       0        1        1        0        0
35502 libnet-managesieve-perl            	       0        1        1        0        0
35503 libnet-nbname-perl                 	       0        4        4        0        0
35504 libnet-nslookup-perl               	       0        2        2        0        0
35505 libnet-ntp-perl                    	       0        1        1        0        0
35506 libnet-openid-common-perl          	       0        4        4        0        0
35507 libnet-openid-consumer-perl        	       0        4        4        0        0
35508 libnet-openid-server-perl          	       0        1        1        0        0
35509 libnet-openssh-perl                	       0        4        4        0        0
35510 libnet-pcap-perl                   	       0        4        4        0        0
35511 libnet-ph-perl                     	       0        1        1        0        0
35512 libnet-ping-external-perl          	       0        1        1        0        0
35513 libnet-proxy-perl                  	       0        1        1        0        0
35514 libnet-radius-perl                 	       0        1        1        0        0
35515 libnet-rawip-perl                  	       0        4        0        0        4
35516 libnet-rblclient-perl              	       0       22       22        0        0
35517 libnet-scp-perl                    	       0        1        1        0        0
35518 libnet-scp-ruby1.9.1               	       0        1        0        0        1
35519 libnet-server-ss-prefork-perl      	       0        3        3        0        0
35520 libnet-sftp-sftpserver-perl        	       0        1        1        0        0
35521 libnet-sftp2-ruby1.9.1             	       0        1        0        0        1
35522 libnet-smtp-tls-butmaintained-perl 	       0        1        1        0        0
35523 libnet-smtps-perl                  	       0       52       50        2        0
35524 libnet-snpp-perl                   	       0        1        1        0        0
35525 libnet-ssh-authorizedkeysfile-perl 	       0        1        1        0        0
35526 libnet-ssh-gateway-ruby1.9.1       	       0        1        0        0        1
35527 libnet-ssh-multi-ruby1.9.1         	       0        1        0        0        1
35528 libnet-ssh2-perl                   	       0       23        0        0       23
35529 libnet-ssh2-ruby1.9.1              	       0        1        0        0        1
35530 libnet-sslglue-perl                	       0        1        1        0        0
35531 libnet-subnet-perl                 	       0        1        1        0        0
35532 libnet-twitter-perl                	       0        1        1        0        0
35533 libnet-upnp-perl                   	       0        8        8        0        0
35534 libnet-xwhois-perl                 	       0       19       19        0        0
35535 libnet-z3950-simple2zoom-perl      	       0        1        1        0        0
35536 libnet-z3950-simpleserver-perl     	       0        3        0        0        3
35537 libnet0                            	       0        1        0        0        1
35538 libnet1-dev                        	       0        7        7        0        0
35539 libnet1-doc                        	       0        1        1        0        0
35540 libnetaid1                         	       0        1        1        0        0
35541 libnetcdf-c++4                     	       0       74        0        0       74
35542 libnetcdf-c++4-1                   	       0        4        0        0        4
35543 libnetcdf-c++4-dev                 	       0        2        2        0        0
35544 libnetcdf-cxx-legacy-dev           	       0       11       11        0        0
35545 libnetcdf-dev                      	       0       49       48        1        0
35546 libnetcdf-mpi-18                   	       0        1        0        0        1
35547 libnetcdf-mpi-19                   	       0        2        0        0        2
35548 libnetcdf11                        	       0       13        0        0       13
35549 libnetcdf13                        	       0       56        0        0       56
35550 libnetcdf15                        	       0        1        0        0        1
35551 libnetcdf18                        	       0      147        2        0      145
35552 libnetcdf19t64                     	       0       64        0        0       64
35553 libnetcdf22                        	       0        1        0        0        1
35554 libnetcdf3                         	       0        1        0        0        1
35555 libnetcdfc++4                      	       0        2        0        0        2
35556 libnetcdfc7                        	       0        5        0        0        5
35557 libnetcdff-dev                     	       0        3        3        0        0
35558 libnetcdff5                        	       0        1        0        0        1
35559 libnetcdff7                        	       0        4        0        0        4
35560 libnetclasses0                     	       0        2        1        1        0
35561 libnetfilter-acct-dev              	       0        1        1        0        0
35562 libnetfilter-conntrack-dev         	       0        3        3        0        0
35563 libnetfilter-conntrack-doc         	       0        1        0        0        1
35564 libnetfilter-cthelper-doc          	       0        1        0        0        1
35565 libnetfilter-cttimeout-doc         	       0        1        0        0        1
35566 libnetfilter-log-dev               	       0        2        2        0        0
35567 libnetfilter-log-doc               	       0        1        0        0        1
35568 libnetfilter-queue-dev             	       0        6        6        0        0
35569 libnetfilter-queue-doc             	       0        2        0        0        2
35570 libnetlib-java                     	       0        1        0        0        1
35571 libnetpbm10-dev                    	       0        4        4        0        0
35572 libnetpbm11                        	       0     1623        0        0     1623
35573 libnetpbm11t64                     	       0      149        0        0      149
35574 libnetpbm9                         	       0        1        0        0        1
35575 libnetpbm9-dev                     	       0        1        1        0        0
35576 libnetsnmptrapd40t64               	       0        2        0        0        2
35577 libnettle3                         	       0        1        0        0        1
35578 libnettle7                         	       0        1        1        0        0
35579 libnetty-3.9-java                  	       0        2        0        0        2
35580 libnetty-java                      	       0       27        0        0       27
35581 libnetty-reactive-streams-java     	       0        3        0        0        3
35582 libnetty-tcnative-java             	       0        4        0        0        4
35583 libnetty-tcnative-jni              	       0        4        0        0        4
35584 libnetworkmanagerqt1               	       0        1        0        0        1
35585 libnetx-java                       	       0        3        0        0        3
35586 libnewlib-arm-none-eabi            	       0       49        0        0       49
35587 libnewlib-dev                      	       0       50       50        0        0
35588 libnewlib-doc                      	       0        2        0        0        2
35589 libnewlib-ia16-elf                 	       0        1        1        0        0
35590 libnewlib-nano-arm-none-eabi       	       0        1        0        0        1
35591 libnewmat10-dev                    	       0        1        1        0        0
35592 libnewmat10ldbl                    	       0        2        0        0        2
35593 libnews-nntpclient-perl            	       0        4        4        0        0
35594 libnewt-pic                        	       0        1        0        0        1
35595 libnewtonsoft-json4.5-cil          	       0        1        1        0        0
35596 libnewtonsoft-json5.0-cil          	       0       13       13        0        0
35597 libnexstar-dev                     	       0        2        2        0        0
35598 libnexstar0                        	       0        2        0        0        2
35599 libnextaw0                         	       0        1        0        0        1
35600 libnextcloudsync-dev               	       0        2        2        0        0
35601 libnextcloudsync0t64               	       0       13        7        0        6
35602 libnexus1                          	       0        1        0        0        1
35603 libnf2ff0                          	       0        6        0        0        6
35604 libnfc-bin                         	       0        8        8        0        0
35605 libnfc-dev                         	       0        4        4        0        0
35606 libnfc-examples                    	       0        2        2        0        0
35607 libnfc5                            	       0        2        2        0        0
35608 libnfft3-dev                       	       0        1        1        0        0
35609 libnfft3-double2                   	       0        3        0        0        3
35610 libnfft3-long2                     	       0        1        0        0        1
35611 libnfft3-single2                   	       0        1        0        0        1
35612 libnfnetlink-dev                   	       0       11       11        0        0
35613 libnfqueue-perl                    	       0        1        0        0        1
35614 libnfs-dev                         	       0       16       16        0        0
35615 libnfs-utils                       	       0       15       15        0        0
35616 libnfs0                            	       0        1        0        0        1
35617 libnfs1                            	       0        1        0        0        1
35618 libnfs11                           	       0        1        0        0        1
35619 libnfs12                           	       0      147        0        0      147
35620 libnfs16                           	       0        4        0        0        4
35621 libnfs4                            	       0        4        0        0        4
35622 libnfs8                            	       0       81        0        0       81
35623 libnftables-dev                    	       0        2        2        0        0
35624 libnftables0                       	       0      112        0        0      112
35625 libnftnl-dev                       	       0        6        6        0        0
35626 libnftnl-dev-doc                   	       0        1        0        0        1
35627 libnftnl4                          	       0        6        0        0        6
35628 libnghttp2-dev                     	       0       71       70        1        0
35629 libnghttp2-doc                     	       0        1        0        0        1
35630 libnghttp3-3                       	       0        7        0        0        7
35631 libnghttp3-dev                     	       0       18       17        1        0
35632 libnglib-4.9.13                    	       0        2        0        0        2
35633 libnglib-6.2                       	       0        5        0        0        5
35634 libnglib-dev                       	       0        2        2        0        0
35635 libngraph0                         	       0        3        0        0        3
35636 libngs-java                        	       0        7        0        0        7
35637 libngs-jni                         	       0        6        0        0        6
35638 libngs-sdk-dev                     	       0        2        2        0        0
35639 libngs-sdk1                        	       0        1        0        0        1
35640 libngs-sdk2                        	       0        2        0        0        2
35641 libngspice0                        	       0      123        0        0      123
35642 libngspice0-dev                    	       0        6        6        0        0
35643 libngtcp2-9                        	       0        1        0        0        1
35644 libngtcp2-crypto-gnutls-dev        	       0       14       14        0        0
35645 libngtcp2-dev                      	       0       15       15        0        0
35646 libnice-dev                        	       0        3        3        0        0
35647 libnice-doc                        	       0        1        0        0        1
35648 libnice0                           	       0        1        0        0        1
35649 libnice10                          	       0     3015        6        0     3009
35650 libnids1.21                        	       0       43        0        0       43
35651 libnids1.21t64                     	       0        2        0        0        2
35652 libnifti-dev                       	       0        3        0        0        3
35653 libnifti2                          	       0        4        0        0        4
35654 libnifti2-2                        	       0        5        0        0        5
35655 libnifti2-dev                      	       0        3        3        0        0
35656 libnifticdf-dev                    	       0        3        3        0        0
35657 libnifticdf2                       	       0        6        0        0        6
35658 libniftiio-dev                     	       0        3        3        0        0
35659 libniftiio2                        	       0       18        0        0       18
35660 libniftiio2t64                     	       0        1        0        0        1
35661 libnini1.1-cil                     	       0        1        1        0        0
35662 libnitrokey-common                 	       0       10        9        1        0
35663 libnitrokey-dev                    	       0        1        1        0        0
35664 libnitrokey3                       	       0       10        2        0        8
35665 libnjb5                            	       0        9        9        0        0
35666 libnkf-perl                        	       0        1        0        0        1
35667 libnl-3-doc                        	       0        2        0        0        2
35668 libnl-cli-3-200                    	       0       13        0        0       13
35669 libnl-cli-3-dev                    	       0        2        0        0        2
35670 libnl-genl-3-dev                   	       0       23        0        0       23
35671 libnl-idiag-3-200                  	       0        5        0        0        5
35672 libnl-idiag-3-dev                  	       0        3        0        0        3
35673 libnl-nf-3-200                     	       0       13        0        0       13
35674 libnl-nf-3-dev                     	       0        2        0        0        2
35675 libnl-route-3-dev                  	       0      141        0        0      141
35676 libnl-utils                        	       0        3        3        0        0
35677 libnl-xfrm-3-200                   	       0        1        0        0        1
35678 libnl-xfrm-3-dev                   	       0        1        0        0        1
35679 libnl1                             	       0        5        0        0        5
35680 libnl2                             	       0        1        0        0        1
35681 libnlopt-cxx-dev                   	       0        3        0        0        3
35682 libnlopt-cxx0                      	       0       14        0        0       14
35683 libnlopt-dev                       	       0        5        5        0        0
35684 libnlopt0                          	       0       74        2        0       72
35685 libnm-dev                          	       0       18       18        0        0
35686 libnm-glib-vpn1                    	       0       29        0        0       29
35687 libnm-glib2                        	       0        1        0        0        1
35688 libnm-glib4                        	       0       57        0        0       57
35689 libnm-gtk-common                   	       0       12        0        0       12
35690 libnm-gtk0                         	       0       27        0        0       27
35691 libnm-util1                        	       0        1        0        0        1
35692 libnm-util2                        	       0       59        0        0       59
35693 libnma-dev                         	       0        2        1        0        1
35694 libnma-gtk4-0                      	       0      422        3        4      415
35695 libnma-headers                     	       0        1        1        0        0
35696 libnmz7                            	       0        2        0        0        2
35697 libnng-dev                         	       0        2        2        0        0
35698 libnng1                            	       0        2        0        0        2
35699 libnode109                         	       0        2        1        0        1
35700 libnode115                         	       0       35        0        0       35
35701 libnode64                          	       0       31        0        0       31
35702 libnode72                          	       0       65        1        0       64
35703 libnode83                          	       0        1        0        0        1
35704 libnode93                          	       0        2        0        0        2
35705 libnodelet-dev                     	       0        2        2        0        0
35706 libnodelet-topic-tools-dev         	       0        1        1        0        0
35707 libnodeletlib-dev                  	       0        2        2        0        0
35708 libnodeletlib-tools                	       0        1        1        0        0
35709 libnodeletlib1d                    	       0        3        0        0        3
35710 libnokogiri-ruby1.9                	       0        1        0        0        1
35711 libnokogiri-ruby1.9.1              	       0        1        0        0        1
35712 libnomacscore3                     	       0        1        0        0        1
35713 libnorm-doc                        	       0        1        1        0        0
35714 libnormaliz-dev                    	       0        1        0        0        1
35715 libnormaliz-dev-common             	       0        1        1        0        0
35716 libnormaliz3                       	       0       12        0        0       12
35717 libnotcurses-core-dev              	       0        3        3        0        0
35718 libnotcurses-core2                 	       0        5        0        0        5
35719 libnotcurses-dev                   	       0        3        0        0        3
35720 libnotcurses2                      	       0        5        0        0        5
35721 libnoteshared4                     	       0        2        1        0        1
35722 libnotify-cil-dev                  	       0        1        1        0        0
35723 libnotify-dev                      	       0       59       56        3        0
35724 libnotify-doc                      	       0        7        0        0        7
35725 libnotify0.4-cil                   	       0        7        0        0        7
35726 libnotify1                         	       0        7        0        0        7
35727 libnotify3.0-cil                   	       0        2        0        0        2
35728 libnotify3.0-cil-dev               	       0        2        2        0        0
35729 libnotmuch-dev                     	       0        5        5        0        0
35730 libnotmuch4                        	       0       85        0        0       85
35731 libnotmuch5t64                     	       0       11        2        0        9
35732 libnova-0.14-0                     	       0        3        0        0        3
35733 libnova-0.16-0                     	       0       87        4        0       83
35734 libnova-0.16-0t64                  	       0       18        0        0       18
35735 libnova-dev                        	       0        7        7        0        0
35736 libnova-dev-bin                    	       0        7        7        0        0
35737 libnpf-dev                         	       0        1        1        0        0
35738 libnpf1                            	       0        1        0        0        1
35739 libnpgsql2.2-cil                   	       0        1        1        0        0
35740 libnpp-11-7                        	       0        1        0        0        1
35741 libnpp-12-4                        	       0        2        0        0        2
35742 libnpp-12-6                        	       0        4        0        0        4
35743 libnpp-12-8                        	       0        1        0        0        1
35744 libnpp-dev-11-7                    	       0        1        1        0        0
35745 libnpp-dev-12-4                    	       0        2        2        0        0
35746 libnpp-dev-12-6                    	       0        4        4        0        0
35747 libnpp-dev-12-8                    	       0        1        1        0        0
35748 libnppc11                          	       0       19        0        0       19
35749 libnppc12                          	       0        3        0        0        3
35750 libnppc8.0                         	       0        1        0        0        1
35751 libnppc9.2                         	       0        1        0        0        1
35752 libnppi8.0                         	       0        1        0        0        1
35753 libnppial11                        	       0       18        0        0       18
35754 libnppial12                        	       0        3        0        0        3
35755 libnppial8.0                       	       0        1        0        0        1
35756 libnppial9.2                       	       0        1        0        0        1
35757 libnppicc11                        	       0       19        0        0       19
35758 libnppicc12                        	       0        3        0        0        3
35759 libnppicc8.0                       	       0        1        0        0        1
35760 libnppicc9.2                       	       0        1        0        0        1
35761 libnppicom8.0                      	       0        1        0        0        1
35762 libnppicom9.2                      	       0        1        0        0        1
35763 libnppidei11                       	       0       19        0        0       19
35764 libnppidei12                       	       0        3        0        0        3
35765 libnppidei8.0                      	       0        1        0        0        1
35766 libnppidei9.2                      	       0        1        0        0        1
35767 libnppif11                         	       0       19        0        0       19
35768 libnppif12                         	       0        3        0        0        3
35769 libnppif8.0                        	       0        1        0        0        1
35770 libnppif9.2                        	       0        1        0        0        1
35771 libnppig11                         	       0       19        0        0       19
35772 libnppig12                         	       0        3        0        0        3
35773 libnppig8.0                        	       0        1        0        0        1
35774 libnppig9.2                        	       0        1        0        0        1
35775 libnppim11                         	       0       18        0        0       18
35776 libnppim12                         	       0        3        0        0        3
35777 libnppim8.0                        	       0        1        0        0        1
35778 libnppim9.2                        	       0        1        0        0        1
35779 libnppist11                        	       0       18        0        0       18
35780 libnppist12                        	       0        3        0        0        3
35781 libnppist8.0                       	       0        1        0        0        1
35782 libnppist9.2                       	       0        1        0        0        1
35783 libnppisu11                        	       0       18        0        0       18
35784 libnppisu12                        	       0        3        0        0        3
35785 libnppisu8.0                       	       0        1        0        0        1
35786 libnppisu9.2                       	       0        1        0        0        1
35787 libnppitc11                        	       0       18        0        0       18
35788 libnppitc12                        	       0        3        0        0        3
35789 libnppitc8.0                       	       0        1        0        0        1
35790 libnppitc9.2                       	       0        1        0        0        1
35791 libnpps11                          	       0       18        0        0       18
35792 libnpps12                          	       0        3        0        0        3
35793 libnpps8.0                         	       0        1        0        0        1
35794 libnpps9.2                         	       0        1        0        0        1
35795 libnpth-mingw-w64-dev              	       0        1        1        0        0
35796 libnpth0-dbgsym                    	       0        1        1        0        0
35797 libnpth0-dev                       	       0        6        6        0        0
35798 libnpupnp1                         	       0        1        0        0        1
35799 libnpupnp13                        	       0        1        0        0        1
35800 libnpupnp13t64                     	       0        1        0        0        1
35801 libnpupnp4                         	       0        1        0        0        1
35802 libnrepl-clojure                   	       0        5        0        0        5
35803 libnrepl-incomplete-clojure        	       0        5        0        0        5
35804 libnspr4-0d                        	       0        2        0        0        2
35805 libnss-db                          	       0        7        6        1        0
35806 libnss-docker                      	       0        1        0        1        0
35807 libnss-extrausers                  	       0        2        1        0        1
35808 libnss-gw-name                     	       0        1        0        1        0
35809 libnss-lwres                       	       0        4        0        0        4
35810 libnss-nisplus                     	       0      813        0        0      813
35811 libnss-pgsql2                      	       0        1        0        0        1
35812 libnss-sudo                        	       0       33        0        0       33
35813 libnss-unknown                     	       0        1        0        1        0
35814 libnss-wrapper                     	       0        6        0        0        6
35815 libnss3-1d                         	       0        4        0        0        4
35816 libnss3-tools                      	       0      129      126        3        0
35817 libntdb1                           	       0       67        0        0       67
35818 libntfs-3g-dev                     	       0        1        1        0        0
35819 libntfs-3g0                        	       0        1        0        0        1
35820 libntfs-3g31                       	       0        1        0        0        1
35821 libntfs-3g75                       	       0        3        0        0        3
35822 libntfs-3g871                      	       0      103        0        0      103
35823 libntfs-3g872                      	       0        1        0        0        1
35824 libntfs-3g881                      	       0        1        0        0        1
35825 libntfs-dev                        	       0        1        1        0        0
35826 libntfs10                          	       0        7        0        0        7
35827 libntfs9                           	       0        1        0        0        1
35828 libntirpc-dev                      	       0        1        1        0        0
35829 libntirpc4.3                       	       0        1        0        0        1
35830 libntl-dev                         	       0       20       19        1        0
35831 libntl35                           	       0        4        0        0        4
35832 libntl43                           	       0        2        0        0        2
35833 libntl44                           	       0       25        0        0       25
35834 libntlm0-dev                       	       0        6        6        0        0
35835 libntrack-qt4-1                    	       0       46        1        0       45
35836 libntrack0                         	       0       66        1        0       65
35837 libnucleotidelikelihoodcore0       	       0        1        0        0        1
35838 libnuget-core-cil                  	       0        2        2        0        0
35839 libnum-ocaml                       	       0        1        1        0        0
35840 libnumber-fraction-perl            	       0        1        1        0        0
35841 libnumber-range-perl               	       0       55       55        0        0
35842 libnumbertext-data                 	       0     2803        0        0     2803
35843 libnumbertext-dev                  	       0        1        1        0        0
35844 libnumbertext-java                 	       0        1        0        0        1
35845 libnumbertext-tools                	       0        1        1        0        0
35846 libnunit-cil-dev                   	       0       68       66        2        0
35847 libnunit-console-runner2.6.3-cil   	       0       69        0        0       69
35848 libnunit-core-interfaces2.6.3-cil  	       0       69       67        2        0
35849 libnunit-core2.6.3-cil             	       0       69       67        2        0
35850 libnunit-doc                       	       0        1        1        0        0
35851 libnunit-framework2.6.3-cil        	       0       73       71        2        0
35852 libnunit-mocks2.6.3-cil            	       0       69       67        2        0
35853 libnunit-util2.6.3-cil             	       0       69       67        2        0
35854 libnunit2.4-cil                    	       0        1        1        0        0
35855 libnunit2.6-cil                    	       0        1        1        0        0
35856 libnusoap-php                      	       0        2        2        0        0
35857 libnuspell5                        	       0        1        0        0        1
35858 libnutscan1                        	       0       14        0        0       14
35859 libnutscan2                        	       0       41        0        0       41
35860 libnutscan2t64                     	       0        1        0        0        1
35861 libnv-dev                          	       0        1        1        0        0
35862 libnv1                             	       0        1        0        0        1
35863 libnvblas11                        	       0       17        0        0       17
35864 libnvblas12                        	       0        3        0        0        3
35865 libnvblas6.0                       	       0        1        0        0        1
35866 libnvblas8.0                       	       0        1        0        0        1
35867 libnvblas9.2                       	       0        1        0        0        1
35868 libnvfatbin-12-4                   	       0        2        0        0        2
35869 libnvfatbin-12-6                   	       0        3        0        0        3
35870 libnvfatbin-12-8                   	       0        1        0        0        1
35871 libnvfatbin-dev-12-4               	       0        2        2        0        0
35872 libnvfatbin-dev-12-6               	       0        3        3        0        0
35873 libnvfatbin-dev-12-8               	       0        1        1        0        0
35874 libnvgraph8.0                      	       0        1        0        0        1
35875 libnvgraph9.2                      	       0        1        0        0        1
35876 libnvidia-api1                     	       0        9        0        0        9
35877 libnvidia-cbl                      	       0       28        0        0       28
35878 libnvidia-compiler                 	       0       13        0        0       13
35879 libnvidia-container-tools          	       0        4        4        0        0
35880 libnvidia-container1               	       0        4        0        0        4
35881 libnvidia-egl-wayland-dev          	       0        6        0        0        6
35882 libnvidia-egl-wayland1-dbgsym      	       0        1        1        0        0
35883 libnvidia-egl-xcb1                 	       0        9        1        0        8
35884 libnvidia-egl-xlib1                	       0        1        0        0        1
35885 libnvidia-fatbinaryloader          	       0        8        0        0        8
35886 libnvidia-fbc1                     	       0       12        0        0       12
35887 libnvidia-gpucomp1                 	       0        2        2        0        0
35888 libnvidia-legacy-304xx-glcore      	       0        1        0        0        1
35889 libnvidia-legacy-340xx-compiler    	       0        3        0        0        3
35890 libnvidia-legacy-340xx-cuda1       	       0        5        0        0        5
35891 libnvidia-legacy-340xx-cuda1-i386  	       0        1        0        0        1
35892 libnvidia-legacy-340xx-eglcore     	       0       12        1        0       11
35893 libnvidia-legacy-340xx-encode1     	       0        4        0        0        4
35894 libnvidia-legacy-340xx-fbc1        	       0        2        0        0        2
35895 libnvidia-legacy-340xx-ifr1        	       0        2        0        0        2
35896 libnvidia-legacy-340xx-ml1         	       0        8        0        0        8
35897 libnvidia-legacy-340xx-nvcuvid1    	       0        5        0        0        5
35898 libnvidia-legacy-390xx-cfg1        	       0       15        4        0       11
35899 libnvidia-legacy-390xx-compiler    	       0        2        0        0        2
35900 libnvidia-legacy-390xx-cuda1-i386  	       0        3        0        0        3
35901 libnvidia-legacy-390xx-encode1     	       0       10        0        0       10
35902 libnvidia-legacy-390xx-ml1         	       0       15        0        0       15
35903 libnvidia-legacy-390xx-nvcuvid1    	       0       10        0        0       10
35904 libnvidia-legacy-390xx-ptxjitcompiler1	       0       14        0        0       14
35905 libnvidia-ml-dev                   	       0       17       16        1        0
35906 libnvidia-ngx1                     	       0       10        5        1        4
35907 libnvidia-nvvm4                    	       0       33        0        0       33
35908 libnvidia-opticalflow1             	       0       12        0        0       12
35909 libnvidia-pkcs11                   	       0        2        0        0        2
35910 libnvidia-pkcs11-openssl3          	       0      131        0        0      131
35911 libnvidia-ptxjitcompiler1          	       0      183        0        0      183
35912 libnvidia-sandboxutils             	       0        4        0        0        4
35913 libnvidia-tesla-450-cbl            	       0        2        0        0        2
35914 libnvidia-tesla-450-cfg1           	       0        2        0        0        2
35915 libnvidia-tesla-450-compiler       	       0        1        0        0        1
35916 libnvidia-tesla-450-cuda1          	       0        2        0        0        2
35917 libnvidia-tesla-450-eglcore        	       0        2        0        0        2
35918 libnvidia-tesla-450-encode1        	       0        2        0        0        2
35919 libnvidia-tesla-450-glcore         	       0        2        1        0        1
35920 libnvidia-tesla-450-glvkspirv      	       0        2        0        0        2
35921 libnvidia-tesla-450-ml1            	       0        2        0        0        2
35922 libnvidia-tesla-450-nvcuvid1       	       0        2        0        0        2
35923 libnvidia-tesla-450-ptxjitcompiler1	       0        2        0        0        2
35924 libnvidia-tesla-450-rtcore         	       0        2        0        0        2
35925 libnvidia-tesla-470-cbl            	       0       15        0        0       15
35926 libnvidia-tesla-470-cuda1          	       0       14        0        0       14
35927 libnvidia-tesla-470-encode1        	       0       13        0        0       13
35928 libnvidia-tesla-470-glvkspirv      	       0       16        0        0       16
35929 libnvidia-tesla-470-ml1            	       0       18        0        0       18
35930 libnvidia-tesla-470-nvcuvid1       	       0       13        0        0       13
35931 libnvidia-tesla-470-ptxjitcompiler1	       0       14        0        0       14
35932 libnvidia-tesla-470-rtcore         	       0       14        0        0       14
35933 libnvidia-tesla-glcore             	       0        1        0        0        1
35934 libnvidia-tesla-glvkspirv          	       0        1        0        0        1
35935 libnvidia-tesla-ml1                	       0        1        0        0        1
35936 libnvidia-vksc-core                	       0        9        8        1        0
35937 libnvidia-wayland-client           	       0        2        0        0        2
35938 libnvjitlink-12-4                  	       0        2        0        0        2
35939 libnvjitlink-12-6                  	       0        3        0        0        3
35940 libnvjitlink-12-8                  	       0        1        0        0        1
35941 libnvjitlink-dev-12-4              	       0        2        2        0        0
35942 libnvjitlink-dev-12-6              	       0        3        3        0        0
35943 libnvjitlink-dev-12-8              	       0        1        1        0        0
35944 libnvjitlink12                     	       0        4        0        0        4
35945 libnvjpeg-11-7                     	       0        1        0        0        1
35946 libnvjpeg-12-4                     	       0        2        0        0        2
35947 libnvjpeg-12-6                     	       0        3        0        0        3
35948 libnvjpeg-12-8                     	       0        1        0        0        1
35949 libnvjpeg-dev-11-7                 	       0        1        1        0        0
35950 libnvjpeg-dev-12-4                 	       0        2        2        0        0
35951 libnvjpeg-dev-12-6                 	       0        3        3        0        0
35952 libnvjpeg-dev-12-8                 	       0        1        1        0        0
35953 libnvjpeg11                        	       0       17        0        0       17
35954 libnvjpeg12                        	       0        3        0        0        3
35955 libnvoptix1                        	       0       13        0        0       13
35956 libnvpair1                         	       0        1        0        0        1
35957 libnvpair1linux                    	       0        3        0        0        3
35958 libnvpair3                         	       0        1        1        0        0
35959 libnvrtc-builtins11.8              	       0       15        0        0       15
35960 libnvrtc-builtins12.1              	       0        1        0        0        1
35961 libnvrtc-builtins12.2              	       0        3        0        0        3
35962 libnvrtc11.1                       	       0        1        0        0        1
35963 libnvrtc11.2                       	       0       17        0        0       17
35964 libnvrtc12                         	       0        3        0        0        3
35965 libnvrtc8.0                        	       0        1        0        0        1
35966 libnvrtc9.2                        	       0        1        0        0        1
35967 libnvtoolsext1                     	       0       19        0        0       19
35968 libnvtt-bin                        	       0        1        1        0        0
35969 libnvtt-dev                        	       0        3        3        0        0
35970 libnvtt2                           	       0       19        0        0       19
35971 libnvvm2                           	       0        1        0        0        1
35972 libnvvm3                           	       0        2        0        0        2
35973 libnvvm4                           	       0       20        0        0       20
35974 libnx-x11-6t64                     	       0        4        1        0        3
35975 libnx-x11-dev                      	       0        1        1        0        0
35976 libnx-xcomposite1                  	       0        1        0        0        1
35977 libnx-xdamage1                     	       0        1        0        0        1
35978 libnx-xdmcp6                       	       0        1        0        0        1
35979 libnx-xext6                        	       0        1        0        0        1
35980 libnx-xfixes3                      	       0        1        0        0        1
35981 libnx-xinerama1                    	       0        1        0        0        1
35982 libnx-xpm4                         	       0        1        0        0        1
35983 libnx-xrandr2                      	       0        1        0        0        1
35984 libnx-xrender1                     	       0        1        0        0        1
35985 libnx-xtst6                        	       0        1        0        0        1
35986 libnxcl-bin                        	       0        2        2        0        0
35987 libnxcl-dev                        	       0        1        1        0        0
35988 libnxcl1                           	       0        2        0        0        2
35989 libnxml0                           	       0        9        0        0        9
35990 libnxml0-dev                       	       0        1        1        0        0
35991 libo2                              	       0        1        0        0        1
35992 libo3dgc-dev                       	       0        1        1        0        0
35993 liboakleaf0                        	       0        2        0        0        2
35994 liboasis-ocaml                     	       0        1        1        0        0
35995 liboasis-ocaml-dev                 	       0        1        1        0        0
35996 liboasis-ocaml-doc                 	       0        1        0        0        1
35997 liboasis3-0d                       	       0        1        0        0        1
35998 liboasis3-dev                      	       0        1        0        0        1
35999 liboath-dev                        	       0        1        1        0        0
36000 liboath0t64                        	       0        7        0        0        7
36001 liboauth-dev                       	       0        2        2        0        0
36002 liboauth-ruby1.9.1                 	       0        1        0        0        1
36003 liboauth-signpost-java             	       0        8        0        0        8
36004 liboauth0                          	       0      566        6        0      560
36005 libobantoo-java                    	       0        3        0        0        3
36006 libobasis24.2-base                 	       0        5        0        0        5
36007 libobasis24.2-calc                 	       0        5        0        0        5
36008 libobasis24.2-core                 	       0        5        0        0        5
36009 libobasis24.2-de                   	       0        1        0        0        1
36010 libobasis24.2-draw                 	       0        5        0        0        5
36011 libobasis24.2-en-gb                	       0        2        0        0        2
36012 libobasis24.2-en-gb-help           	       0        2        0        0        2
36013 libobasis24.2-en-us                	       0        5        0        0        5
36014 libobasis24.2-en-us-help           	       0        1        0        0        1
36015 libobasis24.2-extension-beanshell-script-provider	       0        5        0        0        5
36016 libobasis24.2-extension-javascript-script-provider	       0        5        0        0        5
36017 libobasis24.2-extension-mediawiki-publisher	       0        5        0        0        5
36018 libobasis24.2-extension-nlpsolver  	       0        5        0        0        5
36019 libobasis24.2-extension-pdf-import 	       0        5        0        0        5
36020 libobasis24.2-extension-report-builder	       0        5        0        0        5
36021 libobasis24.2-firebird             	       0        5        0        0        5
36022 libobasis24.2-fr                   	       0        1        0        0        1
36023 libobasis24.2-gnome-integration    	       0        5        0        0        5
36024 libobasis24.2-graphicfilter        	       0        5        0        0        5
36025 libobasis24.2-images               	       0        5        0        0        5
36026 libobasis24.2-impress              	       0        5        0        0        5
36027 libobasis24.2-kde-integration      	       0        5        0        0        5
36028 libobasis24.2-librelogo            	       0        5        0        0        5
36029 libobasis24.2-libreofficekit-data  	       0        5        0        0        5
36030 libobasis24.2-math                 	       0        5        0        0        5
36031 libobasis24.2-ogltrans             	       0        5        0        0        5
36032 libobasis24.2-onlineupdate         	       0        5        0        0        5
36033 libobasis24.2-ooofonts             	       0        6        0        0        6
36034 libobasis24.2-ooolinguistic        	       0        5        0        0        5
36035 libobasis24.2-postgresql-sdbc      	       0        5        0        0        5
36036 libobasis24.2-python-script-provider	       0        5        0        0        5
36037 libobasis24.2-pyuno                	       0        5        5        0        0
36038 libobasis24.2-writer               	       0        5        0        0        5
36039 libobasis24.2-xsltfilter           	       0        5        0        0        5
36040 libobasis24.8-base                 	       0       11        0        0       11
36041 libobasis24.8-calc                 	       0       11        1        0       10
36042 libobasis24.8-core                 	       0       11        2        0        9
36043 libobasis24.8-de                   	       0        2        0        0        2
36044 libobasis24.8-draw                 	       0       10        0        0       10
36045 libobasis24.8-el                   	       0        1        0        0        1
36046 libobasis24.8-el-help              	       0        1        0        0        1
36047 libobasis24.8-en-gb                	       0        3        0        0        3
36048 libobasis24.8-en-gb-help           	       0        3        0        0        3
36049 libobasis24.8-en-us                	       0       11        0        0       11
36050 libobasis24.8-en-us-help           	       0        1        0        0        1
36051 libobasis24.8-extension-beanshell-script-provider	       0       10        0        0       10
36052 libobasis24.8-extension-javascript-script-provider	       0       10        0        0       10
36053 libobasis24.8-extension-mediawiki-publisher	       0       10        0        0       10
36054 libobasis24.8-extension-nlpsolver  	       0       10        0        0       10
36055 libobasis24.8-extension-pdf-import 	       0       10        0        0       10
36056 libobasis24.8-extension-report-builder	       0       10        0        0       10
36057 libobasis24.8-firebird             	       0       10        0        0       10
36058 libobasis24.8-fr                   	       0        2        0        0        2
36059 libobasis24.8-gnome-integration    	       0       11        2        0        9
36060 libobasis24.8-graphicfilter        	       0       11        0        0       11
36061 libobasis24.8-images               	       0       12        0        0       12
36062 libobasis24.8-impress              	       0       10        0        0       10
36063 libobasis24.8-kde-integration      	       0       10        0        0       10
36064 libobasis24.8-librelogo            	       0       10        0        0       10
36065 libobasis24.8-libreofficekit-data  	       0       10        0        0       10
36066 libobasis24.8-math                 	       0       10        0        0       10
36067 libobasis24.8-ogltrans             	       0       10        0        0       10
36068 libobasis24.8-onlineupdate         	       0       11        2        0        9
36069 libobasis24.8-ooofonts             	       0       11        2        0        9
36070 libobasis24.8-ooolinguistic        	       0       11        0        0       11
36071 libobasis24.8-postgresql-sdbc      	       0       10        0        0       10
36072 libobasis24.8-python-script-provider	       0       10        0        0       10
36073 libobasis24.8-writer               	       0       11        2        0        9
36074 libobasis24.8-xsltfilter           	       0       10        0        0       10
36075 libobasis25.2-base                 	       0        2        0        0        2
36076 libobasis25.2-calc                 	       0        2        0        0        2
36077 libobasis25.2-core                 	       0        2        0        0        2
36078 libobasis25.2-draw                 	       0        2        0        0        2
36079 libobasis25.2-en-us                	       0        2        0        0        2
36080 libobasis25.2-extension-beanshell-script-provider	       0        2        0        0        2
36081 libobasis25.2-extension-javascript-script-provider	       0        2        0        0        2
36082 libobasis25.2-extension-mediawiki-publisher	       0        2        0        0        2
36083 libobasis25.2-extension-nlpsolver  	       0        2        0        0        2
36084 libobasis25.2-extension-pdf-import 	       0        2        0        0        2
36085 libobasis25.2-extension-report-builder	       0        2        0        0        2
36086 libobasis25.2-firebird             	       0        2        0        0        2
36087 libobasis25.2-gnome-integration    	       0        2        0        0        2
36088 libobasis25.2-graphicfilter        	       0        2        0        0        2
36089 libobasis25.2-images               	       0        2        0        0        2
36090 libobasis25.2-impress              	       0        2        0        0        2
36091 libobasis25.2-kde-integration      	       0        2        0        0        2
36092 libobasis25.2-librelogo            	       0        2        0        0        2
36093 libobasis25.2-libreofficekit-data  	       0        2        0        0        2
36094 libobasis25.2-math                 	       0        2        0        0        2
36095 libobasis25.2-ogltrans             	       0        2        0        0        2
36096 libobasis25.2-onlineupdate         	       0        2        0        0        2
36097 libobasis25.2-ooofonts             	       0        2        0        0        2
36098 libobasis25.2-ooolinguistic        	       0        2        0        0        2
36099 libobasis25.2-postgresql-sdbc      	       0        2        0        0        2
36100 libobasis25.2-python-script-provider	       0        2        0        0        2
36101 libobasis25.2-pyuno                	       0        2        0        2        0
36102 libobasis25.2-writer               	       0        2        0        0        2
36103 libobasis25.2-xsltfilter           	       0        2        0        0        2
36104 libobasis4.3-base                  	       0        1        0        0        1
36105 libobasis4.3-calc                  	       0        1        0        0        1
36106 libobasis4.3-core01                	       0        1        0        0        1
36107 libobasis4.3-core02                	       0        1        0        0        1
36108 libobasis4.3-core03                	       0        1        0        0        1
36109 libobasis4.3-core04                	       0        1        0        0        1
36110 libobasis4.3-core05                	       0        1        0        0        1
36111 libobasis4.3-core06                	       0        1        0        0        1
36112 libobasis4.3-core07                	       0        1        0        0        1
36113 libobasis4.3-draw                  	       0        1        0        0        1
36114 libobasis4.3-en-us                 	       0        1        0        0        1
36115 libobasis4.3-en-us-base            	       0        1        0        0        1
36116 libobasis4.3-en-us-calc            	       0        1        0        0        1
36117 libobasis4.3-en-us-help            	       0        1        0        0        1
36118 libobasis4.3-en-us-math            	       0        1        0        0        1
36119 libobasis4.3-en-us-res             	       0        1        0        0        1
36120 libobasis4.3-en-us-writer          	       0        1        0        0        1
36121 libobasis4.3-extension-beanshell-script-provider	       0        1        0        0        1
36122 libobasis4.3-extension-javascript-script-provider	       0        1        0        0        1
36123 libobasis4.3-extension-mediawiki-publisher	       0        1        0        0        1
36124 libobasis4.3-extension-nlpsolver   	       0        1        0        0        1
36125 libobasis4.3-extension-pdf-import  	       0        1        0        0        1
36126 libobasis4.3-extension-report-builder	       0        1        0        0        1
36127 libobasis4.3-filter-data           	       0        1        0        0        1
36128 libobasis4.3-gnome-integration     	       0        1        0        0        1
36129 libobasis4.3-graphicfilter         	       0        1        0        0        1
36130 libobasis4.3-images                	       0        1        0        0        1
36131 libobasis4.3-impress               	       0        1        0        0        1
36132 libobasis4.3-kde-integration       	       0        1        0        0        1
36133 libobasis4.3-librelogo             	       0        1        0        0        1
36134 libobasis4.3-math                  	       0        1        0        0        1
36135 libobasis4.3-ogltrans              	       0        1        0        0        1
36136 libobasis4.3-onlineupdate          	       0        1        0        0        1
36137 libobasis4.3-ooofonts              	       0        1        0        0        1
36138 libobasis4.3-ooolinguistic         	       0        1        0        0        1
36139 libobasis4.3-postgresql-sdbc       	       0        1        0        0        1
36140 libobasis4.3-python-script-provider	       0        1        0        0        1
36141 libobasis4.3-pyuno                 	       0        1        0        0        1
36142 libobasis4.3-writer                	       0        1        0        0        1
36143 libobasis4.3-xsltfilter            	       0        1        0        0        1
36144 libobasis5.0-base                  	       0        1        0        0        1
36145 libobasis5.0-calc                  	       0        1        0        0        1
36146 libobasis5.0-core                  	       0        1        0        0        1
36147 libobasis5.0-draw                  	       0        1        0        0        1
36148 libobasis5.0-en-us                 	       0        1        0        0        1
36149 libobasis5.0-en-us-base            	       0        1        0        0        1
36150 libobasis5.0-en-us-calc            	       0        1        0        0        1
36151 libobasis5.0-en-us-help            	       0        1        0        0        1
36152 libobasis5.0-en-us-math            	       0        1        0        0        1
36153 libobasis5.0-en-us-res             	       0        1        0        0        1
36154 libobasis5.0-en-us-writer          	       0        1        0        0        1
36155 libobasis5.0-extension-beanshell-script-provider	       0        1        0        0        1
36156 libobasis5.0-extension-javascript-script-provider	       0        1        0        0        1
36157 libobasis5.0-extension-mediawiki-publisher	       0        1        0        0        1
36158 libobasis5.0-extension-nlpsolver   	       0        1        0        0        1
36159 libobasis5.0-extension-pdf-import  	       0        1        0        0        1
36160 libobasis5.0-extension-report-builder	       0        1        0        0        1
36161 libobasis5.0-filter-data           	       0        1        0        0        1
36162 libobasis5.0-gnome-integration     	       0        1        0        0        1
36163 libobasis5.0-graphicfilter         	       0        1        0        0        1
36164 libobasis5.0-images                	       0        1        0        0        1
36165 libobasis5.0-impress               	       0        1        0        0        1
36166 libobasis5.0-kde-integration       	       0        1        0        0        1
36167 libobasis5.0-librelogo             	       0        1        0        0        1
36168 libobasis5.0-math                  	       0        1        0        0        1
36169 libobasis5.0-ogltrans              	       0        1        0        0        1
36170 libobasis5.0-onlineupdate          	       0        1        0        0        1
36171 libobasis5.0-ooofonts              	       0        1        0        0        1
36172 libobasis5.0-ooolinguistic         	       0        1        0        0        1
36173 libobasis5.0-postgresql-sdbc       	       0        1        0        0        1
36174 libobasis5.0-python-script-provider	       0        1        0        0        1
36175 libobasis5.0-pyuno                 	       0        1        0        0        1
36176 libobasis5.0-writer                	       0        1        0        0        1
36177 libobasis5.0-xsltfilter            	       0        1        0        0        1
36178 libobasis5.1-base                  	       0        1        0        0        1
36179 libobasis5.1-calc                  	       0        1        0        0        1
36180 libobasis5.1-core                  	       0        1        0        0        1
36181 libobasis5.1-draw                  	       0        1        0        0        1
36182 libobasis5.1-en-us                 	       0        1        0        0        1
36183 libobasis5.1-en-us-base            	       0        1        0        0        1
36184 libobasis5.1-en-us-calc            	       0        1        0        0        1
36185 libobasis5.1-en-us-help            	       0        1        0        0        1
36186 libobasis5.1-en-us-math            	       0        1        0        0        1
36187 libobasis5.1-en-us-res             	       0        1        0        0        1
36188 libobasis5.1-en-us-writer          	       0        1        0        0        1
36189 libobasis5.1-extension-beanshell-script-provider	       0        1        0        0        1
36190 libobasis5.1-extension-javascript-script-provider	       0        1        0        0        1
36191 libobasis5.1-extension-mediawiki-publisher	       0        1        0        0        1
36192 libobasis5.1-extension-nlpsolver   	       0        1        0        0        1
36193 libobasis5.1-extension-pdf-import  	       0        1        0        0        1
36194 libobasis5.1-extension-report-builder	       0        1        0        0        1
36195 libobasis5.1-filter-data           	       0        1        0        0        1
36196 libobasis5.1-gnome-integration     	       0        1        0        0        1
36197 libobasis5.1-graphicfilter         	       0        1        0        0        1
36198 libobasis5.1-images                	       0        1        0        0        1
36199 libobasis5.1-impress               	       0        1        0        0        1
36200 libobasis5.1-kde-integration       	       0        1        0        0        1
36201 libobasis5.1-librelogo             	       0        1        0        0        1
36202 libobasis5.1-math                  	       0        1        0        0        1
36203 libobasis5.1-ogltrans              	       0        1        0        0        1
36204 libobasis5.1-onlineupdate          	       0        1        0        0        1
36205 libobasis5.1-ooofonts              	       0        1        0        0        1
36206 libobasis5.1-ooolinguistic         	       0        1        0        0        1
36207 libobasis5.1-postgresql-sdbc       	       0        1        0        0        1
36208 libobasis5.1-python-script-provider	       0        1        0        0        1
36209 libobasis5.1-pyuno                 	       0        1        0        0        1
36210 libobasis5.1-writer                	       0        1        0        0        1
36211 libobasis5.1-xsltfilter            	       0        1        0        0        1
36212 libobasis5.2-base                  	       0        1        0        0        1
36213 libobasis5.2-calc                  	       0        1        0        0        1
36214 libobasis5.2-core                  	       0        1        0        0        1
36215 libobasis5.2-draw                  	       0        1        0        0        1
36216 libobasis5.2-en-us                 	       0        1        0        0        1
36217 libobasis5.2-en-us-base            	       0        1        0        0        1
36218 libobasis5.2-en-us-calc            	       0        1        0        0        1
36219 libobasis5.2-en-us-help            	       0        1        0        0        1
36220 libobasis5.2-en-us-math            	       0        1        0        0        1
36221 libobasis5.2-en-us-res             	       0        1        0        0        1
36222 libobasis5.2-en-us-writer          	       0        1        0        0        1
36223 libobasis5.2-extension-beanshell-script-provider	       0        1        0        0        1
36224 libobasis5.2-extension-javascript-script-provider	       0        1        0        0        1
36225 libobasis5.2-extension-mediawiki-publisher	       0        1        0        0        1
36226 libobasis5.2-extension-nlpsolver   	       0        1        0        0        1
36227 libobasis5.2-extension-pdf-import  	       0        1        0        0        1
36228 libobasis5.2-extension-report-builder	       0        1        0        0        1
36229 libobasis5.2-filter-data           	       0        1        0        0        1
36230 libobasis5.2-gnome-integration     	       0        1        0        0        1
36231 libobasis5.2-graphicfilter         	       0        1        0        0        1
36232 libobasis5.2-images                	       0        1        0        0        1
36233 libobasis5.2-impress               	       0        1        0        0        1
36234 libobasis5.2-kde-integration       	       0        1        0        0        1
36235 libobasis5.2-librelogo             	       0        1        0        0        1
36236 libobasis5.2-math                  	       0        1        0        0        1
36237 libobasis5.2-ogltrans              	       0        1        0        0        1
36238 libobasis5.2-onlineupdate          	       0        1        0        0        1
36239 libobasis5.2-ooofonts              	       0        1        0        0        1
36240 libobasis5.2-ooolinguistic         	       0        1        0        0        1
36241 libobasis5.2-postgresql-sdbc       	       0        1        0        0        1
36242 libobasis5.2-python-script-provider	       0        1        0        0        1
36243 libobasis5.2-pyuno                 	       0        1        0        0        1
36244 libobasis5.2-writer                	       0        1        0        0        1
36245 libobasis5.2-xsltfilter            	       0        1        0        0        1
36246 libobasis6.0-base                  	       0        2        0        0        2
36247 libobasis6.0-calc                  	       0        2        0        0        2
36248 libobasis6.0-core                  	       0        2        0        0        2
36249 libobasis6.0-draw                  	       0        2        0        0        2
36250 libobasis6.0-en-us                 	       0        2        0        0        2
36251 libobasis6.0-en-us-help            	       0        1        0        0        1
36252 libobasis6.0-extension-beanshell-script-provider	       0        2        0        0        2
36253 libobasis6.0-extension-javascript-script-provider	       0        2        0        0        2
36254 libobasis6.0-extension-mediawiki-publisher	       0        2        0        0        2
36255 libobasis6.0-extension-nlpsolver   	       0        2        0        0        2
36256 libobasis6.0-extension-pdf-import  	       0        2        0        0        2
36257 libobasis6.0-extension-report-builder	       0        2        0        0        2
36258 libobasis6.0-firebird              	       0        2        0        0        2
36259 libobasis6.0-gnome-integration     	       0        2        0        0        2
36260 libobasis6.0-graphicfilter         	       0        2        0        0        2
36261 libobasis6.0-images                	       0        2        0        0        2
36262 libobasis6.0-impress               	       0        2        0        0        2
36263 libobasis6.0-kde-integration       	       0        2        0        0        2
36264 libobasis6.0-librelogo             	       0        2        0        0        2
36265 libobasis6.0-math                  	       0        2        0        0        2
36266 libobasis6.0-ogltrans              	       0        2        0        0        2
36267 libobasis6.0-onlineupdate          	       0        2        0        0        2
36268 libobasis6.0-ooofonts              	       0        2        0        0        2
36269 libobasis6.0-ooolinguistic         	       0        2        0        0        2
36270 libobasis6.0-postgresql-sdbc       	       0        2        0        0        2
36271 libobasis6.0-python-script-provider	       0        2        0        0        2
36272 libobasis6.0-pyuno                 	       0        2        1        0        1
36273 libobasis6.0-writer                	       0        2        0        0        2
36274 libobasis6.0-xsltfilter            	       0        2        0        0        2
36275 libobasis6.2-base                  	       0        2        0        0        2
36276 libobasis6.2-calc                  	       0        2        0        0        2
36277 libobasis6.2-core                  	       0        2        0        0        2
36278 libobasis6.2-draw                  	       0        2        0        0        2
36279 libobasis6.2-en-us                 	       0        2        0        0        2
36280 libobasis6.2-en-us-help            	       0        1        0        0        1
36281 libobasis6.2-extension-beanshell-script-provider	       0        2        0        0        2
36282 libobasis6.2-extension-javascript-script-provider	       0        2        0        0        2
36283 libobasis6.2-extension-mediawiki-publisher	       0        2        0        0        2
36284 libobasis6.2-extension-nlpsolver   	       0        2        0        0        2
36285 libobasis6.2-extension-pdf-import  	       0        2        0        0        2
36286 libobasis6.2-extension-report-builder	       0        2        0        0        2
36287 libobasis6.2-firebird              	       0        2        0        0        2
36288 libobasis6.2-gnome-integration     	       0        2        0        0        2
36289 libobasis6.2-graphicfilter         	       0        2        0        0        2
36290 libobasis6.2-images                	       0        2        0        0        2
36291 libobasis6.2-impress               	       0        2        0        0        2
36292 libobasis6.2-kde-integration       	       0        2        0        0        2
36293 libobasis6.2-librelogo             	       0        2        0        0        2
36294 libobasis6.2-libreofficekit-data   	       0        2        0        0        2
36295 libobasis6.2-math                  	       0        2        0        0        2
36296 libobasis6.2-ogltrans              	       0        2        0        0        2
36297 libobasis6.2-onlineupdate          	       0        2        0        0        2
36298 libobasis6.2-ooofonts              	       0        2        0        0        2
36299 libobasis6.2-ooolinguistic         	       0        2        0        0        2
36300 libobasis6.2-postgresql-sdbc       	       0        2        0        0        2
36301 libobasis6.2-python-script-provider	       0        2        0        0        2
36302 libobasis6.2-pyuno                 	       0        2        2        0        0
36303 libobasis6.2-writer                	       0        2        0        0        2
36304 libobasis6.2-xsltfilter            	       0        2        0        0        2
36305 libobasis6.3-base                  	       0        3        0        0        3
36306 libobasis6.3-calc                  	       0        3        0        0        3
36307 libobasis6.3-core                  	       0        3        0        0        3
36308 libobasis6.3-draw                  	       0        3        0        0        3
36309 libobasis6.3-en-us                 	       0        3        0        0        3
36310 libobasis6.3-en-us-help            	       0        2        0        0        2
36311 libobasis6.3-extension-beanshell-script-provider	       0        2        0        0        2
36312 libobasis6.3-extension-javascript-script-provider	       0        2        0        0        2
36313 libobasis6.3-extension-mediawiki-publisher	       0        2        0        0        2
36314 libobasis6.3-extension-nlpsolver   	       0        2        0        0        2
36315 libobasis6.3-extension-pdf-import  	       0        3        0        0        3
36316 libobasis6.3-extension-report-builder	       0        2        0        0        2
36317 libobasis6.3-firebird              	       0        2        0        0        2
36318 libobasis6.3-gnome-integration     	       0        2        0        0        2
36319 libobasis6.3-graphicfilter         	       0        3        0        0        3
36320 libobasis6.3-images                	       0        3        0        0        3
36321 libobasis6.3-impress               	       0        3        0        0        3
36322 libobasis6.3-kde-integration       	       0        2        0        0        2
36323 libobasis6.3-librelogo             	       0        2        0        0        2
36324 libobasis6.3-libreofficekit-data   	       0        2        0        0        2
36325 libobasis6.3-math                  	       0        3        0        0        3
36326 libobasis6.3-ogltrans              	       0        3        0        0        3
36327 libobasis6.3-onlineupdate          	       0        2        0        0        2
36328 libobasis6.3-ooofonts              	       0        4        0        0        4
36329 libobasis6.3-ooolinguistic         	       0        3        0        0        3
36330 libobasis6.3-postgresql-sdbc       	       0        2        0        0        2
36331 libobasis6.3-python-script-provider	       0        2        0        0        2
36332 libobasis6.3-pyuno                 	       0        2        1        0        1
36333 libobasis6.3-writer                	       0        3        0        0        3
36334 libobasis6.3-xsltfilter            	       0        3        0        0        3
36335 libobasis6.4-base                  	       0        4        0        0        4
36336 libobasis6.4-calc                  	       0        4        0        0        4
36337 libobasis6.4-core                  	       0        4        0        0        4
36338 libobasis6.4-draw                  	       0        4        0        0        4
36339 libobasis6.4-en-us                 	       0        4        0        0        4
36340 libobasis6.4-en-us-help            	       0        1        0        0        1
36341 libobasis6.4-extension-beanshell-script-provider	       0        4        0        0        4
36342 libobasis6.4-extension-javascript-script-provider	       0        4        0        0        4
36343 libobasis6.4-extension-mediawiki-publisher	       0        4        0        0        4
36344 libobasis6.4-extension-nlpsolver   	       0        4        0        0        4
36345 libobasis6.4-extension-pdf-import  	       0        4        0        0        4
36346 libobasis6.4-extension-report-builder	       0        4        0        0        4
36347 libobasis6.4-firebird              	       0        4        0        0        4
36348 libobasis6.4-fr                    	       0        1        0        0        1
36349 libobasis6.4-gnome-integration     	       0        4        0        0        4
36350 libobasis6.4-graphicfilter         	       0        4        0        0        4
36351 libobasis6.4-images                	       0        4        0        0        4
36352 libobasis6.4-impress               	       0        4        0        0        4
36353 libobasis6.4-kde-integration       	       0        4        0        0        4
36354 libobasis6.4-librelogo             	       0        4        0        0        4
36355 libobasis6.4-libreofficekit-data   	       0        4        0        0        4
36356 libobasis6.4-math                  	       0        4        0        0        4
36357 libobasis6.4-ogltrans              	       0        4        0        0        4
36358 libobasis6.4-onlineupdate          	       0        4        0        0        4
36359 libobasis6.4-ooofonts              	       0        6        0        0        6
36360 libobasis6.4-ooolinguistic         	       0        4        0        0        4
36361 libobasis6.4-postgresql-sdbc       	       0        4        0        0        4
36362 libobasis6.4-python-script-provider	       0        4        0        0        4
36363 libobasis6.4-pyuno                 	       0        4        4        0        0
36364 libobasis6.4-ru                    	       0        1        0        0        1
36365 libobasis6.4-ru-help               	       0        1        0        0        1
36366 libobasis6.4-writer                	       0        4        0        0        4
36367 libobasis6.4-xsltfilter            	       0        4        0        0        4
36368 libobasis7.0-ooofonts              	       0        1        0        0        1
36369 libobasis7.1-base                  	       0        1        0        0        1
36370 libobasis7.1-calc                  	       0        1        0        0        1
36371 libobasis7.1-core                  	       0        1        0        0        1
36372 libobasis7.1-draw                  	       0        1        0        0        1
36373 libobasis7.1-en-us                 	       0        1        0        0        1
36374 libobasis7.1-extension-beanshell-script-provider	       0        1        0        0        1
36375 libobasis7.1-extension-javascript-script-provider	       0        1        0        0        1
36376 libobasis7.1-extension-mediawiki-publisher	       0        1        0        0        1
36377 libobasis7.1-extension-nlpsolver   	       0        1        0        0        1
36378 libobasis7.1-extension-pdf-import  	       0        1        0        0        1
36379 libobasis7.1-extension-report-builder	       0        1        0        0        1
36380 libobasis7.1-firebird              	       0        1        0        0        1
36381 libobasis7.1-gnome-integration     	       0        1        0        0        1
36382 libobasis7.1-graphicfilter         	       0        1        0        0        1
36383 libobasis7.1-images                	       0        1        0        0        1
36384 libobasis7.1-impress               	       0        1        0        0        1
36385 libobasis7.1-kde-integration       	       0        1        0        0        1
36386 libobasis7.1-librelogo             	       0        1        0        0        1
36387 libobasis7.1-libreofficekit-data   	       0        1        0        0        1
36388 libobasis7.1-math                  	       0        1        0        0        1
36389 libobasis7.1-ogltrans              	       0        1        0        0        1
36390 libobasis7.1-onlineupdate          	       0        1        0        0        1
36391 libobasis7.1-ooofonts              	       0        2        0        0        2
36392 libobasis7.1-ooolinguistic         	       0        1        0        0        1
36393 libobasis7.1-postgresql-sdbc       	       0        1        0        0        1
36394 libobasis7.1-python-script-provider	       0        1        0        0        1
36395 libobasis7.1-pyuno                 	       0        1        1        0        0
36396 libobasis7.1-writer                	       0        1        0        0        1
36397 libobasis7.1-xsltfilter            	       0        1        0        0        1
36398 libobasis7.2-base                  	       0        1        0        0        1
36399 libobasis7.2-calc                  	       0        1        0        0        1
36400 libobasis7.2-core                  	       0        1        0        0        1
36401 libobasis7.2-draw                  	       0        1        0        0        1
36402 libobasis7.2-en-us                 	       0        1        0        0        1
36403 libobasis7.2-extension-beanshell-script-provider	       0        1        0        0        1
36404 libobasis7.2-extension-javascript-script-provider	       0        1        0        0        1
36405 libobasis7.2-extension-mediawiki-publisher	       0        1        0        0        1
36406 libobasis7.2-extension-nlpsolver   	       0        1        0        0        1
36407 libobasis7.2-extension-pdf-import  	       0        1        0        0        1
36408 libobasis7.2-extension-report-builder	       0        1        0        0        1
36409 libobasis7.2-firebird              	       0        1        0        0        1
36410 libobasis7.2-gnome-integration     	       0        1        0        0        1
36411 libobasis7.2-graphicfilter         	       0        1        0        0        1
36412 libobasis7.2-images                	       0        1        0        0        1
36413 libobasis7.2-impress               	       0        1        0        0        1
36414 libobasis7.2-kde-integration       	       0        1        0        0        1
36415 libobasis7.2-librelogo             	       0        1        0        0        1
36416 libobasis7.2-libreofficekit-data   	       0        1        0        0        1
36417 libobasis7.2-math                  	       0        1        0        0        1
36418 libobasis7.2-ogltrans              	       0        1        0        0        1
36419 libobasis7.2-onlineupdate          	       0        1        0        0        1
36420 libobasis7.2-ooofonts              	       0        1        0        0        1
36421 libobasis7.2-ooolinguistic         	       0        1        0        0        1
36422 libobasis7.2-postgresql-sdbc       	       0        1        0        0        1
36423 libobasis7.2-python-script-provider	       0        1        0        0        1
36424 libobasis7.2-pyuno                 	       0        1        1        0        0
36425 libobasis7.2-writer                	       0        1        0        0        1
36426 libobasis7.2-xsltfilter            	       0        1        0        0        1
36427 libobasis7.3-base                  	       0        1        0        0        1
36428 libobasis7.3-calc                  	       0        1        0        0        1
36429 libobasis7.3-core                  	       0        1        0        0        1
36430 libobasis7.3-draw                  	       0        1        0        0        1
36431 libobasis7.3-en-us                 	       0        1        0        0        1
36432 libobasis7.3-extension-beanshell-script-provider	       0        1        0        0        1
36433 libobasis7.3-extension-javascript-script-provider	       0        1        0        0        1
36434 libobasis7.3-extension-mediawiki-publisher	       0        1        0        0        1
36435 libobasis7.3-extension-nlpsolver   	       0        1        0        0        1
36436 libobasis7.3-extension-pdf-import  	       0        1        0        0        1
36437 libobasis7.3-extension-report-builder	       0        1        0        0        1
36438 libobasis7.3-firebird              	       0        1        0        0        1
36439 libobasis7.3-gnome-integration     	       0        1        0        0        1
36440 libobasis7.3-graphicfilter         	       0        1        0        0        1
36441 libobasis7.3-images                	       0        1        0        0        1
36442 libobasis7.3-impress               	       0        1        0        0        1
36443 libobasis7.3-kde-integration       	       0        1        0        0        1
36444 libobasis7.3-librelogo             	       0        1        0        0        1
36445 libobasis7.3-libreofficekit-data   	       0        1        0        0        1
36446 libobasis7.3-math                  	       0        1        0        0        1
36447 libobasis7.3-ogltrans              	       0        1        0        0        1
36448 libobasis7.3-onlineupdate          	       0        1        0        0        1
36449 libobasis7.3-ooofonts              	       0        2        0        0        2
36450 libobasis7.3-ooolinguistic         	       0        1        0        0        1
36451 libobasis7.3-postgresql-sdbc       	       0        1        0        0        1
36452 libobasis7.3-python-script-provider	       0        1        0        0        1
36453 libobasis7.3-pyuno                 	       0        1        1        0        0
36454 libobasis7.3-ru                    	       0        1        0        0        1
36455 libobasis7.3-ru-help               	       0        1        0        0        1
36456 libobasis7.3-writer                	       0        1        0        0        1
36457 libobasis7.3-xsltfilter            	       0        1        0        0        1
36458 libobasis7.4-base                  	       0        1        0        0        1
36459 libobasis7.4-calc                  	       0       11        0        0       11
36460 libobasis7.4-core                  	       0       11        0        0       11
36461 libobasis7.4-draw                  	       0       11        0        0       11
36462 libobasis7.4-en-us                 	       0        1        0        0        1
36463 libobasis7.4-en-us-help            	       0        1        0        0        1
36464 libobasis7.4-extension-beanshell-script-provider	       0        1        0        0        1
36465 libobasis7.4-extension-javascript-script-provider	       0        1        0        0        1
36466 libobasis7.4-extension-mediawiki-publisher	       0        1        0        0        1
36467 libobasis7.4-extension-nlpsolver   	       0        1        0        0        1
36468 libobasis7.4-extension-pdf-import  	       0        1        0        0        1
36469 libobasis7.4-extension-report-builder	       0        1        0        0        1
36470 libobasis7.4-firebird              	       0        1        0        0        1
36471 libobasis7.4-gnome-integration     	       0       11        0        0       11
36472 libobasis7.4-graphicfilter         	       0        1        0        0        1
36473 libobasis7.4-images                	       0       11        0        0       11
36474 libobasis7.4-impress               	       0       11        0        0       11
36475 libobasis7.4-it                    	       0       10        0        0       10
36476 libobasis7.4-kde-integration       	       0        1        0        0        1
36477 libobasis7.4-librelogo             	       0        1        0        0        1
36478 libobasis7.4-libreofficekit-data   	       0        1        0        0        1
36479 libobasis7.4-math                  	       0        1        0        0        1
36480 libobasis7.4-ogltrans              	       0        1        0        0        1
36481 libobasis7.4-onlineupdate          	       0        1        0        0        1
36482 libobasis7.4-ooofonts              	       0       13        0        0       13
36483 libobasis7.4-ooolinguistic         	       0       11        0        0       11
36484 libobasis7.4-postgresql-sdbc       	       0        1        0        0        1
36485 libobasis7.4-python-script-provider	       0        1        0        0        1
36486 libobasis7.4-pyuno                 	       0        1        1        0        0
36487 libobasis7.4-writer                	       0       11        0        0       11
36488 libobasis7.4-xsltfilter            	       0        1        0        0        1
36489 libobasis7.5-base                  	       0        5        0        0        5
36490 libobasis7.5-calc                  	       0        5        0        0        5
36491 libobasis7.5-core                  	       0        5        0        0        5
36492 libobasis7.5-draw                  	       0        5        0        0        5
36493 libobasis7.5-en-us                 	       0        5        0        0        5
36494 libobasis7.5-en-us-help            	       0        2        0        0        2
36495 libobasis7.5-extension-beanshell-script-provider	       0        5        0        0        5
36496 libobasis7.5-extension-javascript-script-provider	       0        5        0        0        5
36497 libobasis7.5-extension-mediawiki-publisher	       0        5        0        0        5
36498 libobasis7.5-extension-nlpsolver   	       0        5        0        0        5
36499 libobasis7.5-extension-pdf-import  	       0        5        0        0        5
36500 libobasis7.5-extension-report-builder	       0        5        0        0        5
36501 libobasis7.5-firebird              	       0        5        0        0        5
36502 libobasis7.5-gnome-integration     	       0        5        0        0        5
36503 libobasis7.5-graphicfilter         	       0        5        0        0        5
36504 libobasis7.5-images                	       0        5        0        0        5
36505 libobasis7.5-impress               	       0        5        0        0        5
36506 libobasis7.5-kde-integration       	       0        5        0        0        5
36507 libobasis7.5-librelogo             	       0        5        0        0        5
36508 libobasis7.5-libreofficekit-data   	       0        5        0        0        5
36509 libobasis7.5-math                  	       0        5        0        0        5
36510 libobasis7.5-nl                    	       0        1        0        0        1
36511 libobasis7.5-nl-help               	       0        1        0        0        1
36512 libobasis7.5-ogltrans              	       0        5        0        0        5
36513 libobasis7.5-onlineupdate          	       0        5        0        0        5
36514 libobasis7.5-ooofonts              	       0        6        0        0        6
36515 libobasis7.5-ooolinguistic         	       0        5        0        0        5
36516 libobasis7.5-postgresql-sdbc       	       0        5        0        0        5
36517 libobasis7.5-python-script-provider	       0        5        0        0        5
36518 libobasis7.5-writer                	       0        5        0        0        5
36519 libobasis7.5-xsltfilter            	       0        5        0        0        5
36520 libobasis7.6-base                  	       0        4        0        0        4
36521 libobasis7.6-calc                  	       0        4        0        0        4
36522 libobasis7.6-core                  	       0        4        0        0        4
36523 libobasis7.6-draw                  	       0        4        0        0        4
36524 libobasis7.6-en-us                 	       0        4        0        0        4
36525 libobasis7.6-extension-beanshell-script-provider	       0        4        0        0        4
36526 libobasis7.6-extension-javascript-script-provider	       0        4        0        0        4
36527 libobasis7.6-extension-mediawiki-publisher	       0        4        0        0        4
36528 libobasis7.6-extension-nlpsolver   	       0        4        0        0        4
36529 libobasis7.6-extension-pdf-import  	       0        4        0        0        4
36530 libobasis7.6-extension-report-builder	       0        4        0        0        4
36531 libobasis7.6-firebird              	       0        4        0        0        4
36532 libobasis7.6-gnome-integration     	       0        4        0        0        4
36533 libobasis7.6-graphicfilter         	       0        4        0        0        4
36534 libobasis7.6-images                	       0        4        0        0        4
36535 libobasis7.6-impress               	       0        4        0        0        4
36536 libobasis7.6-kde-integration       	       0        4        0        0        4
36537 libobasis7.6-librelogo             	       0        4        0        0        4
36538 libobasis7.6-libreofficekit-data   	       0        4        0        0        4
36539 libobasis7.6-math                  	       0        4        0        0        4
36540 libobasis7.6-ogltrans              	       0        4        0        0        4
36541 libobasis7.6-onlineupdate          	       0        4        0        0        4
36542 libobasis7.6-ooofonts              	       0        5        0        0        5
36543 libobasis7.6-ooolinguistic         	       0        4        0        0        4
36544 libobasis7.6-postgresql-sdbc       	       0        4        0        0        4
36545 libobasis7.6-python-script-provider	       0        4        0        0        4
36546 libobasis7.6-pyuno                 	       0        4        4        0        0
36547 libobasis7.6-writer                	       0        4        0        0        4
36548 libobasis7.6-xsltfilter            	       0        4        0        0        4
36549 libobexftp-perl                    	       0        1        0        0        1
36550 libobexftp0                        	       0       17        0        0       17
36551 libobexftp0t64                     	       0        2        0        0        2
36552 libobjc-10-dev                     	       0      124        0        0      124
36553 libobjc-11-dev                     	       0        8        0        0        8
36554 libobjc-12-dev                     	       0      260        0        0      260
36555 libobjc-12-dev-armel-cross         	       0        1        0        0        1
36556 libobjc-12-dev-armhf-cross         	       0        1        0        0        1
36557 libobjc-13-dev                     	       0       40        0        0       40
36558 libobjc-14-dev                     	       0       36        0        0       36
36559 libobjc-4.9-dev                    	       0       13        0        0       13
36560 libobjc-5-dev                      	       0        1        0        0        1
36561 libobjc-6-dev                      	       0       17        0        0       17
36562 libobjc-8-dev                      	       0       21        0        0       21
36563 libobjc-9-dev                      	       0        3        0        0        3
36564 libobjc2                           	       0        1        0        0        1
36565 libobjc4-armel-cross               	       0        1        0        0        1
36566 libobjc4-armhf-cross               	       0        1        0        0        1
36567 libobjc4-dbg                       	       0        1        1        0        0
36568 libobjc4-dbgsym                    	       0        1        1        0        0
36569 libobject-accessor-perl            	       0       55       53        2        0
36570 libobject-event-perl               	       0        7        7        0        0
36571 libobject-id-perl                  	       0       40       40        0        0
36572 libobject-multitype-perl           	       0        1        1        0        0
36573 libobject-pad-perl                 	       0      152        0        0      152
36574 libobject-signature-perl           	       0        2        2        0        0
36575 libobjenesis-java                  	       0       62        0        0       62
36576 libobjenesis-java-doc              	       0        1        0        0        1
36577 libobrender27                      	       0        1        0        0        1
36578 libobrender29                      	       0        4        0        0        4
36579 libobs-dev                         	       0       10       10        0        0
36580 libobt0                            	       0        1        0        0        1
36581 libobt2                            	       0        4        0        0        4
36582 libocaml-compiler-libs-ocaml-dev   	       0        6        6        0        0
36583 libocamlnet-ocaml                  	       0        1        1        0        0
36584 libocamlnet-ocaml-doc              	       0        1        0        0        1
36585 libocas-dev                        	       0        2        2        0        0
36586 libocas0                           	       0        2        0        0        2
36587 libocct-data-exchange-7.3          	       0        4        0        0        4
36588 libocct-data-exchange-7.5          	       0       37        1        0       36
36589 libocct-data-exchange-7.6t64       	       0        1        0        0        1
36590 libocct-data-exchange-7.8          	       0       21        0        0       21
36591 libocct-data-exchange-dev          	       0        8        8        0        0
36592 libocct-doc                        	       0        2        0        0        2
36593 libocct-draw-7.5                   	       0        1        0        0        1
36594 libocct-draw-7.6                   	       0      139        0        0      139
36595 libocct-draw-7.6t64                	       0        1        0        0        1
36596 libocct-draw-7.8                   	       0       21        0        0       21
36597 libocct-draw-dev                   	       0        6        1        0        5
36598 libocct-foundation-7.3             	       0        4        0        0        4
36599 libocct-foundation-7.5             	       0       37        1        0       36
36600 libocct-foundation-7.6t64          	       0        1        0        0        1
36601 libocct-foundation-7.8             	       0       22        0        0       22
36602 libocct-foundation-dev             	       0        8        8        0        0
36603 libocct-modeling-algorithms-7.3    	       0        4        0        0        4
36604 libocct-modeling-algorithms-7.5    	       0       37        1        0       36
36605 libocct-modeling-algorithms-7.6t64 	       0        1        0        0        1
36606 libocct-modeling-algorithms-7.8    	       0       22        0        0       22
36607 libocct-modeling-algorithms-dev    	       0        8        1        0        7
36608 libocct-modeling-data-7.3          	       0        4        0        0        4
36609 libocct-modeling-data-7.5          	       0       37        1        0       36
36610 libocct-modeling-data-7.6t64       	       0        1        0        0        1
36611 libocct-modeling-data-7.8          	       0       22        0        0       22
36612 libocct-modeling-data-dev          	       0        8        1        0        7
36613 libocct-ocaf-7.3                   	       0        4        0        0        4
36614 libocct-ocaf-7.5                   	       0       37        1        0       36
36615 libocct-ocaf-7.6t64                	       0        1        0        0        1
36616 libocct-ocaf-7.8                   	       0       21        0        0       21
36617 libocct-ocaf-dev                   	       0        8        1        0        7
36618 libocct-visualization-7.3          	       0        4        0        0        4
36619 libocct-visualization-7.5          	       0       37        1        0       36
36620 libocct-visualization-7.6t64       	       0        1        0        0        1
36621 libocct-visualization-7.8          	       0       21        0        0       21
36622 libocct-visualization-dev          	       0        8        8        0        0
36623 liboce-foundation-dev              	       0        4        4        0        0
36624 liboce-foundation10                	       0       10        0        0       10
36625 liboce-foundation11                	       0       23        0        0       23
36626 liboce-foundation2                 	       0        1        0        0        1
36627 liboce-foundation8                 	       0        3        0        0        3
36628 liboce-modeling-dev                	       0        4        4        0        0
36629 liboce-modeling10                  	       0       10        0        0       10
36630 liboce-modeling11                  	       0       23        0        0       23
36631 liboce-modeling2                   	       0        1        0        0        1
36632 liboce-modeling8                   	       0        3        0        0        3
36633 liboce-ocaf-dev                    	       0        3        0        0        3
36634 liboce-ocaf-lite-dev               	       0        3        0        0        3
36635 liboce-ocaf-lite10                 	       0       10        0        0       10
36636 liboce-ocaf-lite11                 	       0       22        0        0       22
36637 liboce-ocaf-lite8                  	       0        2        0        0        2
36638 liboce-ocaf10                      	       0       10        0        0       10
36639 liboce-ocaf11                      	       0       22        0        0       22
36640 liboce-ocaf8                       	       0        2        0        0        2
36641 liboce-visualization-dev           	       0        3        3        0        0
36642 liboce-visualization10             	       0       10        0        0       10
36643 liboce-visualization11             	       0       22        0        0       22
36644 liboce-visualization8              	       0        2        0        0        2
36645 liboclgrind-16.10                  	       0        1        0        0        1
36646 liboclgrind-19.10                  	       0        1        0        0        1
36647 liboclgrind-dev                    	       0        1        1        0        0
36648 libocplib-endian-ocaml             	       0        4        3        0        1
36649 libocplib-endian-ocaml-dev         	       0        3        3        0        0
36650 libocrad-dev                       	       0        2        2        0        0
36651 liboctave3v5                       	       0        1        0        0        1
36652 liboctave6                         	       0        4        0        0        4
36653 liboctave7                         	       0        1        0        0        1
36654 liboctave8                         	       0       15        0        0       15
36655 liboctomap-dev                     	       0        2        2        0        0
36656 liboctomap1.9                      	       0        2        0        0        2
36657 liboctovis-dev                     	       0        1        1        0        0
36658 liboctovis1.9                      	       0        2        0        0        2
36659 libodbc-ruby1.9.1                  	       0        1        0        0        1
36660 libodbccr2                         	       0      203        0        0      203
36661 libodbcinstq4-1                    	       0        1        0        0        1
36662 libodc-0d                          	       0        6        0        0        6
36663 libodc-dev                         	       0        2        2        0        0
36664 libode-dev                         	       0        5        5        0        0
36665 libode0-dev                        	       0        1        1        0        0
36666 libode0debian1                     	       0        1        0        0        1
36667 libode1                            	       0        1        0        0        1
36668 libode1sp                          	       0        2        0        0        2
36669 libode6                            	       0        3        0        0        3
36670 libode8                            	       0       37        0        0       37
36671 libode8t64                         	       0        2        0        0        2
36672 libodfdom-java                     	       0        2        0        0        2
36673 libodfgen-0.0-0                    	       0        1        0        0        1
36674 libodil0                           	       0        2        0        0        2
36675 libodin-dev                        	       0        3        3        0        0
36676 libodsstream0                      	       0        1        0        0        1
36677 libofa0                            	       0      712        2        0      710
36678 libofa0-dev                        	       0        1        1        0        0
36679 libofficebean-java                 	       0        8        8        0        0
36680 libofx-dev                         	       0        4        4        0        0
36681 libofx-doc                         	       0        1        0        0        1
36682 libofx6                            	       0        7        0        0        7
36683 libofx7t64                         	       0        7        1        0        6
36684 libogdf-tulip-5.4-0                	       0        1        0        0        1
36685 libogdi-dev                        	       0       33       32        1        0
36686 libogdi3.2                         	       0       69       69        0        0
36687 libogdi3.2-dev                     	       0        4        4        0        0
36688 libogg-ocaml                       	       0        5        4        0        1
36689 libogg-ocaml-dev                   	       0        4        4        0        0
36690 libogg-vorbis-decoder-perl         	       0        2        0        0        2
36691 libogg-vorbis-header-pureperl-perl 	       0       19       19        0        0
36692 liboggflac3                        	       0        2        0        0        2
36693 liboggkate1                        	       0       22        0        0       22
36694 liboggz2                           	       0       35        0        0       35
36695 liboggz2-dev                       	       0        3        3        0        0
36696 liboglappth2                       	       0        3        0        0        3
36697 libogmrip-dev                      	       0        1        1        0        0
36698 libogmrip1                         	       0       14        0        0       14
36699 libogre-1.12-dev                   	       0        1        1        0        0
36700 libogre-1.8-dev                    	       0        1        1        0        0
36701 libogre-1.8.0                      	       0        1        0        0        1
36702 libogre-1.9-dev                    	       0        2        2        0        0
36703 libogre-1.9.0                      	       0        1        0        0        1
36704 libogre-1.9.0v5                    	       0        8        0        0        8
36705 libogre-1.9.0v5-dbg                	       0        1        1        0        0
36706 libogre1.12.10                     	       0        9        9        0        0
36707 liboidc-agent4                     	       0        1        0        0        1
36708 liboil0.3                          	       0        6        6        0        0
36709 liboil0.3-dev                      	       0        1        1        0        0
36710 libois-1.3.0                       	       0        1        0        0        1
36711 libois-1.3.0v5                     	       0        8        0        0        8
36712 libois-dev                         	       0        3        3        0        0
36713 libois-perl                        	       0        2        0        0        2
36714 libokhttp-java                     	       0       22        0        0       22
36715 libokhttp-signpost-java            	       0        2        0        0        2
36716 libokio-java                       	       0       22        0        0       22
36717 libokteta-l10n                     	       0       99        1        0       98
36718 libokteta1core1                    	       0        1        0        0        1
36719 libokteta1gui1                     	       0        1        0        0        1
36720 libokteta2core2                    	       0        6        0        0        6
36721 libokteta2gui2                     	       0        6        0        0        6
36722 libokteta3core0                    	       0       99        2        0       97
36723 libokteta3gui0                     	       0       99        2        0       97
36724 libokular-csp5core9                	       0        1        0        0        1
36725 libokular-ruby1.8                  	       0        1        0        0        1
36726 libokular5core11                   	       0       40        2        0       38
36727 libokular5core8                    	       0       34        0        0       34
36728 libokular5core9                    	       0      113        4        0      109
36729 libokularcore5                     	       0        3        1        0        2
36730 libokularcore7                     	       0       13        0        0       13
36731 libola1                            	       0        4        3        0        1
36732 libole-ruby1.9.1                   	       0        1        0        0        1
36733 libolecf1                          	       0       15        0        0       15
36734 libolm-dev                         	       0        6        6        0        0
36735 libomc                             	       0        1        0        0        1
36736 libomcsimulation                   	       0        1        1        0        0
36737 libomemo-c0                        	       0        2        1        0        1
36738 libomniorb4-2                      	       0        4        0        0        4
36739 libomniorb4-dev                    	       0        1        1        0        0
36740 libomnithread4                     	       0        4        0        0        4
36741 libomnithread4-dev                 	       0        1        1        0        0
36742 libomp-10-dev                      	       0        4        4        0        0
36743 libomp-11-doc                      	       0        1        0        0        1
36744 libomp-14-dev                      	       0        4        4        0        0
36745 libomp-15-dev                      	       0        1        1        0        0
36746 libomp-18-doc                      	       0        1        0        0        1
36747 libomp-19-dev                      	       0        1        1        0        0
36748 libomp-7-dev                       	       0       17       17        0        0
36749 libomp-8-dev                       	       0        1        1        0        0
36750 libomp-dev                         	       0       10        0        0       10
36751 libomp5                            	       0        2        0        0        2
36752 libomp5-10                         	       0        4        4        0        0
36753 libomp5-13                         	       0        1        1        0        0
36754 libomp5-14                         	       0        5        5        0        0
36755 libomp5-15                         	       0        1        1        0        0
36756 libomp5-19                         	       0        2        2        0        0
36757 libomp5-7                          	       0       17       17        0        0
36758 libomp5-8                          	       0        2        2        0        0
36759 libompl-dev                        	       0        1        1        0        0
36760 libompl16                          	       0        1        0        0        1
36761 libomplot                          	       0        1        0        0        1
36762 libomsensplugin                    	       0        1        0        0        1
36763 libomsimulator                     	       0        1        1        0        0
36764 libomxil-bellagio-bin              	       0       22       21        1        0
36765 libomxil-bellagio-dev              	       0       17       17        0        0
36766 libomxil-bellagio0                 	       0       35        0        0       35
36767 libomxil-bellagio0-components-vorbis	       0        1        0        0        1
36768 libonig-dev                        	       0       11       11        0        0
36769 libonig4                           	       0       16        0        0       16
36770 libonnx-dev                        	       0        1        1        0        0
36771 libonnx1                           	       0       13        0        0       13
36772 libonnx1t64                        	       0       95        0        0       95
36773 libonnxruntime1.19.2               	       0       89        0        0       89
36774 libonnxruntime1.20.1               	       0        5        0        0        5
36775 libonvif1                          	       0        7        0        0        7
36776 liboobs-1-4                        	       0        2        0        0        2
36777 liboobs-1-5                        	       0      248        1        0      247
36778 liboofem2                          	       0        1        0        0        1
36779 libooolib-perl                     	       0        1        1        0        0
36780 liboop-doc                         	       0        2        0        0        2
36781 liboop4t64                         	       0        1        0        0        1
36782 libooptools-dev                    	       0        1        1        0        0
36783 libopal3.10.10                     	       0        8        8        0        0
36784 libopal3.10.4                      	       0        1        1        0        0
36785 libopal3.6.8                       	       0        1        1        0        0
36786 libopen-trace-format1              	       0        2        0        0        2
36787 libopen4-ruby1.9.1                 	       0        1        0        0        1
36788 libopenal-data                     	       0     3213        0        0     3213
36789 libopenal-dev                      	       0      120      119        1        0
36790 libopenal0a                        	       0        1        0        0        1
36791 libopenaptx-dev                    	       0        1        1        0        0
36792 libopenaptx0                       	       0       56        1        0       55
36793 libopenbabel-dev                   	       0        2        2        0        0
36794 libopenbabel-doc                   	       0        1        0        0        1
36795 libopenbabel4v5                    	       0        3        3        0        0
36796 libopenbabel5                      	       0        4        4        0        0
36797 libopenbabel7                      	       0       59        0        0       59
36798 libopenblas-base                   	       0       14        2        0       12
36799 libopenblas-dev                    	       0      375        2        0      373
36800 libopenblas0                       	       0      408        0        0      408
36801 libopenblas0-serial                	       0        1        0        0        1
36802 libopenblas64-0                    	       0        1        0        0        1
36803 libopenblas64-0-pthread            	       0        1        0        0        1
36804 libopenblas64-dev                  	       0        1        0        0        1
36805 libopenblas64-pthread-dev          	       0        1        1        0        0
36806 libopencc-data                     	       0       42        0        0       42
36807 libopencc1.1                       	       0       41        5        0       36
36808 libopencc2                         	       0        2        0        0        2
36809 libopencc2-data                    	       0        2        0        0        2
36810 libopencdk8                        	       0        4        0        0        4
36811 libopencdk8-dev                    	       0        1        1        0        0
36812 libopencensus-java                 	       0        4        0        0        4
36813 libopencl-clang-15-dev             	       0        1        1        0        0
36814 libopencl-clang-dev                	       0        1        1        0        0
36815 libopencl-clang11                  	       0        3        0        0        3
36816 libopencl-clang14                  	       0       14        0        0       14
36817 libopencl-clang15                  	       0        1        0        0        1
36818 libopencolorio-dev                 	       0        6        6        0        0
36819 libopencolorio1                    	       0        1        0        0        1
36820 libopencolorio1v5                  	       0       78        0        0       78
36821 libopencolorio2.1                  	       0      199        1        0      198
36822 libopencolorio2.1t64               	       0       12        0        0       12
36823 libopenconnect-dev                 	       0        3        3        0        0
36824 libopenconnect1                    	       0        1        0        0        1
36825 libopenconnect3                    	       0        1        0        0        1
36826 libopencore-amrnb-dev              	       0       23       23        0        0
36827 libopencore-amrwb-dev              	       0       23       23        0        0
36828 libopencryptoki-dev                	       0        1        1        0        0
36829 libopencryptoki0                   	       0        6        1        0        5
36830 libopencsd0                        	       0       19        0        0       19
36831 libopencsd1                        	       0       58        0        0       58
36832 libopencsg-dev                     	       0        5        5        0        0
36833 libopencsg1                        	       0       80        3        0       77
36834 libopenct1                         	       0        2        0        0        2
36835 libopenct1-dev                     	       0        1        1        0        0
36836 libopenctm-dev                     	       0        1        1        0        0
36837 libopenctm1                        	       0       31        0        0       31
36838 libopencv-apps-dev                 	       0        1        1        0        0
36839 libopencv-apps2d                   	       0        2        0        0        2
36840 libopencv-calib3d-dev              	       0       44       43        0        1
36841 libopencv-calib3d2.4               	       0        2        0        0        2
36842 libopencv-calib3d2.4v5             	       0       47        0        0       47
36843 libopencv-calib3d3.2               	       0       16        0        0       16
36844 libopencv-calib3d4.5               	       0       15        0        0       15
36845 libopencv-calib3d406               	       0      211        1        0      210
36846 libopencv-calib3d406t64            	       0       38        0        1       37
36847 libopencv-calib3d410               	       0        1        0        0        1
36848 libopencv-contrib-dev              	       0       43        0        0       43
36849 libopencv-contrib2.4               	       0        2        0        0        2
36850 libopencv-contrib2.4v5             	       0       16        0        0       16
36851 libopencv-contrib3.2               	       0       16        0        0       16
36852 libopencv-contrib4.5               	       0       14        0        0       14
36853 libopencv-contrib406               	       0      178        1        0      177
36854 libopencv-contrib406t64            	       0       30        0        1       29
36855 libopencv-contrib410               	       0        1        0        0        1
36856 libopencv-core-dev                 	       0       48       48        0        0
36857 libopencv-core2.3                  	       0       11        0        0       11
36858 libopencv-core2.4                  	       0       10        0        0       10
36859 libopencv-core2.4v5                	       0       99        0        0       99
36860 libopencv-core3.2                  	       0       40        0        0       40
36861 libopencv-core4.1                  	       0        1        0        0        1
36862 libopencv-core4.2                  	       0        1        0        0        1
36863 libopencv-core4.5                  	       0       61        0        0       61
36864 libopencv-core4.5d                 	       0        1        0        0        1
36865 libopencv-core406                  	       0      362        5        0      357
36866 libopencv-core406t64               	       0       56        0        1       55
36867 libopencv-core410                  	       0        2        0        0        2
36868 libopencv-dev                      	       0       43       43        0        0
36869 libopencv-dnn-dev                  	       0       43        0        0       43
36870 libopencv-dnn4.5                   	       0       21        0        0       21
36871 libopencv-dnn406                   	       0      236        2        0      234
36872 libopencv-dnn406t64                	       0       37        0        1       36
36873 libopencv-dnn410                   	       0        1        0        0        1
36874 libopencv-features2d-dev           	       0       44       43        0        1
36875 libopencv-features2d2.4            	       0        2        0        0        2
36876 libopencv-features2d2.4v5          	       0       47        0        0       47
36877 libopencv-features2d3.2            	       0       16        0        0       16
36878 libopencv-features2d4.5            	       0       15        0        0       15
36879 libopencv-features2d406            	       0      211        1        0      210
36880 libopencv-features2d406t64         	       0       38        0        1       37
36881 libopencv-features2d410            	       0        1        0        0        1
36882 libopencv-flann-dev                	       0       46       46        0        0
36883 libopencv-flann2.4                 	       0        2        0        0        2
36884 libopencv-flann2.4v5               	       0       48        0        0       48
36885 libopencv-flann3.2                 	       0       17        0        0       17
36886 libopencv-flann4.5                 	       0       15        0        0       15
36887 libopencv-flann406                 	       0      211        1        0      210
36888 libopencv-flann406t64              	       0       38        0        1       37
36889 libopencv-flann410                 	       0        1        0        0        1
36890 libopencv-gpu-dev                  	       0        1        0        0        1
36891 libopencv-gpu2.3                   	       0        1        0        0        1
36892 libopencv-gpu2.4v5                 	       0       12        0        0       12
36893 libopencv-highgui-dev              	       0       44       44        0        0
36894 libopencv-highgui2.4               	       0        2        0        0        2
36895 libopencv-highgui2.4-deb0          	       0       49        0        0       49
36896 libopencv-highgui3.2               	       0       18        0        0       18
36897 libopencv-highgui4.5               	       0       14        0        0       14
36898 libopencv-highgui406               	       0      179        0        0      179
36899 libopencv-highgui406t64            	       0       30        0        0       30
36900 libopencv-highgui410               	       0        1        0        0        1
36901 libopencv-imgcodecs-dev            	       0       43       43        0        0
36902 libopencv-imgcodecs3.2             	       0       32        0        0       32
36903 libopencv-imgcodecs4.1             	       0        1        0        0        1
36904 libopencv-imgcodecs4.5             	       0       60        0        0       60
36905 libopencv-imgcodecs406             	       0      343        3        0      340
36906 libopencv-imgcodecs406t64          	       0       42        0        0       42
36907 libopencv-imgcodecs410             	       0        1        0        0        1
36908 libopencv-imgproc-dev              	       0       47       47        0        0
36909 libopencv-imgproc2.3               	       0        5        0        0        5
36910 libopencv-imgproc2.4               	       0       10        0        0       10
36911 libopencv-imgproc2.4v5             	       0       98        0        0       98
36912 libopencv-imgproc3.2               	       0       40        0        0       40
36913 libopencv-imgproc4.1               	       0        1        0        0        1
36914 libopencv-imgproc4.2               	       0        1        0        0        1
36915 libopencv-imgproc4.5               	       0       61        0        0       61
36916 libopencv-imgproc4.5d              	       0        1        0        0        1
36917 libopencv-imgproc406               	       0      362        5        0      357
36918 libopencv-imgproc406t64            	       0       56        0        1       55
36919 libopencv-imgproc410               	       0        2        0        0        2
36920 libopencv-java                     	       0       28        0        0       28
36921 libopencv-legacy-dev               	       0        1        0        0        1
36922 libopencv-legacy2.4                	       0        2        0        0        2
36923 libopencv-legacy2.4v5              	       0       13        0        0       13
36924 libopencv-ml-dev                   	       0       46        0        0       46
36925 libopencv-ml2.4                    	       0        2        0        0        2
36926 libopencv-ml2.4v5                  	       0       16        0        0       16
36927 libopencv-ml3.2                    	       0       19        0        0       19
36928 libopencv-ml4.5                    	       0       14        0        0       14
36929 libopencv-ml406                    	       0      207        1        0      206
36930 libopencv-ml406t64                 	       0       34        0        0       34
36931 libopencv-ml410                    	       0        1        0        0        1
36932 libopencv-objdetect-dev            	       0       43        0        0       43
36933 libopencv-objdetect2.4             	       0        2        0        0        2
36934 libopencv-objdetect2.4v5           	       0       47        0        0       47
36935 libopencv-objdetect3.2             	       0       18        0        0       18
36936 libopencv-objdetect4.5             	       0       15        0        0       15
36937 libopencv-objdetect406             	       0      210        1        0      209
36938 libopencv-objdetect406t64          	       0       37        0        1       36
36939 libopencv-objdetect410             	       0        1        0        0        1
36940 libopencv-ocl-dev                  	       0        1        0        0        1
36941 libopencv-ocl2.4v5                 	       0       12        0        0       12
36942 libopencv-photo-dev                	       0       46       46        0        0
36943 libopencv-photo2.4                 	       0        2        0        0        2
36944 libopencv-photo2.4v5               	       0       13        0        0       13
36945 libopencv-photo3.2                 	       0       17        0        0       17
36946 libopencv-photo4.5                 	       0       13        0        0       13
36947 libopencv-photo406                 	       0       67        0        0       67
36948 libopencv-photo406t64              	       0       13        0        0       13
36949 libopencv-shape-dev                	       0       43        0        0       43
36950 libopencv-shape3.2                 	       0       17        0        0       17
36951 libopencv-shape4.5                 	       0       13        0        0       13
36952 libopencv-shape406                 	       0       67        0        0       67
36953 libopencv-shape406t64              	       0       12        0        0       12
36954 libopencv-stitching-dev            	       0       43        0        0       43
36955 libopencv-stitching2.4v5           	       0       12        0        0       12
36956 libopencv-stitching3.2             	       0       16        0        0       16
36957 libopencv-stitching4.5             	       0       13        0        0       13
36958 libopencv-stitching406             	       0       66        0        0       66
36959 libopencv-stitching406t64          	       0       12        0        0       12
36960 libopencv-superres-dev             	       0       43        0        0       43
36961 libopencv-superres2.4v5            	       0       12        0        0       12
36962 libopencv-superres3.2              	       0       16        0        0       16
36963 libopencv-superres4.5              	       0        5        0        0        5
36964 libopencv-superres406              	       0       31        0        0       31
36965 libopencv-superres406t64           	       0        7        0        0        7
36966 libopencv-ts-dev                   	       0        1        1        0        0
36967 libopencv-ts2.4v5                  	       0       12        0        0       12
36968 libopencv-video-dev                	       0       46       45        0        1
36969 libopencv-video2.4                 	       0        2        0        0        2
36970 libopencv-video2.4v5               	       0       48        0        0       48
36971 libopencv-video3.2                 	       0       18        0        0       18
36972 libopencv-video4.5                 	       0       14        0        0       14
36973 libopencv-video406                 	       0      209        1        0      208
36974 libopencv-video406t64              	       0       34        0        1       33
36975 libopencv-video410                 	       0        1        0        0        1
36976 libopencv-videoio-dev              	       0       43       43        0        0
36977 libopencv-videoio3.2               	       0       30        0        0       30
36978 libopencv-videoio4.5               	       0       53        0        0       53
36979 libopencv-videoio406               	       0      255        1        0      254
36980 libopencv-videoio406t64            	       0       30        0        0       30
36981 libopencv-videostab-dev            	       0       44        0        0       44
36982 libopencv-videostab2.4v5           	       0       12        0        0       12
36983 libopencv-videostab3.2             	       0       16        0        0       16
36984 libopencv-videostab4.5             	       0        5        0        0        5
36985 libopencv-videostab406             	       0       30        0        0       30
36986 libopencv-videostab406t64          	       0        8        0        0        8
36987 libopencv-viz-dev                  	       0       42        0        0       42
36988 libopencv-viz3.2                   	       0       16        0        0       16
36989 libopencv-viz4.5                   	       0        5        0        0        5
36990 libopencv-viz406                   	       0       66        0        0       66
36991 libopencv-viz406t64                	       0       11        0        0       11
36992 libopencv2.4-java                  	       0        1        0        0        1
36993 libopencv2.4-jni                   	       0        2        2        0        0
36994 libopencv4.5-java                  	       0        5        0        0        5
36995 libopencv4.5-jni                   	       0        5        5        0        0
36996 libopencv406-jni                   	       0       28       28        0        0
36997 libopendbx1-dev                    	       0        3        3        0        0
36998 libopendbx1-mysql                  	       0        4        4        0        0
36999 libopendbx1-pgsql                  	       0        2        2        0        0
37000 libopendbx1-sqlite3                	       0       34       33        1        0
37001 libopendbx1t64                     	       0        3        3        0        0
37002 libopendht-c-dev                   	       0        1        1        0        0
37003 libopendht-c3t64                   	       0        1        0        0        1
37004 libopendht-dev                     	       0        1        1        0        0
37005 libopendht2                        	       0       12        0        0       12
37006 libopendht3t64                     	       0        3        0        0        3
37007 libopendkim-dev                    	       0        1        1        0        0
37008 libopendmarc2t64                   	       0        1        1        0        0
37009 libopenems0                        	       0        6        0        0        6
37010 libopenexr22                       	       0      126        0        0      126
37011 libopenexr24                       	       0        2        0        0        2
37012 libopenexr2c2a                     	       0        1        0        0        1
37013 libopenexr6                        	       0       45        1        0       44
37014 libopenfec-dev                     	       0        1        1        0        0
37015 libopenfec1                        	       0      110        0        0      110
37016 libopenfoam                        	       0        2        2        0        0
37017 libopengl-dev                      	       0      740        0        0      740
37018 libopengl-image-perl               	       0        2        2        0        0
37019 libopengl-perl                     	       0       73        0        0       73
37020 libopengl-xscreensaver-perl        	       0       41        0        0       41
37021 libopengl0-glvnd-nvidia            	       0        1        0        0        1
37022 libopenh264-1                      	       0        2        0        0        2
37023 libopenh264-2                      	       0       11        0        0       11
37024 libopenh264-4                      	       0        3        0        0        3
37025 libopenh264-5                      	       0       10        1        0        9
37026 libopenh264-8                      	       0        5        0        0        5
37027 libopenh264-dev                    	       0        5        5        0        0
37028 libopenhmd-dev                     	       0        1        1        0        0
37029 libopenhmd0                        	       0       29        0        0       29
37030 libopenhpi2                        	       0        2        2        0        0
37031 libopenhpi3                        	       0       11       11        0        0
37032 libopenigtlink-dev                 	       0        1        1        0        0
37033 libopenigtlink1.11                 	       0        2        0        0        2
37034 libopenimageio-dev                 	       0        4        4        0        0
37035 libopenimageio-doc                 	       0        5        0        0        5
37036 libopenimageio1.6                  	       0        7        0        0        7
37037 libopenimageio2.0                  	       0       13        0        0       13
37038 libopenimageio2.2                  	       0       32        0        0       32
37039 libopenimageio2.3                  	       0        1        0        0        1
37040 libopenimageio2.4                  	       0      121        1        0      120
37041 libopenimageio2.4t64               	       0        2        0        0        2
37042 libopenimageio2.5                  	       0       10        0        0       10
37043 libopenipmi-dev                    	       0        1        1        0        0
37044 libopenipmi0                       	       0      125        4        0      121
37045 libopenipmi0t64                    	       0        4        0        0        4
37046 libopenjfx-java                    	       0      124        0        0      124
37047 libopenjfx-java-doc                	       0        1        0        0        1
37048 libopenjfx-jni                     	       0      124        1        0      123
37049 libopenjp2-tools                   	       0        3        3        0        0
37050 libopenjp3d-tools                  	       0        1        1        0        0
37051 libopenjp3d7                       	       0        1        0        0        1
37052 libopenjpeg-dev                    	       0        3        3        0        0
37053 libopenjpeg2                       	       0       29        0        0       29
37054 libopenjpeg5                       	       0      105        2        0      103
37055 libopenjpip7                       	       0        1        0        0        1
37056 libopenlibm2                       	       0        3        0        0        3
37057 libopenlibm3                       	       0       14        0        0       14
37058 libopenmpi-dev                     	       0      124        3        0      121
37059 libopenmpi1.3                      	       0        1        1        0        0
37060 libopenmpi1.6                      	       0        2        2        0        0
37061 libopenmpi2                        	       0        8        0        0        8
37062 libopenmpi3                        	       0      297        1        0      296
37063 libopenmpi3-dbgsym                 	       0        1        1        0        0
37064 libopenmpi3t64                     	       0       20        0        0       20
37065 libopenmpi40                       	       0       15        0        0       15
37066 libopenmpt-dev                     	       0       15       15        0        0
37067 libopenmpt-modplug-dev             	       0        5        5        0        0
37068 libopenni-dev                      	       0        3        3        0        0
37069 libopenni-java                     	       0        1        0        0        1
37070 libopenni-sensor-pointclouds-dev   	       0        1        0        0        1
37071 libopenni-sensor-pointclouds0      	       0        4        4        0        0
37072 libopenni0                         	       0        5        0        0        5
37073 libopenni2-dev                     	       0        6        6        0        0
37074 libopenobex1                       	       0        4        2        0        2
37075 libopenraw-dev                     	       0        1        1        0        0
37076 libopenraw1                        	       0       26        0        0       26
37077 libopenraw1v5                      	       0        1        0        0        1
37078 libopenraw7                        	       0       31        2        0       29
37079 libopenraw7t64                     	       0        3        0        0        3
37080 libopenrawgnome1v5                 	       0        1        0        0        1
37081 libopenrawgnome7                   	       0       30        2        0       28
37082 libopenrawgnome7t64                	       0        3        0        0        3
37083 libopenrazer0                      	       0        1        0        0        1
37084 libopenrefine-arithcode-java       	       0        2        0        0        2
37085 libopenrefine-butterfly-java       	       0        2        0        0        2
37086 libopenrefine-opencsv-java         	       0        2        0        0        2
37087 libopenrefine-vicino-java          	       0        2        0        0        2
37088 libopensc2                         	       0        1        1        0        0
37089 libopenscap25                      	       0        1        0        0        1
37090 libopenscenegraph-3.4-131          	       0        1        0        0        1
37091 libopenscenegraph-dev              	       0       11        0        0       11
37092 libopenscenegraph100v5             	       0        1        0        0        1
37093 libopenscenegraph161               	       0      160        0        0      160
37094 libopenshot-audio6                 	       0        9        0        0        9
37095 libopenshot-audio7                 	       0       26        0        0       26
37096 libopenshot-audio8                 	       0       58        0        0       58
37097 libopenshot-audio9t64              	       0        4        0        0        4
37098 libopenshot-doc                    	       0        1        0        0        1
37099 libopenshot16                      	       0        9        0        0        9
37100 libopenshot19                      	       0       23        0        0       23
37101 libopenshot21                      	       0       57        0        0       57
37102 libopenshot25t64                   	       0        4        0        0        4
37103 libopenslide-dev                   	       0        6        5        1        0
37104 libopensmtpd0                      	       0        1        1        0        0
37105 libopenspecfun1                    	       0        1        0        0        1
37106 libopenssl-ruby                    	       0        1        0        0        1
37107 libopenssl0.9.8                    	       0        1        1        0        0
37108 libopensync0                       	       0       12       12        0        0
37109 libopentest4j-java                 	       0        7        0        0        7
37110 libopentest4j-reporting-java       	       0        7        0        0        7
37111 libopenthreads-dev                 	       0       13        0        0       13
37112 libopenthreads20                   	       0        5        0        0        5
37113 libopenthreads21                   	       0      161        0        0      161
37114 libopentk-cil-dev                  	       0        1        1        0        0
37115 libopentk1.1-cil                   	       0        3        3        0        0
37116 libopenturns-dev                   	       0        1        1        0        0
37117 libopenturns0.21                   	       0        3        0        0        3
37118 libopenvas9                        	       0        1        0        0        1
37119 libopenvdb-dev                     	       0        4        4        0        0
37120 libopenvdb-tools                   	       0        1        1        0        0
37121 libopenvdb10.0                     	       0      126        3        0      123
37122 libopenvdb10.0t64                  	       0       13        0        0       13
37123 libopenvdb3.2                      	       0        7        0        0        7
37124 libopenvdb5.2                      	       0       13        0        0       13
37125 libopenvdb7.1                      	       0       33        0        0       33
37126 libopenvdb9.1                      	       0        2        0        0        2
37127 libopenvg1-mesa                    	       0       36        0        0       36
37128 libopenvg1-mesa-dev                	       0        1        1        0        0
37129 libopenvlbi-doc                    	       0        1        0        0        1
37130 libopenvr-api1                     	       0        2        0        0        2
37131 libopenvr-dev                      	       0        2        2        0        0
37132 libopenxr-dev                      	       0        3        3        0        0
37133 libopenxr-loader1                  	       0       13        0        0       13
37134 libopenxr-utils                    	       0        1        1        0        0
37135 liboping-dev                       	       0        1        1        0        0
37136 liboping0                          	       0       23        1        0       22
37137 libopm-models-doc                  	       0        1        0        0        1
37138 libopm-simulators-doc              	       0        1        0        0        1
37139 libopus-doc                        	       0        2        0        0        2
37140 libopus-ocaml                      	       0        2        2        0        0
37141 libopus-ocaml-dev                  	       0        1        1        0        0
37142 libopusfile-dbg                    	       0        1        1        0        0
37143 libopusfile-dev                    	       0       42       41        1        0
37144 libopusfile-doc                    	       0        2        0        0        2
37145 liborange0                         	       0        2        0        0        2
37146 liborbit-2-0                       	       0      178        0        0      178
37147 liborbit0ldbl                      	       0        1        0        0        1
37148 liborbit2                          	       0       38        0        0       38
37149 liborbit2-dev                      	       0        6        6        0        0
37150 liborc-0.4-doc                     	       0        1        0        0        1
37151 liborcania2.1                      	       0        2        0        0        2
37152 liborcania2.3                      	       0        5        0        0        5
37153 liborcus-0.10-0v5                  	       0        1        0        0        1
37154 liborcus-0.11-0                    	       0       79        0        0       79
37155 liborcus-0.14-0                    	       0      160        0        0      160
37156 liborcus-0.15-0                    	       0        2        0        0        2
37157 liborcus-0.6-0                     	       0        1        0        0        1
37158 liborcus-0.8-0                     	       0       20        0        0       20
37159 liborcus-bin                       	       0        1        1        0        0
37160 liborcus-dev                       	       0        2        0        0        2
37161 liborcus-doc                       	       0        1        0        0        1
37162 liborcus-mso-0.17-0                	       0        1        0        0        1
37163 liborcus-mso-0.18-0                	       0        1        0        0        1
37164 liborcus-parser-0.15-0             	       0        2        0        0        2
37165 liborcus-spreadsheet-model-0.14-0  	       0        1        0        0        1
37166 liborcus-spreadsheet-model-0.17-0  	       0        1        0        0        1
37167 liborcus-spreadsheet-model-0.18-0  	       0        1        0        0        1
37168 libordered-clojure                 	       0        1        0        0        1
37169 liborigin2-1v5                     	       0        2        0        0        2
37170 liborlite-migrate-perl             	       0        1        1        0        0
37171 liborlite-mirror-perl              	       0        1        1        0        0
37172 liborlite-perl                     	       0        2        2        0        0
37173 liboro-java                        	       0       35        0        0       35
37174 liboro-java-doc                    	       0        1        0        0        1
37175 liborocos-kdl-dev                  	       0        2        2        0        0
37176 liborocos-kdl1.5                   	       0        2        0        0        2
37177 libortp-dev                        	       0        4        4        0        0
37178 libortp13                          	       0       17        0        0       17
37179 libortp5                           	       0        1        0        0        1
37180 libortp7                           	       0        1        0        0        1
37181 libortp8                           	       0        1        0        0        1
37182 libortp9                           	       0       17        0        0       17
37183 liboscache-java                    	       0        1        0        0        1
37184 liboscpack-dev                     	       0        1        1        0        0
37185 liboscpack1                        	       0        4        0        0        4
37186 libosd-dev                         	       0        1        1        0        0
37187 libosdcpu3.4.3                     	       0       32        0        0       32
37188 libosdcpu3.5.0                     	       0      117        1        0      116
37189 libosdcpu3.5.0t64                  	       0        2        0        0        2
37190 libosdcpu3.6.0                     	       0       10        0        0       10
37191 libosdgpu3.4.3                     	       0       32        0        0       32
37192 libosdgpu3.5.0                     	       0      117        1        0      116
37193 libosdgpu3.5.0t64                  	       0        2        0        0        2
37194 libosdgpu3.6.0                     	       0       10        0        0       10
37195 libosgi-annotation-java            	       0       78        0        0       78
37196 libosgi-annotation-java-doc        	       0        7        0        0        7
37197 libosgi-compendium-java            	       0       78        0        0       78
37198 libosgi-compendium-java-doc        	       0        7        0        0        7
37199 libosgi-core-java                  	       0       78        0        0       78
37200 libosgi-core-java-doc              	       0        7        0        0        7
37201 libosgi-foundation-ee-java         	       0        6        0        0        6
37202 libosinfo-1.0-dev                  	       0        3        3        0        0
37203 libosinfo-bin                      	       0       64       61        3        0
37204 libosip2-11                        	       0        4        0        0        4
37205 libosip2-15                        	       0        1        0        0        1
37206 libosip2-3deb                      	       0        1        0        0        1
37207 libosip2-4                         	       0        1        0        0        1
37208 libosip2-7                         	       0        2        0        0        2
37209 libosip2-dev                       	       0        1        1        0        0
37210 libosl1v5                          	       0        2        0        0        2
37211 libosmesa6                         	       0      584        1        0      583
37212 libosmesa6-dev                     	       0       23       23        0        0
37213 libosmgpsmap-1.0-1                 	       0      160        0        0      160
37214 libosmgpsmap-1.0-dev               	       0        3        3        0        0
37215 libosmgpsmap2                      	       0        1        0        0        1
37216 libosmium2-dev                     	       0        2        0        0        2
37217 libosmo-fl2k0                      	       0        3        0        0        3
37218 libosmocodec0                      	       0        2        0        0        2
37219 libosmocodec4                      	       0        1        0        0        1
37220 libosmocoding0                     	       0        3        0        0        3
37221 libosmocore19                      	       0        2        0        0        2
37222 libosmocore22                      	       0        1        0        0        1
37223 libosmogsm18                       	       0        2        0        0        2
37224 libosmogsm20                       	       0        1        0        0        1
37225 libosmoisdn0                       	       0        1        0        0        1
37226 libosmosccp0                       	       0        1        0        0        1
37227 libosmosdr-dev                     	       0        1        1        0        0
37228 libosmpbf-dev                      	       0        1        1        0        0
37229 libosmpbf-java                     	       0        9        0        0        9
37230 libosmpbf1                         	       0        2        0        0        2
37231 libosp-dev                         	       0        5        5        0        0
37232 libosptk-dev                       	       0        1        1        0        0
37233 libosptk4                          	       0        1        0        0        1
37234 libossim1                          	       0        3        0        0        3
37235 libossp-uuid-dev                   	       0        5        5        0        0
37236 libossp-uuid15                     	       0        1        0        0        1
37237 libostree-dev                      	       0        6        6        0        0
37238 libostyle1c2                       	       0      158        0        0      158
37239 libostyle1t64                      	       0       23        0        0       23
37240 libotb                             	       0        2        0        0        2
37241 libotb-apps                        	       0        2        0        0        2
37242 libotb-dev                         	       0        2        2        0        0
37243 libotbapplicationengine-7.2-1      	       0        1        0        0        1
37244 libotbapplicationengine-8.1-1      	       0        3        0        0        3
37245 libotbcarto-8.1-1                  	       0        2        0        0        2
37246 libotbcommandline-8.1-1            	       0        2        0        0        2
37247 libotbcommon-7.2-1                 	       0        1        0        0        1
37248 libotbcommon-8.1-1                 	       0        3        0        0        3
37249 libotbcurladapters-8.1-1           	       0        2        0        0        2
37250 libotbextendedfilename-7.2-1       	       0        1        0        0        1
37251 libotbextendedfilename-8.1-1       	       0        3        0        0        3
37252 libotbfuzzy-8.1-1                  	       0        2        0        0        2
37253 libotbgdaladapters-7.2-1           	       0        1        0        0        1
37254 libotbgdaladapters-8.1-1           	       0        3        0        0        3
37255 libotbice-8.1-1                    	       0        2        0        0        2
37256 libotbimagebase-7.2-1              	       0        1        0        0        1
37257 libotbimagebase-8.1-1              	       0        3        0        0        3
37258 libotbimageio-7.2-1                	       0        1        0        0        1
37259 libotbimageio-8.1-1                	       0        3        0        0        3
37260 libotbimagemanipulation-8.1-1      	       0        2        0        0        2
37261 libotbiobsq-7.2-1                  	       0        1        0        0        1
37262 libotbiobsq-8.1-1                  	       0        3        0        0        3
37263 libotbiogdal-7.2-1                 	       0        1        0        0        1
37264 libotbiogdal-8.1-1                 	       0        3        0        0        3
37265 libotbiokml-8.1-1                  	       0        2        0        0        2
37266 libotbiolum-7.2-1                  	       0        1        0        0        1
37267 libotbiolum-8.1-1                  	       0        3        0        0        3
37268 libotbiomstar-7.2-1                	       0        1        0        0        1
37269 libotbiomstar-8.1-1                	       0        3        0        0        3
37270 libotbioonera-7.2-1                	       0        1        0        0        1
37271 libotbioonera-8.1-1                	       0        3        0        0        3
37272 libotbiorad-7.2-1                  	       0        1        0        0        1
37273 libotbiorad-8.1-1                  	       0        3        0        0        3
37274 libotblearningbase-8.1-1           	       0        2        0        0        2
37275 libotbmapla-8.1-1                  	       0        2        0        0        2
37276 libotbmathparser-8.1-1             	       0        2        0        0        2
37277 libotbmathparserx-8.1-1            	       0        2        0        0        2
37278 libotbmetadata-7.2-1               	       0        1        0        0        1
37279 libotbmetadata-8.1-1               	       0        3        0        0        3
37280 libotbmonteverdi-8.1-1             	       0        2        0        0        2
37281 libotbmonteverdicore-8.1-1         	       0        2        0        0        2
37282 libotbmonteverdigui-8.1-1          	       0        2        0        0        2
37283 libotbossimadapters-7.2-1          	       0        1        0        0        1
37284 libotbossimplugins-6.6-1           	       0        1        0        0        1
37285 libotbossimplugins-7.2-1           	       0        1        0        0        1
37286 libotbpolarimetry-8.1-1            	       0        2        0        0        2
37287 libotbprojection-8.1-1             	       0        2        0        0        2
37288 libotbqtadapters-8.1-1             	       0        2        0        0        2
37289 libotbqtwidget-8.1-1               	       0        2        0        0        2
37290 libotbsampling-8.1-1               	       0        2        0        0        2
37291 libotbsiftfast-8.1-1               	       0        2        0        0        2
37292 libotbstatistics-8.1-1             	       0        2        0        0        2
37293 libotbstreaming-7.2-1              	       0        1        0        0        1
37294 libotbstreaming-8.1-1              	       0        3        0        0        3
37295 libotbsupervised-8.1-1             	       0        2        0        0        2
37296 libotbtestkernel-8.1-1             	       0        3        0        0        3
37297 libotbtransform-6.6-1              	       0        1        0        0        1
37298 libotbtransform-8.1-1              	       0        3        0        0        3
37299 libotbvectordatabase-7.2-1         	       0        1        0        0        1
37300 libotbvectordatabase-8.1-1         	       0        3        0        0        3
37301 libotbvectordataio-7.2-1           	       0        1        0        0        1
37302 libotbvectordataio-8.1-1           	       0        3        0        0        3
37303 libotbwavelet-8.1-1                	       0        2        0        0        2
37304 libotcl1                           	       0        2        0        0        2
37305 libotf-bin                         	       0        5        5        0        0
37306 libotp0-heimdal                    	       0       21        0        0       21
37307 libotp0t64-heimdal                 	       0        1        0        0        1
37308 libotr5-bin                        	       0        8        8        0        0
37309 libotr5-dev                        	       0        2        2        0        0
37310 libotr5t64                         	       0      115        7        0      108
37311 libots-dev                         	       0        2        2        0        0
37312 libots0                            	       0       97        9        0       88
37313 libounit-ocaml-dev                 	       0        1        1        0        0
37314 libout123-0                        	       0      241        0        0      241
37315 libout123-0t64                     	       0       30        0        0       30
37316 libow-3.1-5                        	       0        1        0        0        1
37317 libow-3.2-3                        	       0        5        0        0        5
37318 libow-3.2-4                        	       0        8        3        0        5
37319 libow-3.2-4t64                     	       0        1        0        0        1
37320 libowasp-antisamy-java             	       0        2        0        0        2
37321 libowasp-encoder-java              	       0        2        0        0        2
37322 libowasp-esapi-java                	       0        2        0        0        2
37323 libowcapi-3.1-5                    	       0        1        0        0        1
37324 libowcapi-3.2-3                    	       0        4        0        0        4
37325 libowcapi-3.2-4                    	       0        3        0        0        3
37326 libowcapi-3.2-4t64                 	       0        1        0        0        1
37327 libowfat-dev                       	       0        1        1        0        0
37328 libowfat0                          	       0        2        0        0        2
37329 libowfat0t64                       	       0        1        0        0        1
37330 libowncloudsync0                   	       0       12        2        0       10
37331 libowncloudsync0t64                	       0        1        0        0        1
37332 libowrx-connector                  	       0        1        0        0        1
37333 liboxygenstyleconfig6-6            	       0       20        0        0       20
37334 libp11-2                           	       0        3        0        0        3
37335 libp11-3                           	       0        3        0        0        3
37336 libp11-3t64                        	       0        1        0        0        1
37337 libp11-dev                         	       0        4        4        0        0
37338 libp4est-2.2                       	       0        1        0        0        1
37339 libp4est-2.3                       	       0        1        0        0        1
37340 libp4est-dev                       	       0        1        1        0        0
37341 libp4est-sc-2.2                    	       0        1        0        0        1
37342 libp4est-sc-2.3                    	       0        1        0        0        1
37343 libp8-platform-dev                 	       0        2        2        0        0
37344 libpackage-new-perl                	       0        4        4        0        0
37345 libpackage-variant-perl            	       0        6        6        0        0
37346 libpackagekit-glib2-14             	       0        2        0        0        2
37347 libpackagekit-glib2-16             	       0        2        0        0        2
37348 libpackagekit-glib2-dev            	       0        2        2        0        0
37349 libpackagekitqt5-0                 	       0       12        0        0       12
37350 libpackagekitqt5-dev               	       0        5        5        0        0
37351 libpackagekitqt6-dev               	       0        1        1        0        0
37352 libpacket-ruby1.9.1                	       0        2        0        0        2
37353 libpacketdump3                     	       0        1        1        0        0
37354 libpacklib1-gfortran               	       0        1        0        0        1
37355 libpacparser1                      	       0        8        8        0        0
37356 libpadre-plugin-autoformat-perl    	       0        1        1        0        0
37357 libpadre-plugin-datawalker-perl    	       0        1        1        0        0
37358 libpadre-plugin-git-perl           	       0        1        1        0        0
37359 libpadre-plugin-moose-perl         	       0        1        1        0        0
37360 libpadre-plugin-parsertool-perl    	       0        1        1        0        0
37361 libpadre-plugin-pdl-perl           	       0        1        1        0        0
37362 libpadre-plugin-perlcritic-perl    	       0        1        1        0        0
37363 libpadre-plugin-perltidy-perl      	       0        1        1        0        0
37364 libpadre-plugin-snippet-perl       	       0        1        1        0        0
37365 libpadre-plugin-spellcheck-perl    	       0        1        1        0        0
37366 libpadre-plugin-svn-perl           	       0        1        1        0        0
37367 libpadre-plugin-yaml-perl          	       0        1        1        0        0
37368 libpadwalker-perl                  	       0      581        0        0      581
37369 libpagmo8                          	       0        2        0        0        2
37370 libpagmo9                          	       0        1        0        0        1
37371 libpaho-mqtt-dev                   	       0        1        1        0        0
37372 libpaho-mqtt1.3                    	       0        4        0        0        4
37373 libpal-java                        	       0        1        0        0        1
37374 libpalm-pdb-perl                   	       0        7        7        0        0
37375 libpalm-perl                       	       0        7        7        0        0
37376 libpam-apparmor                    	       0        1        1        0        0
37377 libpam-blue                        	       0        3        3        0        0
37378 libpam-ccreds                      	       0        1        1        0        0
37379 libpam-cgroup                      	       0        6        0        0        6
37380 libpam-chksshpwd                   	       0        1        1        0        0
37381 libpam-chroot                      	       0        1        0        0        1
37382 libpam-cracklib                    	       0        7        1        0        6
37383 libpam-dbus                        	       0        1        1        0        0
37384 libpam-doc                         	       0        9        0        0        9
37385 libpam-encfs                       	       0        2        2        0        0
37386 libpam-freerdp2                    	       0        5        5        0        0
37387 libpam-freerdp2-dev                	       0        1        1        0        0
37388 libpam-heimdal                     	       0        4        1        0        3
37389 libpam-krb5-migrate-heimdal        	       0        1        0        0        1
37390 libpam-krb5-migrate-mit            	       0        1        0        0        1
37391 libpam-kwallet-common              	       0      552      396       47      109
37392 libpam-mount-bin                   	       0       15       14        1        0
37393 libpam-mysql                       	       0        1        0        0        1
37394 libpam-net                         	       0        2        0        0        2
37395 libpam-oath                        	       0        4        0        0        4
37396 libpam-opie                        	       0        1        1        0        0
37397 libpam-pkcs11                      	       0        5        5        0        0
37398 libpam-poldi                       	       0        3        1        0        2
37399 libpam-pwdfile                     	       0        3        0        0        3
37400 libpam-python                      	       0        8        7        0        1
37401 libpam-python-doc                  	       0        1        0        0        1
37402 libpam-radius-auth                 	       0       16        0        0       16
37403 libpam-snapper                     	       0        1        1        0        0
37404 libpam-systemd                     	       0        2        0        0        2
37405 libpam-u2f                         	       0        7        2        0        5
37406 libpam-unix2                       	       0        2        2        0        0
37407 libpam-x2go                        	       0        5        4        1        0
37408 libpam-x2go-dev                    	       0        1        1        0        0
37409 libpam-yubico                      	       0        4        4        0        0
37410 libpam-zfs                         	       0        1        1        0        0
37411 libpandoc-elements-perl            	       0        3        3        0        0
37412 libpandoc-wrapper-perl             	       0        3        3        0        0
37413 libpanel-1-1                       	       0        3        0        0        3
37414 libpanel-applet-4-0                	       0        5        0        0        5
37415 libpanel-applet0                   	       0        6        0        0        6
37416 libpanel-applet2-0                 	       0        1        0        0        1
37417 libpanel-applet3                   	       0        2        0        0        2
37418 libpanel-common                    	       0        3        0        0        3
37419 libpanel-dev                       	       0        1        1        0        0
37420 libpanel-doc                       	       0        1        0        0        1
37421 libpango-perl                      	       0      288        0        0      288
37422 libpango1-ruby                     	       0        1        0        0        1
37423 libpango1-ruby1.8                  	       0        2        1        0        1
37424 libpango1.0-0                      	       0      212        0        0      212
37425 libpango1.0-doc                    	       0       77        0        0       77
37426 libpango3.0-cil                    	       0       62        0        0       62
37427 libpangomm-1.4-1                   	       0       10        1        0        9
37428 libpangomm-2.48-1                  	       0       11        0        0       11
37429 libpangomm-2.48-dev                	       0        8        8        0        0
37430 libpangox-1.0-dev                  	       0        4        4        0        0
37431 libpano13-3                        	       0       52        0        0       52
37432 libpano13-3t64                     	       0        3        0        0        3
37433 libpano13-bin                      	       0       51       50        1        0
37434 libpantomime1.3                    	       0        4        3        1        0
37435 libpaper-dev                       	       0        8        8        0        0
37436 libpaperclips-java                 	       0        3        0        0        3
37437 libpapi5                           	       0        1        0        0        1
37438 libpapi6.0                         	       0        1        0        0        1
37439 libpappl-dev                       	       0        1        1        0        0
37440 libpappl1                          	       0        2        0        0        2
37441 libpappl1t64                       	       0        1        0        0        1
37442 libpaps0                           	       0        9        0        0        9
37443 libpaq-dev                         	       0        1        1        0        0
37444 libpaq0                            	       0        3        0        0        3
37445 libpar-dist-perl                   	       0        7        7        0        0
37446 libpar-packer-perl                 	       0        2        2        0        0
37447 libpar-perl                        	       0        2        2        0        0
37448 libparagui1.0c2a                   	       0        1        0        0        1
37449 libparallel-forkmanager-perl       	       0       58       56        2        0
37450 libparanamer-java                  	       0        6        0        0        6
37451 libparanamer-maven-plugin-java     	       0        1        0        0        1
37452 libparboiled-java                  	       0        6        0        0        6
37453 libpari-dev                        	       0       10       10        0        0
37454 libpari-gmp-tls6                   	       0        1        0        0        1
37455 libpari-gmp-tls7                   	       0        1        0        0        1
37456 libpari-gmp-tls8                   	       0       14        0        0       14
37457 libpari-gmp-tls8t64                	       0        1        0        0        1
37458 libpari-gmp-tls9                   	       0        3        0        0        3
37459 libparistraceroute1                	       0        4        0        0        4
37460 libparlatype5                      	       0        2        0        0        2
37461 libparlatype7                      	       0        1        0        0        1
37462 libparmap-ocaml                    	       0       11        9        0        2
37463 libparmetis4.0                     	       0        1        0        0        1
37464 libparpack2                        	       0        4        0        0        4
37465 libparpack2-dev                    	       0        3        0        0        3
37466 libparse-ansicolor-tiny-perl       	       0        1        1        0        0
37467 libparse-debcontrol-perl           	       0       44       44        0        0
37468 libparse-dia-sql-perl              	       0        1        1        0        0
37469 libparse-distname-perl             	       0        1        1        0        0
37470 libparse-errorstring-perl-perl     	       0        1        1        0        0
37471 libparse-exuberantctags-perl       	       0        1        0        0        1
37472 libparse-mediawikidump-perl        	       0        1        1        0        0
37473 libparse-method-signatures-perl    	       0        2        2        0        0
37474 libparse-netstat-perl              	       0        1        1        0        0
37475 libparse-pidl-perl                 	       0        1        1        0        0
37476 libparse-pmfile-perl               	       0       40       40        0        0
37477 libparse-syslog-perl               	       0       23       23        0        0
37478 libparse-yapp-perl                 	       0       24       23        1        0
37479 libparser-mgc-perl                 	       0        2        2        0        0
37480 libparted-dev                      	       0       15       15        0        0
37481 libparted-i18n                     	       0        9        0        0        9
37482 libparted0-dev                     	       0        1        0        0        1
37483 libparted0-i18n                    	       0        1        0        0        1
37484 libparted0debian1                  	       0       15        0        0       15
37485 libparu0                           	       0        1        0        0        1
37486 libpasastro                        	       0        1        0        0        1
37487 libpasswdqc0                       	       0        2        0        0        2
37488 libpath-class-file-stat-perl       	       0        1        1        0        0
37489 libpath-class-perl                 	       0       42       42        0        0
37490 libpath-iterator-rule-perl         	       0      193      191        2        0
37491 libpathplan4                       	       0     1725        0        0     1725
37492 libpbbam1.6.0                      	       0        1        0        0        1
37493 libpbbam1.7.0                      	       0        1        0        0        1
37494 libpbbam2.3.0                      	       0        1        0        0        1
37495 libpbcopper1.8.0                   	       0        1        0        0        1
37496 libpbcopper1.9.3                   	       0        1        0        0        1
37497 libpbcopper2.0.0                   	       0        1        0        0        1
37498 libpbcopper2.2.0                   	       0        1        0        0        1
37499 libpbkdf2-tiny-perl                	       0        2        2        0        0
37500 libpbseq                           	       0        1        0        0        1
37501 libpcap-dev                        	       0       73        0        0       73
37502 libpcap0.7                         	       0        2        0        0        2
37503 libpcapnav0                        	       0        1        0        0        1
37504 libpcapnav0-dev                    	       0        1        1        0        0
37505 libpcaudio-dev                     	       0        3        3        0        0
37506 libpcc-dev                         	       0        6        0        0        6
37507 libpcg-cpp-dev                     	       0        1        0        0        1
37508 libpcl-apps1.13                    	       0        1        0        0        1
37509 libpcl-common1.13                  	       0        1        0        0        1
37510 libpcl-dev                         	       0        1        1        0        0
37511 libpcl-features1.13                	       0        1        0        0        1
37512 libpcl-filters1.13                 	       0        1        0        0        1
37513 libpcl-io1.13                      	       0        1        0        0        1
37514 libpcl-kdtree1.13                  	       0        1        0        0        1
37515 libpcl-keypoints1.13               	       0        1        0        0        1
37516 libpcl-ml1.13                      	       0        1        0        0        1
37517 libpcl-msgs-dev                    	       0        1        1        0        0
37518 libpcl-octree1.13                  	       0        1        0        0        1
37519 libpcl-outofcore1.13               	       0        1        0        0        1
37520 libpcl-people1.13                  	       0        1        0        0        1
37521 libpcl-recognition1.13             	       0        1        0        0        1
37522 libpcl-registration1.13            	       0        1        0        0        1
37523 libpcl-sample-consensus1.13        	       0        1        0        0        1
37524 libpcl-search1.13                  	       0        1        0        0        1
37525 libpcl-segmentation1.13            	       0        1        0        0        1
37526 libpcl-stereo1.13                  	       0        1        0        0        1
37527 libpcl-surface1.13                 	       0        1        0        0        1
37528 libpcl-tracking1.13                	       0        1        0        0        1
37529 libpcl-visualization1.13           	       0        1        0        0        1
37530 libpcp-archive1                    	       0        5        0        0        5
37531 libpcp-gui2                        	       0        6        0        0        6
37532 libpcp-import1                     	       0        7        0        0        7
37533 libpcp-import1-dev                 	       0        1        1        0        0
37534 libpcp-mmv1                        	       0        6        2        0        4
37535 libpcp-pmda-perl                   	       0        5        0        0        5
37536 libpcp-pmda3                       	       0        7        2        0        5
37537 libpcp-pmda3-dev                   	       0        1        1        0        0
37538 libpcp-trace2                      	       0        6        0        0        6
37539 libpcp-web1                        	       0        6        2        0        4
37540 libpcp3                            	       0        7        2        0        5
37541 libpcp3-dev                        	       0        1        1        0        0
37542 libpcre-ocaml                      	       0        3        3        0        0
37543 libpcre-ocaml-dev                  	       0        2        2        0        0
37544 libpcre16-3                        	       0      443        0        0      443
37545 libpcre2-posix0                    	       0        4        0        0        4
37546 libpcre2-posix2                    	       0      162        0        0      162
37547 libpcre3-dbg                       	       0        1        1        0        0
37548 libpcre32-3                        	       0      386        0        0      386
37549 libpcrecpp0                        	       0       10        0        0       10
37550 libpcsc-perl                       	       0       52        0        0       52
37551 libpcsclite-dev                    	       0       37       37        0        0
37552 libpdal-base12                     	       0       12        0        0       12
37553 libpdal-base13                     	       0        2        0        0        2
37554 libpdal-base7                      	       0        3        0        0        3
37555 libpdal-plugin-draco               	       0        1        0        0        1
37556 libpdal-plugin-e57                 	       0       12        0        0       12
37557 libpdal-plugin-faux                	       0       14        0        0       14
37558 libpdal-plugin-greyhound           	       0        3        0        0        3
37559 libpdal-plugin-hdf                 	       0       11        0        0       11
37560 libpdal-plugin-i3s                 	       0       12        0        0       12
37561 libpdal-plugin-icebridge           	       0       14        0        0       14
37562 libpdal-plugin-pgpointcloud        	       0       14        0        0       14
37563 libpdal-plugin-python              	       0        3        0        0        3
37564 libpdal-plugin-sqlite              	       0        3        0        0        3
37565 libpdal-plugins                    	       0       14        0        0       14
37566 libpdal-util12                     	       0       12        0        0       12
37567 libpdal-util13                     	       0        2        0        0        2
37568 libpdal-util7                      	       0        4        0        0        4
37569 libpdf-api2-simple-perl            	       0        1        1        0        0
37570 libpdf-api2-xs-perl                	       0       33        0        0       33
37571 libpdf-create-perl                 	       0        4        4        0        0
37572 libpdf-fdf-simple-perl             	       0        1        1        0        0
37573 libpdf-fromhtml-perl               	       0        3        3        0        0
37574 libpdf-report-perl                 	       0        2        2        0        0
37575 libpdf-reuse-barcode-perl          	       0        3        3        0        0
37576 libpdf-reuse-perl                  	       0        3        3        0        0
37577 libpdf-table-perl                  	       0        3        3        0        0
37578 libpdf-writer-perl                 	       0        3        3        0        0
37579 libpdfbox-graphics2d-java          	       0        2        0        0        2
37580 libpdfbox-java                     	       0      405        0        0      405
37581 libpdfbox-java-doc                 	       0        3        0        0        3
37582 libpdfbox2-java                    	       0        2        0        0        2
37583 libpdfcook-dev                     	       0        1        1        0        0
37584 libpdfcook0                        	       0        1        0        0        1
37585 libpdfrenderer-java                	       0        5        0        0        5
37586 libpe-rules2                       	       0        4        0        0        4
37587 libpe-status10                     	       0        4        0        0        4
37588 libpe1t64                          	       0        3        0        0        3
37589 libpeas-1.0-python2loader          	       0       47        0        0       47
37590 libpeas-2-common                   	       0        2        0        0        2
37591 libpeas-common                     	       0     1093        1        0     1092
37592 libpeas-dev                        	       0       13       13        0        0
37593 libpeas-doc                        	       0        1        1        0        0
37594 libpegdown-java                    	       0        6        0        0        6
37595 libpengine10                       	       0        4        0        0        4
37596 libpentaho-reporting-flow-engine-java	       0      745        0        0      745
37597 libpentaho-reporting-flow-engine-java-doc	       0        6        0        0        6
37598 libpeony-extension1                	       0        1        0        0        1
37599 libpeony3                          	       0        6        0        0        6
37600 libperconaserverclient20           	       0        3        0        0        3
37601 libperconaserverclient20-dev       	       0        2        2        0        0
37602 libperformance0.5                  	       0        1        0        0        1
37603 libperl-dev                        	       0       64        0        0       64
37604 libperl-prereqscanner-notquitelite-perl	       0        1        1        0        0
37605 libperl5.20                        	       0       32        1        0       31
37606 libperl5.38                        	       0        7        7        0        0
37607 libperl6-export-perl               	       0        3        3        0        0
37608 libperl6-slurp-perl                	       0        3        3        0        0
37609 libperldoc-search-perl             	       0        3        3        0        0
37610 libperlio-eol-perl                 	       0        1        0        0        1
37611 libperlio-gzip-perl                	       0      688        0        0      688
37612 libperlio-layers-perl              	       0        1        0        0        1
37613 libperlio-via-symlink-perl         	       0        1        1        0        0
37614 libperlx-maybe-xs-perl             	       0        5        0        0        5
37615 libpetal-perl                      	       0        1        1        0        0
37616 libpetal-utils-perl                	       0        1        1        0        0
37617 libpetsc-real3.15                  	       0        1        0        0        1
37618 libpetsc-real3.16                  	       0        1        0        0        1
37619 libpetsc-real3.18                  	       0       54        0        0       54
37620 libpetsc-real3.18-dev              	       0        1        0        0        1
37621 libpetsc-real3.20                  	       0        1        0        0        1
37622 libpetsc3.18-dev-common            	       0        1        1        0        0
37623 libpetsc3.18-dev-examples          	       0        1        1        0        0
37624 libpff1                            	       0       29        0        0       29
37625 libpfm4                            	       0      419        0        0      419
37626 libpfm4-dev                        	       0        1        1        0        0
37627 libpfs-1.2-0                       	       0        1        0        0        1
37628 libpfs-dev                         	       0        1        1        0        0
37629 libpfs2                            	       0        3        0        0        3
37630 libpg-java                         	       0        1        0        0        1
37631 libpgf-dev                         	       0        1        1        0        0
37632 libpgf6                            	       0        5        0        0        5
37633 libpgf7                            	       0        1        0        0        1
37634 libpgm-5.1-0                       	       0       13        0        0       13
37635 libpgm-5.2-0                       	       0      267        0        0      267
37636 libpgplot0                         	       0        6        0        0        6
37637 libpgpool2                         	       0        2        0        0        2
37638 libpgraphutil-smlnj                	       0        1        0        0        1
37639 libpgsbox5                         	       0        1        0        0        1
37640 libpgsbox7                         	       0        5        0        0        5
37641 libpgsbox8                         	       0        2        0        0        2
37642 libpgsql-ruby1.9.1                 	       0        1        0        0        1
37643 libpgtcl                           	       0        7        0        0        7
37644 libpgtcl-dev                       	       0        1        1        0        0
37645 libpgtcl1.5                        	       0        1        1        0        0
37646 libpgtypes3                        	       0       10        0        0       10
37647 libphat-dev                        	       0        1        1        0        0
37648 libphidget22                       	       0        1        1        0        0
37649 libphobos-4.9-dev                  	       0        2        0        0        2
37650 libphobos-dev                      	       0        1        0        0        1
37651 libphobos2-ldc-shared-dev          	       0       13        0        0       13
37652 libphobos2-ldc-shared100           	       0       40        3        1       36
37653 libphobos2-ldc-shared105           	       0        1        0        0        1
37654 libphobos2-ldc-shared106           	       0        2        0        0        2
37655 libphobos2-ldc-shared82            	       0        1        0        0        1
37656 libphobos2-ldc-shared90            	       0        1        0        0        1
37657 libphobos2-ldc-shared94            	       0       16        2        0       14
37658 libphobos2-ldc72                   	       0        1        0        0        1
37659 libphodav-2.0-0                    	       0      157       11        0      146
37660 libphodav-2.0-common               	       0      157        0        0      157
37661 libphodav-2.0-dev                  	       0        1        1        0        0
37662 libphodav-3.0-common               	       0      315        0        0      315
37663 libphodav-3.0-dev                  	       0        1        1        0        0
37664 libphone-utils0                    	       0        1        0        0        1
37665 libphonenumber6                    	       0        3        0        0        3
37666 libphonenumber7                    	       0       38        1        0       37
37667 libphonon-dev                      	       0        1        1        0        0
37668 libphonon4qt5-dev                  	       0       11       11        0        0
37669 libphonon4qt5experimental4         	       0       43        0        0       43
37670 libphonon4qt5experimental4t64      	       0        6        0        0        6
37671 libphonon4qt6-dev                  	       0        1        1        0        0
37672 libphononexperimental4             	       0        6        0        0        6
37673 libphp-embed                       	       0        4        0        0        4
37674 libphp-serialization-perl          	       0       11       11        0        0
37675 libphp-simplepie                   	       0        7        7        0        0
37676 libphp-snoopy                      	       0        1        1        0        0
37677 libphp8.2-embed                    	       0        4        4        0        0
37678 libphysfs1                         	       0      128        0        0      128
37679 libpiano0                          	       0        2        0        0        2
37680 libpicard-java                     	       0        5        0        0        5
37681 libpiccolo-java                    	       0        1        0        0        1
37682 libpicocli-java                    	       0       21        0        0       21
37683 libpicocontainer-java              	       0        4        0        0        4
37684 libpicocv                          	       0        3        0        0        3
37685 libpicoipp                         	       0        4        0        0        4
37686 libpigment0.3-11                   	       0        1        1        0        0
37687 libpigpio-dev                      	       0        1        1        0        0
37688 libpigpio1                         	       0        1        0        0        1
37689 libpigpiod-if-dev                  	       0        2        2        0        0
37690 libpigpiod-if1                     	       0        2        0        0        2
37691 libpigpiod-if2-1                   	       0        2        0        0        2
37692 libpillowfight                     	       0        1        0        0        1
37693 libpillowfight-dev                 	       0        1        1        0        0
37694 libpillowfight0                    	       0        1        0        0        1
37695 libpils2-dev                       	       0        1        1        0        0
37696 libpimcommon-data                  	       0        7        0        0        7
37697 libpimcommon4                      	       0        4        1        0        3
37698 libpinyin-data                     	       0        7        1        0        6
37699 libpinyin13                        	       0        1        0        0        1
37700 libpinyin15                        	       0        6        1        0        5
37701 libpipeline-dev                    	       0        3        3        0        0
37702 libpipewire-0.2-1                  	       0       48        2        0       46
37703 libpipewire-0.2-dev                	       0        1        1        0        0
37704 libpipewire-0.2-doc                	       0        1        0        0        1
37705 libpipewire-0.3-dev                	       0       46       42        3        1
37706 libpipewire-0.3-modules-xrdp       	       0        9        0        0        9
37707 libpisock9                         	       0       19       19        0        0
37708 libpisync1                         	       0        1        0        0        1
37709 libpithub-perl                     	       0        1        1        0        0
37710 libpixelif-common                  	       0        5        0        0        5
37711 libpixelif-dev                     	       0        1        1        0        0
37712 libpixelmed-imageio-java           	       0        1        0        0        1
37713 libpixelmed-java                   	       0        2        0        0        2
37714 libpixie-java                      	       0      744        0        0      744
37715 libpixman1                         	       0        1        0        0        1
37716 libpj2                             	       0        4        0        0        4
37717 libpjlib-util2                     	       0        4        0        0        4
37718 libpjmedia-audiodev2               	       0        4        0        0        4
37719 libpjmedia-codec2                  	       0        4        0        0        4
37720 libpjmedia-videodev2               	       0        3        0        0        3
37721 libpjmedia2                        	       0        4        0        0        4
37722 libpjnath2                         	       0        4        0        0        4
37723 libpjsip-simple2                   	       0        3        0        0        3
37724 libpjsip-ua2                       	       0        3        0        0        3
37725 libpjsip2                          	       0        3        0        0        3
37726 libpjsua2                          	       0        3        0        0        3
37727 libpjsua2-2v5                      	       0        2        0        0        2
37728 libpkcs11-fnmtdnie                 	       0        4        1        0        3
37729 libpkcs11-helper1-dev              	       0        5        5        0        0
37730 libpkgconf3                        	       0     1025        0        0     1025
37731 libpl1000                          	       0        1        1        0        0
37732 libplacebo-dev                     	       0       26       25        1        0
37733 libplacebo120                      	       0       22        0        0       22
37734 libplacebo157                      	       0        4        0        0        4
37735 libplacebo192                      	       0       10        0        0       10
37736 libplacebo2                        	       0        8        0        0        8
37737 libplacebo292                      	       0       13        2        0       11
37738 libplacebo7                        	       0      116        0        0      116
37739 libplacebo72                       	       0      310        5        0      305
37740 libplack-middleware-debug-perl     	       0        1        1        0        0
37741 libplack-middleware-fixmissingbodyinredirect-perl	       0        5        5        0        0
37742 libplack-middleware-methodoverride-perl	       0        2        2        0        0
37743 libplack-middleware-removeredundantbody-perl	       0        2        2        0        0
37744 libplack-middleware-session-perl   	       0        6        6        0        0
37745 libplack-test-externalserver-perl  	       0        2        2        0        0
37746 libplanarity-dev                   	       0        8        8        0        0
37747 libplanarity0                      	       0       11        0        0       11
37748 libplasma-dev                      	       0        1        1        0        0
37749 libplasma-geolocation-interface4   	       0        6        0        0        6
37750 libplasma-geolocation-interface6   	       0       23        0        0       23
37751 libplasma5support-data             	       0       23        0        0       23
37752 libplasmaclock4abi4                	       0        6        1        0        5
37753 libplasmagenericshell4             	       0        5        1        0        4
37754 libplayerctl-dev                   	       0        1        1        0        0
37755 libplb-dev                         	       0        1        1        0        0
37756 libplb1                            	       0        1        0        0        1
37757 libplexus-ant-factory-java         	       0       13        0        0       13
37758 libplexus-archiver-java            	       0      378        0        0      378
37759 libplexus-bsh-factory-java         	       0       13        0        0       13
37760 libplexus-build-api-java           	       0       10        0        0       10
37761 libplexus-cipher-java              	       0      472        0        0      472
37762 libplexus-cipher-java-doc          	       0        1        0        0        1
37763 libplexus-classworlds-java         	       0      472        0        0      472
37764 libplexus-classworlds-java-doc     	       0        1        0        0        1
37765 libplexus-classworlds2-java        	       0        5        0        0        5
37766 libplexus-classworlds2-java-doc    	       0        1        0        0        1
37767 libplexus-cli-java                 	       0        6        0        0        6
37768 libplexus-cli-java-doc             	       0        2        0        0        2
37769 libplexus-compiler-java            	       0       24        0        0       24
37770 libplexus-component-annotations-java	       0      472        0        0      472
37771 libplexus-component-metadata-java  	       0        6        0        0        6
37772 libplexus-container-default-java   	       0       72        0        0       72
37773 libplexus-container-default-java-doc	       0        2        0        0        2
37774 libplexus-container-default1.5-java	       0       19        0        0       19
37775 libplexus-containers-java          	       0        2        0        0        2
37776 libplexus-containers-java-doc      	       0        1        0        0        1
37777 libplexus-containers1.5-java       	       0        2        0        0        2
37778 libplexus-digest-java              	       0        3        0        0        3
37779 libplexus-i18n-java                	       0       11        0        0       11
37780 libplexus-interactivity-api-java   	       0       10        0        0       10
37781 libplexus-interactivity-api-java-doc	       0        2        0        0        2
37782 libplexus-interpolation-java       	       0      472        0        0      472
37783 libplexus-interpolation-java-doc   	       0        1        0        0        1
37784 libplexus-io-java                  	       0      378        0        0      378
37785 libplexus-languages-java           	       0       24        0        0       24
37786 libplexus-maven-plugin-java-doc    	       0        1        0        0        1
37787 libplexus-resources-java           	       0        2        0        0        2
37788 libplexus-sec-dispatcher-java      	       0      471        0        0      471
37789 libplexus-sec-dispatcher-java-doc  	       0        1        0        0        1
37790 libplexus-testing-java             	       0        1        0        0        1
37791 libplexus-utils-java               	       0        2        0        0        2
37792 libplexus-utils-java-doc           	       0        2        0        0        2
37793 libplexus-utils2-java              	       0      473        0        0      473
37794 libplexus-utils2-java-doc          	       0        3        0        0        3
37795 libplexus-velocity-java            	       0       11        0        0       11
37796 libplexus-velocity-java-doc        	       0        1        0        0        1
37797 libplexus-xml-java                 	       0        3        0        0        3
37798 libplfit0                          	       0        1        0        0        1
37799 libplib-dev                        	       0        2        2        0        0
37800 libplib1                           	       0       39        0        0       39
37801 libplib1t64                        	       0        4        0        0        4
37802 libplist++-2.0-4                   	       0        2        0        0        2
37803 libplist++-dev                     	       0        4        4        0        0
37804 libplist++3v5                      	       0        4        0        0        4
37805 libplist-dev                       	       0       27       27        0        0
37806 libplist-doc                       	       0        1        0        0        1
37807 libplist-utils                     	       0        4        4        0        0
37808 libplist1                          	       0        9        0        0        9
37809 libplist2                          	       0       32        2        0       30
37810 libpload-dev                       	       0        1        1        0        0
37811 libpload4                          	       0        1        0        0        1
37812 libploop1                          	       0        1        0        0        1
37813 libplot-dev                        	       0        4        4        0        0
37814 libplot2c2                         	       0      263        0        0      263
37815 libplplot-data                     	       0        8        0        0        8
37816 libplplot-dev                      	       0        3        3        0        0
37817 libplplot-lua                      	       0        4        0        0        4
37818 libplplot-ocaml                    	       0        3        3        0        0
37819 libplplot16                        	       0        1        0        0        1
37820 libplplot17                        	       0        8        0        0        8
37821 libplplotcxx14                     	       0        1        0        0        1
37822 libplplotcxx15                     	       0        5        0        0        5
37823 libplplotfortran0                  	       0        3        0        0        3
37824 libplplotqt2                       	       0        4        0        0        4
37825 libplplotwxwidgets1                	       0        4        0        0        4
37826 libplrpc-perl                      	       0        8        8        0        0
37827 libplucene-perl                    	       0        1        1        0        0
37828 libplumb2                          	       0       14        0        0       14
37829 libplumb2-dev                      	       0        1        1        0        0
37830 libplumbgpl2                       	       0       14        0        0       14
37831 libplumbgpl2-dev                   	       0        1        0        0        1
37832 libpluto-jpl-eph-dev               	       0        2        2        0        0
37833 libpluto-lunar-dev                 	       0        2        2        0        0
37834 libplymouth-dev                    	       0        3        3        0        0
37835 libplymouth4                       	       0        4        0        0        4
37836 libplymouth5                       	       0       47        1        0       46
37837 libpm3                             	       0        1        1        0        0
37838 libpm3-extra                       	       0        1        1        0        0
37839 libpmem-dev                        	       0        4        4        0        0
37840 libpmemblk1                        	       0       57        0        0       57
37841 libpmix-dev                        	       0      105        0        0      105
37842 libpmix2                           	       0      297        1        0      296
37843 libpmix2t64                        	       0       35        0        0       35
37844 libpng                             	       0        1        1        0        0
37845 libpng++-dev                       	       0       16        0        0       16
37846 libpng-sixlegs-java                	       0        2        0        0        2
37847 libpng-sixlegs-java-doc            	       0        1        0        0        1
37848 libpng3                            	       0        1        0        0        1
37849 libpnglite-dev                     	       0        6        6        0        0
37850 libpnglite0                        	       0       19        0        0       19
37851 libpocketsphinx-dev                	       0        6        6        0        0
37852 libpocl-dev                        	       0        2        2        0        0
37853 libpocl1                           	       0        1        0        0        1
37854 libpocl1-common                    	       0        1        1        0        0
37855 libpocl2                           	       0       48        0        0       48
37856 libpocl2t64                        	       0        5        0        0        5
37857 libpoclu1                          	       0        1        0        0        1
37858 libpocoactiverecord100             	       0        1        0        0        1
37859 libpocoactiverecord80              	       0        4        0        0        4
37860 libpococrypto100                   	       0        1        0        0        1
37861 libpococrypto70                    	       0        4        0        0        4
37862 libpococrypto80                    	       0        4        0        0        4
37863 libpocodata100                     	       0        1        0        0        1
37864 libpocodata70                      	       0        3        0        0        3
37865 libpocodata80                      	       0        4        0        0        4
37866 libpocodatamysql100                	       0        1        0        0        1
37867 libpocodatamysql70                 	       0        3        0        0        3
37868 libpocodatamysql80                 	       0        4        0        0        4
37869 libpocodataodbc100                 	       0        1        0        0        1
37870 libpocodataodbc70                  	       0        3        0        0        3
37871 libpocodataodbc80                  	       0        4        0        0        4
37872 libpocodatapostgresql100           	       0        1        0        0        1
37873 libpocodatapostgresql70            	       0        3        0        0        3
37874 libpocodatapostgresql80            	       0        4        0        0        4
37875 libpocodatasqlite100               	       0        1        0        0        1
37876 libpocodatasqlite70                	       0        3        0        0        3
37877 libpocodatasqlite80                	       0        4        0        0        4
37878 libpocoencodings100                	       0        1        0        0        1
37879 libpocoencodings70                 	       0        3        0        0        3
37880 libpocoencodings80                 	       0        4        0        0        4
37881 libpocofoundation100               	       0        5        0        0        5
37882 libpocofoundation70                	       0        7        0        0        7
37883 libpocofoundation80                	       0       11        0        0       11
37884 libpocojson100                     	       0        1        0        0        1
37885 libpocojson70                      	       0        4        0        0        4
37886 libpocojson80                      	       0        5        0        0        5
37887 libpocojwt100                      	       0        1        0        0        1
37888 libpocojwt70                       	       0        3        0        0        3
37889 libpocojwt80                       	       0        4        0        0        4
37890 libpocomongodb100                  	       0        1        0        0        1
37891 libpocomongodb70                   	       0        3        0        0        3
37892 libpocomongodb80                   	       0        4        0        0        4
37893 libpoconet100                      	       0        1        0        0        1
37894 libpoconet70                       	       0        7        0        0        7
37895 libpoconet80                       	       0        8        0        0        8
37896 libpoconetssl100                   	       0        1        0        0        1
37897 libpoconetssl70                    	       0        4        0        0        4
37898 libpoconetssl80                    	       0        4        0        0        4
37899 libpocoprometheus100               	       0        1        0        0        1
37900 libpocoredis100                    	       0        1        0        0        1
37901 libpocoredis70                     	       0        3        0        0        3
37902 libpocoredis80                     	       0        4        0        0        4
37903 libpocoutil100                     	       0        1        0        0        1
37904 libpocoutil70                      	       0        4        0        0        4
37905 libpocoutil80                      	       0        5        0        0        5
37906 libpocoxml100                      	       0        5        0        0        5
37907 libpocoxml70                       	       0        7        0        0        7
37908 libpocoxml80                       	       0        9        0        0        9
37909 libpocozip100                      	       0        5        0        0        5
37910 libpocozip70                       	       0        3        0        0        3
37911 libpocozip80                       	       0        6        0        0        6
37912 libpod-2-docbook-perl              	       0        1        1        0        0
37913 libpod-abstract-perl               	       0        2        2        0        0
37914 libpod-constants-perl              	       0      189      187        2        0
37915 libpod-coverage-perl               	       0       15       15        0        0
37916 libpod-coverage-trustpod-perl      	       0        1        1        0        0
37917 libpod-elemental-perl              	       0        1        1        0        0
37918 libpod-elemental-perlmunger-perl   	       0        1        1        0        0
37919 libpod-elemental-transformer-list-perl	       0        1        1        0        0
37920 libpod-eventual-perl               	       0        1        1        0        0
37921 libpod-index-perl                  	       0        1        1        0        0
37922 libpod-minimumversion-perl         	       0        1        1        0        0
37923 libpod-pandoc-perl                 	       0        3        3        0        0
37924 libpod-plainer-perl                	       0       15       15        0        0
37925 libpod-pom-view-restructured-perl  	       0        1        1        0        0
37926 libpod-projectdocs-perl            	       0        1        1        0        0
37927 libpod-pseudopod-perl              	       0        1        1        0        0
37928 libpod-sax-perl                    	       0        1        1        0        0
37929 libpod-simple-perl                 	       0        3        3        0        0
37930 libpod-simple-wiki-perl            	       0        1        1        0        0
37931 libpod-strip-perl                  	       0        2        2        0        0
37932 libpod-tests-perl                  	       0        1        1        0        0
37933 libpod-thread-perl                 	       0        3        3        0        0
37934 libpod-tree-perl                   	       0        1        1        0        0
37935 libpod-weaver-perl                 	       0        1        1        0        0
37936 libpod-weaver-plugin-ensureuniquesections-perl	       0        1        1        0        0
37937 libpod-weaver-section-contributors-perl	       0        1        1        0        0
37938 libpod-weaver-section-legal-complicated-perl	       0        1        1        0        0
37939 libpod-weaver-section-support-perl 	       0        1        1        0        0
37940 libpod-webserver-perl              	       0        1        1        0        0
37941 libpod-wordlist-hanekomu-perl      	       0        1        1        0        0
37942 libpod-wsdl-perl                   	       0        2        2        0        0
37943 libpod-xhtml-perl                  	       0        1        1        0        0
37944 libpod2-base-perl                  	       0        8        7        1        0
37945 libpodofo-dev                      	       0        7        7        0        0
37946 libpodofo-utils                    	       0        5        5        0        0
37947 libpodofo0.9.0                     	       0        7        0        0        7
37948 libpodofo0.9.4                     	       0       12        0        0       12
37949 libpodofo0.9.6                     	       0       26        0        0       26
37950 libpodofo0.9.7                     	       0       75        0        0       75
37951 libpodofo0.9.8                     	       0      237        0        0      237
37952 libpodofo0.9.8t64                  	       0       35        0        0       35
37953 libpoe-component-client-http-perl  	       0        1        1        0        0
37954 libpoe-component-client-keepalive-perl	       0        1        1        0        0
37955 libpoe-component-client-ping-perl  	       0        1        1        0        0
37956 libpoe-component-resolver-perl     	       0        1        1        0        0
37957 libpoe-loop-event-perl             	       0        1        1        0        0
37958 libpoe-loop-tk-perl                	       0        7        6        1        0
37959 libpoe-perl                        	       0       14       13        1        0
37960 libpoet-perl                       	       0        1        1        0        0
37961 libpoke0                           	       0        1        0        0        1
37962 libpoke1                           	       0        2        0        0        2
37963 libpolarssl-dev                    	       0        2        2        0        0
37964 libpolarssl-runtime                	       0        1        1        0        0
37965 libpolarssl0                       	       0        1        0        0        1
37966 libpolarssl7                       	       0        1        0        0        1
37967 libpolkit-agent-1-0-dbgsym         	       0        1        1        0        0
37968 libpolkit-agent-1-dev              	       0        5        5        0        0
37969 libpolkit-backend-1-0              	       0      377        0        0      377
37970 libpolkit-backend-1-0-consolekit   	       0        1        0        0        1
37971 libpolkit-backend-1-0-systemd      	       0        2        0        0        2
37972 libpolkit-backend-consolekit-1-0-dbgsym	       0        1        1        0        0
37973 libpolkit-dbus2                    	       0        1        0        0        1
37974 libpolkit-gnome0                   	       0        1        0        0        1
37975 libpolkit-gobject-1-0              	       0     3706        1        0     3705
37976 libpolkit-gobject-1-0-consolekit   	       0        1        0        0        1
37977 libpolkit-gobject-1-0-elogind      	       0        5        0        0        5
37978 libpolkit-gobject-1-0-systemd      	       0        2        0        0        2
37979 libpolkit-gobject-1-dev            	       0       35        0        0       35
37980 libpolkit-gobject-consolekit-1-0-dbgsym	       0        1        1        0        0
37981 libpolkit-gobject-consolekit-1-dev 	       0        2        2        0        0
37982 libpolkit-gobject-elogind-1-dev    	       0       36       34        2        0
37983 libpolkit-grant2                   	       0        1        0        0        1
37984 libpolkit-gtk-mate-1-0             	       0        4        0        0        4
37985 libpolkit-qt-1-1                   	       0       47        1        0       46
37986 libpolkit-qt5-1-dev                	       0        3        3        0        0
37987 libpolkit-qt6-1-dev                	       0        1        1        0        0
37988 libpolkit2                         	       0        1        0        0        1
37989 libpolled-camera-dev               	       0        1        1        0        0
37990 libpolled-camera0d                 	       0        1        0        0        1
37991 libpolly-16-dev                    	       0        1        1        0        0
37992 libpolyclipping-dev                	       0        1        0        0        1
37993 libpolyclipping16                  	       0        1        0        0        1
37994 libpolyclipping22                  	       0       29        0        0       29
37995 libpolyglot-maven-java             	       0       51        0        0       51
37996 libpolyglot-maven-java-doc         	       0        2        0        0        2
37997 libpolylib64-8                     	       0        1        0        0        1
37998 libpolymake-dev-common             	       0        3        3        0        0
37999 libpolyml-dev                      	       0        1        0        0        1
38000 libpolyml9                         	       0        2        0        0        2
38001 libpolyorb4                        	       0        1        0        0        1
38002 libpomp2-dev                       	       0        1        1        0        0
38003 libpoppler-cil                     	       0        1        1        0        0
38004 libpoppler-cpp-dev                 	       0       10       10        0        0
38005 libpoppler-cpp0                    	       0        3        0        0        3
38006 libpoppler-cpp0t64                 	       0       34        0        0       34
38007 libpoppler-cpp0v5                  	       0     2507        0        0     2507
38008 libpoppler-cpp1                    	       0      140        0        0      140
38009 libpoppler-cpp2                    	       0       32        0        0       32
38010 libpoppler-csp                     	       0        1        0        0        1
38011 libpoppler-csp-qt5                 	       0        1        0        0        1
38012 libpoppler-dev                     	       0       85        0        0       85
38013 libpoppler-glib-dev                	       0       31       30        1        0
38014 libpoppler-glib-doc                	       0        2        0        0        2
38015 libpoppler-glib3                   	       0        1        0        0        1
38016 libpoppler-glib6                   	       0        1        0        0        1
38017 libpoppler-private-dev             	       0       47       46        1        0
38018 libpoppler-qt4-4                   	       0       27        0        0       27
38019 libpoppler-qt4-dev                 	       0        1        1        0        0
38020 libpoppler-qt5-1t64                	       0       67        2        0       65
38021 libpoppler-qt5-dev                 	       0       11       11        0        0
38022 libpoppler-qt6-3                   	       0        2        0        0        2
38023 libpoppler-qt6-dev                 	       0        1        1        0        0
38024 libpoppler-tqt                     	       0       30        1        0       29
38025 libpoppler0c2                      	       0        2        0        0        2
38026 libpoppler0c2-glib                 	       0        1        0        0        1
38027 libpoppler118                      	       0       10        0        0       10
38028 libpoppler123                      	       0       14        1        0       13
38029 libpoppler126t64                   	       0        9        1        0        8
38030 libpoppler13                       	       0        2        0        0        2
38031 libpoppler134                      	       0       44        5        0       39
38032 libpoppler19                       	       0       11        0        0       11
38033 libpoppler3                        	       0        2        0        0        2
38034 libpoppler44                       	       0        5        0        0        5
38035 libpoppler46                       	       0       41        0        0       41
38036 libpoppler5                        	       0        1        0        0        1
38037 libpoppler57                       	       0        1        0        0        1
38038 libpoppler64                       	       0      117        0        0      117
38039 libpoppler68                       	       0        1        0        0        1
38040 libpoppler72                       	       0        1        0        0        1
38041 libpoppler74                       	       0        1        0        0        1
38042 libpoppler82                       	       0      224        0        0      224
38043 libpopplerkit0                     	       0        9        8        1        0
38044 libportal-dev                      	       0        3        3        0        0
38045 libportal-doc                      	       0        1        0        0        1
38046 libportal-qt5-1                    	       0        4        0        0        4
38047 libportal-qt5-dev                  	       0        2        2        0        0
38048 libportal-tests-qt5                	       0        2        2        0        0
38049 libportaudio-dev                   	       0        3        3        0        0
38050 libportaudio-doc                   	       0        1        1        0        0
38051 libportaudio-ocaml                 	       0        2        2        0        0
38052 libportaudio-ocaml-dev             	       0        1        1        0        0
38053 libportaudio0                      	       0        6        0        0        6
38054 libportaudiocpp0                   	       0       89        1        0       88
38055 libportlet-api-2.0-spec-java       	       0        1        0        0        1
38056 libportmidi-dev                    	       0       18       18        0        0
38057 libportmidi0                       	       0      749        2        0      747
38058 libportsmf-dev                     	       0        2        2        0        0
38059 libportsmf0                        	       0      487        1        0      486
38060 libportsmf0t64                     	       0       35        0        0       35
38061 libposix-strftime-compiler-perl    	       0       17       17        0        0
38062 libposix-strptime-perl             	       0      181        0        0      181
38063 libposixsignalmanager0a            	       0        1        0        0        1
38064 libpostgis-java                    	       0        4        0        0        4
38065 libpostgresql-jdbc-java            	       0       42        0        0       42
38066 libpostgresql-jdbc-java-doc        	       0        1        0        0        1
38067 libpostproc-ffmpeg53               	       0        5        0        0        5
38068 libpostproc0d                      	       0        1        0        0        1
38069 libpostproc51                      	       0        4        1        0        3
38070 libpostproc52                      	       0       47        0        0       47
38071 libpostproc53                      	       0        8        0        0        8
38072 libpostproc54                      	       0      122        0        0      122
38073 libpostproc55-dbgsym               	       0        1        1        0        0
38074 libpostproc58-dbgsym               	       0        1        1        0        0
38075 libpostscript-file-perl            	       0        2        2        0        0
38076 libpostscript-perl                 	       0        5        5        0        0
38077 libpostscript-simple-perl          	       0        2        2        0        0
38078 libpostscriptbarcode               	       0        1        0        0        1
38079 libpotrace-dev                     	       0        3        3        0        0
38080 libpowercap0                       	       0        3        0        0        3
38081 libpowerkit1                       	       0        5        3        0        2
38082 libppd-dev                         	       0        2        2        0        0
38083 libppd0                            	       0        9        0        0        9
38084 libppd0-dbgsym                     	       0        1        1        0        0
38085 libppix-documentname-perl          	       0        1        1        0        0
38086 libppix-editortools-perl           	       0        1        1        0        0
38087 libppl-c4                          	       0       17        0        0       17
38088 libppl-dev                         	       0       12       12        0        0
38089 libppl-doc                         	       0        1        0        0        1
38090 libppl12                           	       0        1        0        0        1
38091 libppl13                           	       0        3        0        0        3
38092 libppl14                           	       0       19        0        0       19
38093 libppsdocument-4.0-5               	       0        1        0        0        1
38094 libppsview-4.0-4                   	       0        1        0        0        1
38095 libppx-derivers-ocaml-dev          	       0        6        6        0        0
38096 libppxlib-ocaml-dev                	       0        6        6        0        0
38097 libpqmarble2t64                    	       0        1        0        0        1
38098 libpqxx-2.6.9ldbl                  	       0        2        0        0        2
38099 libpqxx-3.0                        	       0        1        0        0        1
38100 libpqxx-6.2                        	       0        2        0        0        2
38101 libpqxx-6.4                        	       0        8        0        0        8
38102 libpqxx-7.9                        	       0        1        0        0        1
38103 libpqxx-dev                        	       0        5        5        0        0
38104 libpqxx-doc                        	       0        1        0        0        1
38105 libprawn-ruby1.9.1                 	       0        1        0        0        1
38106 libpreferencepanes1                	       0       10        9        1        0
38107 libprefork-perl                    	       0        1        1        0        0
38108 libprelude2                        	       0        2        2        0        0
38109 libprelude23                       	       0        1        0        0        1
38110 libprelude28                       	       0        5        0        0        5
38111 libpresage-data                    	       0       27        0        0       27
38112 libprimecount7                     	       0        9        0        0        9
38113 libprimesieve11                    	       0       13        0        0       13
38114 libprimesieve12                    	       0        1        0        0        1
38115 libprimus-vk1                      	       0       18        0        0       18
38116 libprinterconf0c2a                 	       0        3        0        0        3
38117 libprismatic-plumbing-clojure      	       0        1        0        0        1
38118 libprismatic-schema-clojure        	       0        2        0        0        2
38119 libprison0                         	       0        7        1        0        6
38120 libprivileges-drop-perl            	       0        1        1        0        0
38121 libprobe-perl-perl                 	       0        1        1        0        0
38122 libproc-background-perl            	       0        1        1        0        0
38123 libproc-fastspawn-perl             	       0        5        0        0        5
38124 libproc-invokeeditor-perl          	       0        1        1        0        0
38125 libproc-reliable-perl              	       0        1        1        0        0
38126 libproc-simple-perl                	       0       16       16        0        0
38127 libproc-wait3-perl                 	       0        1        0        0        1
38128 libproc2-dev                       	       0        2        2        0        0
38129 libprocess-cpp-doc                 	       0        1        0        0        1
38130 libprocess-cpp3                    	       0        2        0        0        2
38131 libprocesscore4abi1                	       0        6        1        0        5
38132 libprocesscore7                    	       0       31        0        0       31
38133 libprocessing-core-java            	       0        2        0        0        2
38134 libprocessui4a                     	       0        5        1        0        4
38135 libprocessui7                      	       0       30        0        0       30
38136 libprocessui9                      	       0      514        3        0      511
38137 libprocps-dev                      	       0        2        2        0        0
38138 libprocps0                         	       0       72        0        0       72
38139 libprocps3                         	       0      231        0        0      231
38140 libprocps4                         	       0      403        0        0      403
38141 libprocps5                         	       0        1        0        0        1
38142 libprocps6                         	       0      486        0        0      486
38143 libprocps7                         	       0      534        0        0      534
38144 libprocyon-java                    	       0       24        0        0       24
38145 libprogress-any-output-termprogressbarcolor-perl	       0        1        1        0        0
38146 libprogress-any-perl               	       0        1        1        0        0
38147 libproguard-java                   	       0       32        0        0       32
38148 libproj-dev                        	       0       51       50        1        0
38149 libproj0                           	       0        6        0        0        6
38150 libproj12                          	       0       16        0        0       16
38151 libproj13                          	       0       65        1        0       64
38152 libproj15                          	       0        1        0        0        1
38153 libproj19                          	       0      142        2        0      140
38154 libproj22                          	       0        4        0        0        4
38155 libprojectbuilder-perl             	       0        1        1        0        0
38156 libprojectm-dev                    	       0        5        5        0        0
38157 libprojectm-qt1v5                  	       0        2        0        0        2
38158 libprojectm2                       	       0        2        0        0        2
38159 libprojectm2v5                     	       0       12        0        0       12
38160 libpromises3                       	       0        7        0        0        7
38161 libproperties-cpp-dev              	       0        2        2        0        0
38162 libproperties-cpp-doc              	       0        1        0        0        1
38163 libproperties-maven-plugin-java    	       0        1        0        0        1
38164 libprotobuf-c-dev                  	       0       28       28        0        0
38165 libprotobuf-java                   	       0       22        0        0       22
38166 libprotobuf-java-format-java       	       0        2        0        0        2
38167 libprotobuf-lite10                 	       0       55        0        0       55
38168 libprotobuf-lite17                 	       0      121        0        0      121
38169 libprotobuf-lite22                 	       0        1        0        0        1
38170 libprotobuf-lite23                 	       0      347        1        0      346
38171 libprotobuf-lite32                 	       0     1092        5        0     1087
38172 libprotobuf-lite32t64              	       0      133        3        0      130
38173 libprotobuf-lite9                  	       0        2        0        0        2
38174 libprotobuf-lite9v5                	       0        1        0        0        1
38175 libprotobuf10                      	       0       49        0        0       49
38176 libprotobuf17                      	       0      108        1        0      107
38177 libprotobuf22                      	       0        2        1        0        1
38178 libprotobuf7                       	       0        4        0        0        4
38179 libprotobuf9                       	       0       12        0        0       12
38180 libprotobuf9v5                     	       0        1        0        0        1
38181 libprotoc10                        	       0        2        0        0        2
38182 libprotoc17                        	       0        8        0        0        8
38183 libprotoc22                        	       0        1        0        0        1
38184 libprotoc23                        	       0       14        0        0       14
38185 libprotoc32                        	       0      121        0        0      121
38186 libprotoc32t64                     	       0       17        0        0       17
38187 libprotoc9                         	       0        1        0        0        1
38188 libprotozero-dev                   	       0        2        0        0        2
38189 libproxy-dev                       	       0        9        9        0        0
38190 libproxy0                          	       0       11        0        0       11
38191 libproxy1                          	       0       23        0        0       23
38192 libproxy1-plugin-gsettings         	       0      129        5        1      123
38193 libproxy1-plugin-kconfig           	       0        7        1        0        6
38194 libproxychains3                    	       0       22       22        0        0
38195 libproxychains4                    	       0       10        0        0       10
38196 libps2000                          	       0        5        5        0        0
38197 libps2000a                         	       0        4        4        0        0
38198 libps3000                          	       0        4        4        0        0
38199 libps3000a                         	       0        4        4        0        0
38200 libps4000                          	       0        4        4        0        0
38201 libps4000a                         	       0        4        4        0        0
38202 libps5000                          	       0        4        4        0        0
38203 libps5000a                         	       0        4        4        0        0
38204 libps6000                          	       0        4        4        0        0
38205 libps6000a                         	       0        4        4        0        0
38206 libpseudo                          	       0        4        0        0        4
38207 libpsiconv-dev                     	       0        1        1        0        0
38208 libpsiconv6                        	       0        1        0        0        1
38209 libpskc-dev                        	       0        3        3        0        0
38210 libpskc0t64                        	       0       53        4        0       49
38211 libpsl-dev                         	       0      115      111        4        0
38212 libpsl0                            	       0      209        0        0      209
38213 libpsm2-2                          	       0      327        0        0      327
38214 libpsmt2-frontend-ocaml            	       0        1        1        0        0
38215 libpsospa                          	       0        3        3        0        0
38216 libpspell-dev                      	       0        3        3        0        0
38217 libpst4t64                         	       0       11        0        0       11
38218 libpstat1                          	       0        1        1        0        0
38219 libpstoedit0c2a                    	       0      229        0        0      229
38220 libpstoedit0t64                    	       0       29        0        0       29
38221 libpsych-java                      	       0        1        0        0        1
38222 libpt-1.10.0                       	       0        1        0        0        1
38223 libpt-plugins-v4l2                 	       0        1        1        0        0
38224 libpt2.10.10                       	       0        2        2        0        0
38225 libpt2.10.11                       	       0        7        7        0        0
38226 libpt2.10.4                        	       0        2        2        0        0
38227 libpt2.10.9                        	       0        1        1        0        0
38228 libpt2.6.7                         	       0        1        1        0        0
38229 libptexenc-dev                     	       0        1        1        0        0
38230 libptexenc1                        	       0      635        0        0      635
38231 libpth-dev                         	       0        4        4        0        0
38232 libpth20                           	       0       99        2        0       97
38233 libpth20t64                        	       0        1        0        0        1
38234 libpthread-stubs0                  	       0       11        0        0       11
38235 libpthread-stubs0-dev              	       0     1096        0        0     1096
38236 libpthreadpool-dev                 	       0        2        2        0        0
38237 libpthreadpool0                    	       0      112        0        0      112
38238 libptl-dev                         	       0        1        1        0        0
38239 libptl2                            	       0        1        0        0        1
38240 libptscotch-5.1                    	       0        1        1        0        0
38241 libptscotch-6.0                    	       0        1        0        0        1
38242 libptscotch-6.1                    	       0        2        0        0        2
38243 libptscotch-7.0                    	       0       57        0        0       57
38244 libptscotch-dev                    	       0        4        4        0        0
38245 libpugixml-dev                     	       0       22        0        0       22
38246 libpugixml1                        	       0        1        0        0        1
38247 libpugl-0-0                        	       0        1        0        0        1
38248 libpugl-dev                        	       0        1        1        0        0
38249 libpulse-java                      	       0        4        0        0        4
38250 libpulse-jni                       	       0        4        0        0        4
38251 libpulse-mainloop-glib0-dbgsym     	       0        1        1        0        0
38252 libpulse-ocaml                     	       0        4        3        0        1
38253 libpulse-ocaml-dev                 	       0        2        2        0        0
38254 libpulse0-dbgsym                   	       0        1        1        0        0
38255 libpulsecore5                      	       0        1        0        0        1
38256 libpulsedsp                        	       0     2930        0        0     2930
38257 libpulsedsp-dbgsym                 	       0        1        1        0        0
38258 libpuppetlabs-http-client-clojure  	       0        1        0        0        1
38259 libpuppetlabs-i18n-clojure         	       0        1        0        0        1
38260 libpuppetlabs-ring-middleware-clojure	       0        1        0        0        1
38261 libpurelibc1                       	       0        2        0        0        2
38262 libpurify-dev                      	       0        2        2        0        0
38263 libpurify2.0                       	       0        2        0        0        2
38264 libpurple-dev                      	       0       20       18        2        0
38265 libpurple-telegram-tdlib           	       0        1        1        0        0
38266 libpurple0-dbgsym                  	       0        1        1        0        0
38267 libpurple0t64                      	       0       28        3        0       25
38268 libpuzzle-bin                      	       0        1        1        0        0
38269 libpuzzle-dev                      	       0        1        1        0        0
38270 libpuzzle1                         	       0        1        0        0        1
38271 libpvm3                            	       0        8        0        0        8
38272 libpwiz3                           	       0        1        0        0        1
38273 libpwquality-common                	       0      940        0        0      940
38274 libpwquality-dev                   	       0        2        2        0        0
38275 libpwquality-tools                 	       0       15       14        1        0
38276 libpynac-dev                       	       0        1        1        0        0
38277 libpynac18                         	       0        1        0        0        1
38278 libpynac18py3                      	       0        1        0        0        1
38279 libpyside-dev                      	       0        1        1        0        0
38280 libpyside-py3-1.2                  	       0        1        0        0        1
38281 libpyside1.2                       	       0        8        0        0        8
38282 libpyside2-5.11                    	       0        4        4        0        0
38283 libpyside2-dev                     	       0        6        6        0        0
38284 libpyside2-py3-5.15                	       0      120      118        1        1
38285 libpyside2-py3-5.15t64             	       0       14       13        1        0
38286 libpyside6-py3-6.7                 	       0        1        1        0        0
38287 libpystring0                       	       0      211        1        0      210
38288 libpython-all-dbg                  	       0        4        0        0        4
38289 libpython-all-dev                  	       0       56        0        0       56
38290 libpython-dbg                      	       0        4        4        0        0
38291 libpython-stdlib                   	       0      506        0        0      506
38292 libpython2-dbg                     	       0        6        6        0        0
38293 libpython2-dev                     	       0       79       79        0        0
38294 libpython2-stdlib                  	       0      576        0        0      576
38295 libpython2.6                       	       0        7        7        0        0
38296 libpython2.7-dbg                   	       0        8        8        0        0
38297 libpython3-all-dbg                 	       0        8        0        0        8
38298 libpython3-all-dev                 	       0      452        0        0      452
38299 libpython3-dbg                     	       0       16       16        0        0
38300 libpython3-stdlib                  	       0     4114        0        0     4114
38301 libpython3.10                      	       0       26        1        0       25
38302 libpython3.10-dbg                  	       0        1        1        0        0
38303 libpython3.10-dev                  	       0       10       10        0        0
38304 libpython3.11-dbg                  	       0        5        5        0        0
38305 libpython3.11-testsuite            	       0       58       53        5        0
38306 libpython3.11t64                   	       0       42        3        0       39
38307 libpython3.11t64-dbg               	       0        1        1        0        0
38308 libpython3.12-dev                  	       0       67       64        3        0
38309 libpython3.12-testsuite            	       0        3        3        0        0
38310 libpython3.12t64-dbg               	       0        1        1        0        0
38311 libpython3.13-dev                  	       0       21       10       11        0
38312 libpython3.13-testsuite            	       0        1        1        0        0
38313 libpython3.2                       	       0        3        3        0        0
38314 libpython3.3                       	       0        1        0        0        1
38315 libpython3.3-minimal               	       0        4        4        0        0
38316 libpython3.3-stdlib                	       0        4        4        0        0
38317 libpython3.4                       	       0       20        0        0       20
38318 libpython3.4-dev                   	       0        3        3        0        0
38319 libpython3.5                       	       0      107        0        0      107
38320 libpython3.5-dbg                   	       0        3        3        0        0
38321 libpython3.5-dev                   	       0       19       19        0        0
38322 libpython3.6                       	       0        3        0        0        3
38323 libpython3.6-dev                   	       0        1        1        0        0
38324 libpython3.6-minimal               	       0        8        8        0        0
38325 libpython3.6-stdlib                	       0        8        8        0        0
38326 libpython3.7                       	       0      227        1        0      226
38327 libpython3.7-dbg                   	       0        4        4        0        0
38328 libpython3.7-dev                   	       0       45       45        0        0
38329 libpython3.7-testsuite             	       0        1        1        0        0
38330 libpython3.8                       	       0        5        0        0        5
38331 libpython3.8-dev                   	       0        1        1        0        0
38332 libpython3.8-minimal               	       0        8        8        0        0
38333 libpython3.8-stdlib                	       0        7        7        0        0
38334 libpython3.9-testsuite             	       0        3        3        0        0
38335 libpythonize0-trinity              	       0        2        0        0        2
38336 libpyzy-1.0-0v5                    	       0        1        1        0        0
38337 libqaccessibilityclient-qt5-dev    	       0        2        2        0        0
38338 libqaccessibilityclient0           	       0        8        0        0        8
38339 libqalculate-dev                   	       0        6        6        0        0
38340 libqalculate-doc                   	       0        2        0        0        2
38341 libqalculate20                     	       0      118       13        0      105
38342 libqalculate20-data                	       0      120        0        0      120
38343 libqalculate22t64                  	       0        2        0        0        2
38344 libqalculate5                      	       0        5        1        0        4
38345 libqalculate5-data                 	       0       18        0        0       18
38346 libqalculate5v5                    	       0       13        0        0       13
38347 libqapt3                           	       0       48        0        0       48
38348 libqb-dev                          	       0       14       14        0        0
38349 libqb0                             	       0       15        0        0       15
38350 libqbscore1.12                     	       0        3        0        0        3
38351 libqbscore1.24                     	       0        2        0        0        2
38352 libqbscore1.7                      	       0        3        0        0        3
38353 libqbscore2.1                      	       0        1        0        0        1
38354 libqbsqtprofilesetup1.12           	       0        3        0        0        3
38355 libqbsqtprofilesetup1.7            	       0        3        0        0        3
38356 libqbsscriptengine1.24             	       0        3        0        0        3
38357 libqca-qt5-2-dev                   	       0        8        8        0        0
38358 libqca-qt6-dev                     	       0        1        1        0        0
38359 libqca-qt6-plugins                 	       0       77        3        0       74
38360 libqca2-dev                        	       0        1        1        0        0
38361 libqca2-plugin-ossl                	       0       15        0        0       15
38362 libqca2-plugins                    	       0       42        0        0       42
38363 libqcoro5core0                     	       0        2        0        0        2
38364 libqcoro5dbus0                     	       0        2        0        0        2
38365 libqcoro5network0                  	       0        2        0        0        2
38366 libqcoro5qml0                      	       0        2        0        0        2
38367 libqcoro5quick0                    	       0        1        0        0        1
38368 libqcoro5websockets0               	       0        1        0        0        1
38369 libqcoro6core0t64                  	       0       17        0        0       17
38370 libqcoro6qml0t64                   	       0        1        0        0        1
38371 libqcow-dev                        	       0        2        2        0        0
38372 libqcow-utils                      	       0       11       11        0        0
38373 libqcow1                           	       0       24        0        0       24
38374 libqcow1t64                        	       0        2        0        0        2
38375 libqcsxcad0                        	       0        6        0        0        6
38376 libqcustomplot-dev                 	       0        2        2        0        0
38377 libqcustomplot-doc                 	       0        1        1        0        0
38378 libqcustomplot1.2                  	       0        2        0        0        2
38379 libqcustomplot1.3                  	       0        4        0        0        4
38380 libqcustomplot2.0                  	       0        3        0        0        3
38381 libqcustomplot2.1                  	       0       26        0        0       26
38382 libqcustomplot2.1-qt6              	       0        2        0        0        2
38383 libqd-dev                          	       0        1        1        0        0
38384 libqd0v5                           	       0        1        0        0        1
38385 libqdbm-dev                        	       0        3        3        0        0
38386 libqdbm14t64                       	       0        1        0        0        1
38387 libqdox-java                       	       0      138        0        0      138
38388 libqdox-java-doc                   	       0        6        0        0        6
38389 libqdox2-java                      	       0       27        0        0       27
38390 libqdox2-java-doc                  	       0        1        0        0        1
38391 libqdwizard-java                   	       0        1        0        0        1
38392 libqedje0a                         	       0        1        0        0        1
38393 libqemu-dev                        	       0        1        1        0        0
38394 libqes0                            	       0        1        0        0        1
38395 libqextserialport1                 	       0        4        0        0        4
38396 libqfits-dev                       	       0        2        2        0        0
38397 libqfits0                          	       0        4        0        0        4
38398 libqgis-3d3.10.14                  	       0        8        0        0        8
38399 libqgis-3d3.16.15                  	       0        1        0        0        1
38400 libqgis-3d3.16.16                  	       0        1        0        0        1
38401 libqgis-3d3.18.3                   	       0        1        0        0        1
38402 libqgis-3d3.22.12                  	       0        1        0        0        1
38403 libqgis-3d3.22.16                  	       0       26        1        0       25
38404 libqgis-3d3.22.2                   	       0        1        0        0        1
38405 libqgis-3d3.22.4                   	       0        1        0        0        1
38406 libqgis-3d3.28.10                  	       0        1        0        0        1
38407 libqgis-3d3.28.14                  	       0        1        0        0        1
38408 libqgis-3d3.32.2                   	       0        1        0        0        1
38409 libqgis-3d3.34.10                  	       0        1        0        0        1
38410 libqgis-3d3.34.12                  	       0        1        0        0        1
38411 libqgis-3d3.34.2                   	       0        2        0        0        2
38412 libqgis-3d3.34.6                   	       0        1        0        0        1
38413 libqgis-3d3.34.7                   	       0        1        0        0        1
38414 libqgis-3d3.34.8                   	       0        1        0        0        1
38415 libqgis-3d3.38.2                   	       0        1        1        0        0
38416 libqgis-analysis2.18.28            	       0        2        0        0        2
38417 libqgis-analysis2.4.0              	       0        1        0        0        1
38418 libqgis-analysis3.10.14            	       0        9        0        0        9
38419 libqgis-analysis3.16.15            	       0        1        0        0        1
38420 libqgis-analysis3.16.16            	       0        1        0        0        1
38421 libqgis-analysis3.18.3             	       0        1        0        0        1
38422 libqgis-analysis3.22.16            	       0       26        1        0       25
38423 libqgis-analysis3.22.2             	       0        1        0        0        1
38424 libqgis-analysis3.28.10            	       0        1        0        0        1
38425 libqgis-analysis3.28.11            	       0        1        0        0        1
38426 libqgis-analysis3.32.2             	       0        1        0        0        1
38427 libqgis-analysis3.34.10            	       0        1        0        0        1
38428 libqgis-analysis3.34.12            	       0        1        0        0        1
38429 libqgis-analysis3.34.2             	       0        2        0        0        2
38430 libqgis-analysis3.34.6             	       0        1        0        0        1
38431 libqgis-analysis3.34.7             	       0        1        0        0        1
38432 libqgis-analysis3.34.8             	       0        1        0        0        1
38433 libqgis-analysis3.38.2             	       0        1        1        0        0
38434 libqgis-app2.18.28                 	       0        2        0        0        2
38435 libqgis-app3.10.14                 	       0        8        0        0        8
38436 libqgis-app3.18.3                  	       0        1        0        0        1
38437 libqgis-app3.22.16                 	       0       25        1        0       24
38438 libqgis-app3.22.2                  	       0        1        0        0        1
38439 libqgis-app3.28.10                 	       0        1        0        0        1
38440 libqgis-app3.32.2                  	       0        1        0        0        1
38441 libqgis-app3.34.10                 	       0        1        0        0        1
38442 libqgis-app3.34.12                 	       0        1        0        0        1
38443 libqgis-app3.34.2                  	       0        2        0        0        2
38444 libqgis-app3.34.6                  	       0        1        0        0        1
38445 libqgis-app3.34.7                  	       0        1        0        0        1
38446 libqgis-app3.34.8                  	       0        1        0        0        1
38447 libqgis-app3.38.2                  	       0        1        1        0        0
38448 libqgis-core2.18.28                	       0        2        0        0        2
38449 libqgis-core2.4.0                  	       0        1        0        0        1
38450 libqgis-core3.10.13                	       0        1        0        0        1
38451 libqgis-core3.10.14                	       0        9        1        0        8
38452 libqgis-core3.16.15                	       0        1        0        0        1
38453 libqgis-core3.16.16                	       0        1        0        0        1
38454 libqgis-core3.18.3                 	       0        1        0        0        1
38455 libqgis-core3.22.12                	       0        1        0        0        1
38456 libqgis-core3.22.16                	       0       27        1        0       26
38457 libqgis-core3.22.2                 	       0        1        0        0        1
38458 libqgis-core3.22.4                 	       0        1        0        0        1
38459 libqgis-core3.28.10                	       0        1        0        0        1
38460 libqgis-core3.28.11                	       0        1        0        0        1
38461 libqgis-core3.28.14                	       0        1        0        0        1
38462 libqgis-core3.32.2                 	       0        1        0        0        1
38463 libqgis-core3.34.10                	       0        1        0        0        1
38464 libqgis-core3.34.12                	       0        1        0        0        1
38465 libqgis-core3.34.2                 	       0        2        0        0        2
38466 libqgis-core3.34.6                 	       0        1        0        0        1
38467 libqgis-core3.34.7                 	       0        1        0        0        1
38468 libqgis-core3.34.8                 	       0        1        0        0        1
38469 libqgis-core3.38.2                 	       0        1        1        0        0
38470 libqgis-customwidgets              	       0       44        0        0       44
38471 libqgis-dev                        	       0        1        1        0        0
38472 libqgis-gui2.18.28                 	       0        2        0        0        2
38473 libqgis-gui2.4.0                   	       0        1        0        0        1
38474 libqgis-gui3.10.14                 	       0        8        1        0        7
38475 libqgis-gui3.16.15                 	       0        1        0        0        1
38476 libqgis-gui3.16.16                 	       0        1        0        0        1
38477 libqgis-gui3.18.3                  	       0        1        0        0        1
38478 libqgis-gui3.22.12                 	       0        1        0        0        1
38479 libqgis-gui3.22.16                 	       0       27        1        0       26
38480 libqgis-gui3.22.2                  	       0        1        0        0        1
38481 libqgis-gui3.22.4                  	       0        1        0        0        1
38482 libqgis-gui3.28.10                 	       0        1        0        0        1
38483 libqgis-gui3.28.11                 	       0        1        0        0        1
38484 libqgis-gui3.28.14                 	       0        1        0        0        1
38485 libqgis-gui3.32.2                  	       0        1        0        0        1
38486 libqgis-gui3.34.10                 	       0        1        0        0        1
38487 libqgis-gui3.34.12                 	       0        1        0        0        1
38488 libqgis-gui3.34.2                  	       0        2        0        0        2
38489 libqgis-gui3.34.6                  	       0        1        0        0        1
38490 libqgis-gui3.34.7                  	       0        1        0        0        1
38491 libqgis-gui3.34.8                  	       0        1        0        0        1
38492 libqgis-gui3.38.2                  	       0        1        1        0        0
38493 libqgis-native3.10.13              	       0        1        0        0        1
38494 libqgis-native3.10.14              	       0        9        1        0        8
38495 libqgis-native3.10.4               	       0        1        0        0        1
38496 libqgis-native3.16.10              	       0        1        0        0        1
38497 libqgis-native3.16.12              	       0        1        0        0        1
38498 libqgis-native3.16.14              	       0        1        0        0        1
38499 libqgis-native3.16.15              	       0        1        0        0        1
38500 libqgis-native3.16.16              	       0        1        0        0        1
38501 libqgis-native3.18.3               	       0        1        0        0        1
38502 libqgis-native3.22.12              	       0        1        0        0        1
38503 libqgis-native3.22.16              	       0       27        1        0       26
38504 libqgis-native3.22.2               	       0        1        0        0        1
38505 libqgis-native3.22.4               	       0        1        0        0        1
38506 libqgis-native3.28.10              	       0        1        0        0        1
38507 libqgis-native3.28.11              	       0        1        0        0        1
38508 libqgis-native3.28.14              	       0        1        0        0        1
38509 libqgis-native3.32.2               	       0        1        0        0        1
38510 libqgis-native3.34.10              	       0        1        0        0        1
38511 libqgis-native3.34.12              	       0        1        0        0        1
38512 libqgis-native3.34.2               	       0        2        0        0        2
38513 libqgis-native3.34.6               	       0        1        0        0        1
38514 libqgis-native3.34.7               	       0        1        0        0        1
38515 libqgis-native3.34.8               	       0        1        0        0        1
38516 libqgis-native3.38.2               	       0        1        1        0        0
38517 libqgis-native3.4.6                	       0        1        0        0        1
38518 libqgis-networkanalysis2.18.28     	       0        2        0        0        2
38519 libqgis-networkanalysis2.4.0       	       0        1        0        0        1
38520 libqgis-server2.18.28              	       0        2        0        0        2
38521 libqgis-server3.10.14              	       0        9        0        0        9
38522 libqgis-server3.16.15              	       0        1        0        0        1
38523 libqgis-server3.16.16              	       0        1        0        0        1
38524 libqgis-server3.18.3               	       0        1        0        0        1
38525 libqgis-server3.22.12              	       0        1        0        0        1
38526 libqgis-server3.22.16              	       0       26        0        0       26
38527 libqgis-server3.22.2               	       0        1        0        0        1
38528 libqgis-server3.22.4               	       0        1        0        0        1
38529 libqgis-server3.28.10              	       0        1        0        0        1
38530 libqgis-server3.28.14              	       0        1        0        0        1
38531 libqgis-server3.32.2               	       0        1        0        0        1
38532 libqgis-server3.34.10              	       0        1        0        0        1
38533 libqgis-server3.34.12              	       0        1        0        0        1
38534 libqgis-server3.34.2               	       0        2        0        0        2
38535 libqgis-server3.34.6               	       0        1        0        0        1
38536 libqgis-server3.34.7               	       0        1        0        0        1
38537 libqgis-server3.34.8               	       0        1        0        0        1
38538 libqgis-server3.38.2               	       0        1        0        0        1
38539 libqgisgrass2.4.0                  	       0        1        0        0        1
38540 libqgisgrass7-2.18.28              	       0        1        0        0        1
38541 libqgisgrass7-3.10.14              	       0        7        0        0        7
38542 libqgisgrass7-3.16.15              	       0        1        0        0        1
38543 libqgisgrass7-3.16.16              	       0        1        0        0        1
38544 libqgisgrass7-3.18.3               	       0        1        0        0        1
38545 libqgisgrass7-3.22.2               	       0        1        0        0        1
38546 libqgisgrass7-3.22.4               	       0        1        0        0        1
38547 libqgisgrass8-3.22.12              	       0        1        0        0        1
38548 libqgisgrass8-3.22.16              	       0       26        1        0       25
38549 libqgisgrass8-3.28.10              	       0        1        0        0        1
38550 libqgisgrass8-3.28.14              	       0        1        0        0        1
38551 libqgisgrass8-3.34.10              	       0        1        0        0        1
38552 libqgisgrass8-3.34.12              	       0        1        0        0        1
38553 libqgisgrass8-3.34.6               	       0        1        0        0        1
38554 libqgisgrass8-3.34.7               	       0        1        0        0        1
38555 libqgisgrass8-3.34.8               	       0        1        0        0        1
38556 libqgisgrass8-3.38.2               	       0        1        0        0        1
38557 libqgispython2.18.28               	       0        2        0        0        2
38558 libqgispython3.10.14               	       0        9        0        0        9
38559 libqgispython3.16.15               	       0        1        0        0        1
38560 libqgispython3.16.16               	       0        1        0        0        1
38561 libqgispython3.18.3                	       0        1        0        0        1
38562 libqgispython3.22.12               	       0        1        0        0        1
38563 libqgispython3.22.16               	       0       26        1        0       25
38564 libqgispython3.22.2                	       0        1        0        0        1
38565 libqgispython3.22.4                	       0        1        0        0        1
38566 libqgispython3.28.10               	       0        1        0        0        1
38567 libqgispython3.28.14               	       0        1        0        0        1
38568 libqgispython3.32.2                	       0        1        0        0        1
38569 libqgispython3.34.10               	       0        1        0        0        1
38570 libqgispython3.34.12               	       0        1        0        0        1
38571 libqgispython3.34.2                	       0        2        0        0        2
38572 libqgispython3.34.6                	       0        1        0        0        1
38573 libqgispython3.34.7                	       0        1        0        0        1
38574 libqgispython3.34.8                	       0        1        0        0        1
38575 libqgispython3.38.2                	       0        1        1        0        0
38576 libqglviewer-dev-qt5               	       0        6        0        0        6
38577 libqglviewer-headers               	       0        6        6        0        0
38578 libqgpgme1                         	       0        4        1        0        3
38579 libqgpgme15t64                     	       0       21        4        0       17
38580 libqgpgme7                         	       0      106       11        0       95
38581 libqgpgmeqt6-dev                   	       0        1        1        0        0
38582 libqgsttools-p1                    	       0       23        0        0       23
38583 libqhttpengine-doc                 	       0        1        0        0        1
38584 libqhttpengine0                    	       0        1        0        0        1
38585 libqhull-dev                       	       0       46       45        1        0
38586 libqhull-doc                       	       0        1        1        0        0
38587 libqhull-r7                        	       0        3        0        0        3
38588 libqhull5                          	       0        1        0        0        1
38589 libqhull6                          	       0        3        0        0        3
38590 libqhull7                          	       0       74        0        0       74
38591 libqhull7deb1                      	       0        1        0        0        1
38592 libqhull8.0                        	       0      182        2        0      180
38593 libqhullcpp8.0                     	       0       44        0        0       44
38594 libqimageblitz4                    	       0       34        1        0       33
38595 libqjdns-qt5-2                     	       0        1        0        0        1
38596 libqjson-dev                       	       0        1        1        0        0
38597 libqjson0                          	       0       31        1        0       30
38598 libqm-dsp0                         	       0       68        0        0       68
38599 libqm-dsp0t64                      	       0        2        0        0        2
38600 libqmatrixclient0.4                	       0        1        0        0        1
38601 libqmi-glib1                       	       0       25        0        0       25
38602 libqmmp-misc                       	       0        1        1        0        0
38603 libqmmp0                           	       0        1        0        0        1
38604 libqmmpui0                         	       0        1        0        0        1
38605 libqmobipocket1                    	       0       17        0        0       17
38606 libqmobipocket2                    	       0      789        0        0      789
38607 libqmobipocket6-2                  	       0       34        0        0       34
38608 libqmobipocket6-dev                	       0        1        1        0        0
38609 libqoauth1                         	       0        3        0        0        3
38610 libqoauth2                         	       0        2        0        0        2
38611 libqof2                            	       0        1        0        0        1
38612 libqof2-backend-qsf                	       0        1        0        0        1
38613 libqofono-qt5-0                    	       0        1        0        0        1
38614 libqpdf-dev                        	       0        6        6        0        0
38615 libqpdf13                          	       0       29        0        0       29
38616 libqpdf17                          	       0       82        0        0       82
38617 libqpdf18                          	       0        1        0        0        1
38618 libqpdf21                          	       0      139        0        0      139
38619 libqpdf26                          	       0        1        0        0        1
38620 libqpdf28                          	       0      457        1        0      456
38621 libqpdf29                          	       0     2096        5        0     2091
38622 libqpdf29t64                       	       0      192        0        0      192
38623 libqpdf3                           	       0        1        0        0        1
38624 libqpid-proton11                   	       0        9        0        0        9
38625 libqpid-proton11-dev               	       0        1        1        0        0
38626 libqpol1                           	       0        5        0        0        5
38627 libqpx-dev                         	       0        2        2        0        0
38628 libqpx0                            	       0       12        0        0       12
38629 libqpx0t64                         	       0        3        0        0        3
38630 libqqc2breezestyle-dev             	       0        1        0        0        1
38631 libqqwing2v5                       	       0      384        0        0      384
38632 libqrcodegen-dev                   	       0        1        1        0        0
38633 libqrcodegen-java                  	       0        3        0        0        3
38634 libqrcodegen1                      	       0       12        0        0       12
38635 libqrcodegencpp-dev                	       0        7        0        0        7
38636 libqrencode-dev                    	       0       28       28        0        0
38637 libqrencode3                       	       0       66        1        0       65
38638 libqrtr1                           	       0        1        0        0        1
38639 libqrupdate-dev                    	       0        3        0        0        3
38640 libqrupdate1                       	       0       86        0        0       86
38641 libqsastime-dev                    	       0        4        4        0        0
38642 libqsastime0                       	       0        9        0        0        9
38643 libqsbr-dev                        	       0        1        1        0        0
38644 libqsbr1                           	       0        1        0        0        1
38645 libqscintilla2-11                  	       0        2        0        0        2
38646 libqscintilla2-12v5                	       0        4        0        0        4
38647 libqscintilla2-designer            	       0        1        0        0        1
38648 libqscintilla2-doc                 	       0        2        2        0        0
38649 libqscintilla2-l10n                	       0        5        0        0        5
38650 libqscintilla2-qt4-13              	       0        4        0        0        4
38651 libqscintilla2-qt4-l10n            	       0        4        0        0        4
38652 libqscintilla2-qt5-designer        	       0        4        0        0        4
38653 libqscintilla2-qt5-dev             	       0       11       11        0        0
38654 libqscintilla2-qt5-l10n            	       0      286        0        0      286
38655 libqscintilla2-qt6-15              	       0       14        0        0       14
38656 libqscintilla2-qt6-designer        	       0        1        0        0        1
38657 libqscintilla2-qt6-dev             	       0        1        1        0        0
38658 libqscintilla2-qt6-l10n            	       0       14        0        0       14
38659 libqsopt-ex-dev                    	       0        2        2        0        0
38660 libqsopt-ex2                       	       0       12        0        0       12
38661 libqt-jami                         	       0        5        5        0        0
38662 libqt0-ruby-trinity                	       0        2        2        0        0
38663 libqt3-compat-headers              	       0        2        2        0        0
38664 libqt3-headers                     	       0        4        4        0        0
38665 libqt3-i18n                        	       0        3        0        0        3
38666 libqt3-mt                          	       0        6        6        0        0
38667 libqt3-mt-sqlite                   	       0        1        1        0        0
38668 libqt4-assistant                   	       0        2        0        0        2
38669 libqt4-dbg                         	       0        1        1        0        0
38670 libqt4-dbus                        	       0      122        0        0      122
38671 libqt4-declarative-folderlistmodel 	       0        1        0        0        1
38672 libqt4-declarative-gestures        	       0        3        0        0        3
38673 libqt4-declarative-particles       	       0        3        0        0        3
38674 libqt4-declarative-shaders         	       0        1        0        0        1
38675 libqt4-designer                    	       0       74        0        0       74
38676 libqt4-dev                         	       0       16       16        0        0
38677 libqt4-dev-bin                     	       0       19       19        0        0
38678 libqt4-help                        	       0       40        0        0       40
38679 libqt4-opengl-dev                  	       0       13       13        0        0
38680 libqt4-qt3support                  	       0       61        0        0       61
38681 libqt4-scripttools                 	       0       40        0        0       40
38682 libqt4-sql-mysql                   	       0       75        1        0       74
38683 libqt4-sql-psql                    	       0        1        0        0        1
38684 libqt4-sql-sqlite                  	       0       58        0        0       58
38685 libqt4-test                        	       0       39        0        0       39
38686 libqt4-webkit                      	       0        3        0        0        3
38687 libqt4pas5                         	       0        2        0        0        2
38688 libqt5-ukui-style-dev              	       0        3        3        0        0
38689 libqt5-ukui-style1                 	       0        9        0        0        9
38690 libqt53danimation5                 	       0       54        0        0       54
38691 libqt53dcore5                      	       0       59        2        0       57
38692 libqt53dextras5                    	       0       55        2        0       53
38693 libqt53dinput5                     	       0       58        2        0       56
38694 libqt53dlogic5                     	       0       58        2        0       56
38695 libqt53dquick5                     	       0       10        0        0       10
38696 libqt53dquickanimation5            	       0        9        0        0        9
38697 libqt53dquickextras5               	       0       10        0        0       10
38698 libqt53dquickinput5                	       0       10        0        0       10
38699 libqt53dquickrender5               	       0       11        0        0       11
38700 libqt53dquickscene2d5              	       0       49        0        0       49
38701 libqt53drender5                    	       0       59        2        0       57
38702 libqt5bluetooth5                   	       0       14        0        0       14
38703 libqt5bluetooth5-bin               	       0       14       14        0        0
38704 libqt5charts5-dev                  	       0       19       19        0        0
38705 libqt5clucene5                     	       0       32        0        0       32
38706 libqt5concurrent5-dbgsym           	       0        1        1        0        0
38707 libqt5contacts5                    	       0        1        0        0        1
38708 libqt5contacts5a                   	       0        3        0        0        3
38709 libqt5core5a-dbgsym                	       0        1        1        0        0
38710 libqt5datavisualization5           	       0       27        0        0       27
38711 libqt5datavisualization5-dev       	       0        7        7        0        0
38712 libqt5dbus5-dbgsym                 	       0        1        1        0        0
38713 libqt5designercomponents5          	       0      211        1        0      210
38714 libqt5gamepad5                     	       0       95        3        0       92
38715 libqt5gamepad5-dev                 	       0       11       11        0        0
38716 libqt5glib-2.0-0                   	       0       26        0        0       26
38717 libqt5gstreamer-1.0-0              	       0       21        0        0       21
38718 libqt5gstreamer-dev                	       0        5        5        0        0
38719 libqt5gstreamerquick-1.0-0         	       0       17        0        0       17
38720 libqt5gstreamerui-1.0-0            	       0        8        0        0        8
38721 libqt5gstreamerutils-1.0-0         	       0        8        0        0        8
38722 libqt5gui5-dbgsym                  	       0        1        1        0        0
38723 libqt5gui5-gles                    	       0        2        0        0        2
38724 libqt5hunspellinputmethod5         	       0       15        1        0       14
38725 libqt5location5                    	       0      166        2        0      164
38726 libqt5location5-plugin-mapboxgl    	       0       10        0        0       10
38727 libqt5location5-plugins            	       0       27        0        0       27
38728 libqt5multimediaquick-p5           	       0       18        0        0       18
38729 libqt5network5-dbgsym              	       0        1        1        0        0
38730 libqt5networkauth5                 	       0      535        1        0      534
38731 libqt5networkauth5-dev             	       0        6        6        0        0
38732 libqt5nfc5                         	       0       11        0        0       11
38733 libqt5opengl5-dbgsym               	       0        1        1        0        0
38734 libqt5opengl5t64                   	       0      103        3        0      100
38735 libqt5organizer5                   	       0        2        0        0        2
38736 libqt5organizer5a                  	       0        3        0        0        3
38737 libqt5pas-dev                      	       0       19        0        0       19
38738 libqt5pdfwidgets5                  	       0        7        0        0        7
38739 libqt5positioning5-plugins         	       0       73        0        0       73
38740 libqt5positioningquick5            	       0      200        2        0      198
38741 libqt5printsupport5-dbgsym         	       0        1        1        0        0
38742 libqt5publishsubscribe5            	       0        7        0        0        7
38743 libqt5qevercloud3                  	       0        2        0        0        2
38744 libqt5qevercloud6                  	       0        1        0        0        1
38745 libqt5qml5-dbgsym                  	       0        1        1        0        0
38746 libqt5quentier0                    	       0        1        0        0        1
38747 libqt5quick5-dbgsym                	       0        1        1        0        0
38748 libqt5quick5-gles                  	       0        3        0        0        3
38749 libqt5quicktest5                   	       0      144        0        0      144
38750 libqt5qxlsx0t64                    	       0        8        0        0        8
38751 libqt5remoteobjects5               	       0      112        2        0      110
38752 libqt5remoteobjects5-bin           	       0        5        5        0        0
38753 libqt5remoteobjects5-dev           	       0        5        5        0        0
38754 libqt5scintilla2-12v5              	       0        7        0        0        7
38755 libqt5scintilla2-12v5-dbg          	       0        1        1        0        0
38756 libqt5scintilla2-designer          	       0        2        0        0        2
38757 libqt5scintilla2-designer-dbg      	       0        1        1        0        0
38758 libqt5scintilla2-dev               	       0        1        1        0        0
38759 libqt5scintilla2-l10n              	       0        9        0        0        9
38760 libqt5scripttools5                 	       0      169        0        0      169
38761 libqt5scxml5                       	       0        7        0        0        7
38762 libqt5scxml5-bin                   	       0        4        4        0        0
38763 libqt5scxml5-dev                   	       0        4        4        0        0
38764 libqt5scxml5-private-dev           	       0        3        3        0        0
38765 libqt5sensors5-dev                 	       0       10       10        0        0
38766 libqt5serialbus5                   	       0        8        0        0        8
38767 libqt5serialbus5-bin               	       0        2        2        0        0
38768 libqt5serialbus5-dev               	       0        5        5        0        0
38769 libqt5serialbus5-plugins           	       0        5        0        0        5
38770 libqt5serialport5                  	       0      432        5        0      427
38771 libqt5serialport5-dev              	       0       28       28        0        0
38772 libqt5serviceframework5            	       0        7        0        0        7
38773 libqt5sql5-dbgsym                  	       0        1        1        0        0
38774 libqt5sql5-ibase                   	       0        2        0        0        2
38775 libqt5sql5-odbc                    	       0        5        0        0        5
38776 libqt5sql5-psql                    	       0       31        0        0       31
38777 libqt5sql5-sqlite-dbgsym           	       0        1        1        0        0
38778 libqt5sql5-tds                     	       0        4        0        0        4
38779 libqt5systeminfo5                  	       0       11        0        0       11
38780 libqt5test5-dbgsym                 	       0        1        1        0        0
38781 libqt5test5t64                     	       0      127        3        0      124
38782 libqt5texttospeech5-dev            	       0       11       11        0        0
38783 libqt5versit5                      	       0        1        0        0        1
38784 libqt5versit5a                     	       0        2        0        0        2
38785 libqt5versitorganizer5             	       0        1        0        0        1
38786 libqt5versitorganizer5a            	       0        2        0        0        2
38787 libqt5virtualkeyboard5-dev         	       0        5        5        0        0
38788 libqt5waylandclient5-dev           	       0       12       12        0        0
38789 libqt5waylandcompositor5-dev       	       0       12       12        0        0
38790 libqt5webchannel5-dev              	       0       48       48        0        0
38791 libqt5webkit5-dbg                  	       0        1        1        0        0
38792 libqt5webkit5-dev                  	       0       34       34        0        0
38793 libqt5websockets5-dbgsym           	       0        1        1        0        0
38794 libqt5websockets5-dev              	       0       28       27        1        0
38795 libqt5webview5-dev                 	       0        8        8        0        0
38796 libqt5widgets5-dbgsym              	       0        1        1        0        0
38797 libqt5x11extras5-dev               	       0       64       63        1        0
38798 libqt5xdg-dev                      	       0        8        8        0        0
38799 libqt5xdg2                         	       0        6        0        0        6
38800 libqt5xdgiconloader-dev            	       0        9        9        0        0
38801 libqt5xdgiconloader2               	       0        6        0        0        6
38802 libqt5xml5-dbgsym                  	       0        1        1        0        0
38803 libqt5xmlpatterns5                 	       0      520       11        0      509
38804 libqt5xmlpatterns5-dev             	       0       24       24        0        0
38805 libqt63danimation6                 	       0        4        0        0        4
38806 libqt63dcore6                      	       0        5        0        0        5
38807 libqt63dextras6                    	       0        3        0        0        3
38808 libqt63dinput6                     	       0        4        0        0        4
38809 libqt63dlogic6                     	       0        4        0        0        4
38810 libqt63dquick6                     	       0        3        0        0        3
38811 libqt63dquickanimation6            	       0        2        0        0        2
38812 libqt63dquickextras6               	       0        3        0        0        3
38813 libqt63dquickinput6                	       0        2        0        0        2
38814 libqt63dquickrender6               	       0        2        0        0        2
38815 libqt63dquickscene2d6              	       0        4        0        0        4
38816 libqt63drender6                    	       0        5        0        0        5
38817 libqt6bluetooth6-bin               	       0       35       28        7        0
38818 libqt6bodymovin6                   	       0       15        0        0       15
38819 libqt6charts6                      	       0       34        0        1       33
38820 libqt6chartsqml6                   	       0        5        0        0        5
38821 libqt6concurrent6t64               	       0        2        0        0        2
38822 libqt6datavisualization6           	       0        3        0        0        3
38823 libqt6datavisualizationqml6        	       0        2        0        0        2
38824 libqt6dbus6t64                     	       0        8        0        0        8
38825 libqt6designer6                    	       0       81        1        0       80
38826 libqt6designercomponents6          	       0       76        1        0       75
38827 libqt6grpc6                        	       0        1        0        0        1
38828 libqt6grpcquick6                   	       0        1        0        0        1
38829 libqt6gui6t64                      	       0        8        0        0        8
38830 libqt6help6                        	       0       82        1        0       81
38831 libqt6httpserver6                  	       0        2        0        0        2
38832 libqt6hunspellinputmethod6         	       0        8        0        0        8
38833 libqt6jsonrpc6                     	       0       53        0        0       53
38834 libqt6labsanimation6               	       0       14        0        0       14
38835 libqt6labsfolderlistmodel6         	       0       16        0        0       16
38836 libqt6labsplatform6                	       0        1        0        0        1
38837 libqt6labsqmlmodels6               	       0       26        0        0       26
38838 libqt6labssettings6                	       0       16        0        0       16
38839 libqt6labssharedimage6             	       0       14        0        0       14
38840 libqt6labswavefrontmesh6           	       0       14        0        0       14
38841 libqt6languageserver6              	       0       53        0        0       53
38842 libqt6location6                    	       0        1        0        0        1
38843 libqt6multimediaquick6             	       0       23        0        0       23
38844 libqt6multimediawidgets6           	       0       51        0        0       51
38845 libqt6network6t64                  	       0        8        0        0        8
38846 libqt6networkauth6                 	       0       18        0        0       18
38847 libqt6nfc6                         	       0        3        0        0        3
38848 libqt6opengl6-dev                  	       0       46       44        2        0
38849 libqt6opengl6t64                   	       0        8        0        0        8
38850 libqt6openglwidgets6t64            	       0        3        0        0        3
38851 libqt6pas-dev                      	       0        1        0        0        1
38852 libqt6pas6                         	       0        3        0        0        3
38853 libqt6pas6-dev                     	       0        2        0        0        2
38854 libqt6pdfquick6                    	       0        9        0        0        9
38855 libqt6pdfwidgets6                  	       0       10        0        0       10
38856 libqt6positioningquick6            	       0       31        0        0       31
38857 libqt6printsupport6t64             	       0        3        0        0        3
38858 libqt6protobuf6                    	       0        1        0        0        1
38859 libqt6protobufqtcoretypes6         	       0        1        0        0        1
38860 libqt6protobufqtguitypes6          	       0        1        0        0        1
38861 libqt6protobufwellknowntypes6      	       0        1        0        0        1
38862 libqt6qmlcompiler6                 	       0       53        0        0       53
38863 libqt6qmlcore6                     	       0       14        0        0       14
38864 libqt6qmllocalstorage6             	       0       15        0        0       15
38865 libqt6qmlmeta6                     	       0        1        0        1        0
38866 libqt6qmlnetwork6                  	       0        6        0        0        6
38867 libqt6qmlxmllistmodel6             	       0       16        0        0       16
38868 libqt6quick3d6                     	       0       63        0        0       63
38869 libqt6quick3dassetimport6          	       0       48        0        0       48
38870 libqt6quick3dassetutils6           	       0       48        0        0       48
38871 libqt6quick3deffects6              	       0        2        0        0        2
38872 libqt6quick3dglslparser6           	       0        2        0        0        2
38873 libqt6quick3dhelpers6              	       0        2        0        0        2
38874 libqt6quick3diblbaker6             	       0        2        0        0        2
38875 libqt6quick3dparticleeffects6      	       0        2        0        0        2
38876 libqt6quick3dparticles6            	       0       37        0        0       37
38877 libqt6quick3dphysics6              	       0        3        0        0        3
38878 libqt6quick3dphysicshelpers6       	       0        2        0        0        2
38879 libqt6quick3druntimerender6        	       0       63        0        0       63
38880 libqt6quick3dutils6                	       0       63        0        0       63
38881 libqt6quickcontrols2impl6          	       0       65        0        0       65
38882 libqt6quickdialogs2-6              	       0       28        0        0       28
38883 libqt6quickdialogs2quickimpl6      	       0       28        0        0       28
38884 libqt6quickdialogs2utils6          	       0       28        0        0       28
38885 libqt6quicklayouts6                	       0       64        0        0       64
38886 libqt6quickparticles6              	       0       14        0        0       14
38887 libqt6quicktest6                   	       0       59        0        0       59
38888 libqt6quicktimeline6               	       0       41        0        0       41
38889 libqt6remoteobjects6               	       0        5        0        0        5
38890 libqt6remoteobjects6-bin           	       0        2        1        1        0
38891 libqt6remoteobjectsqml6            	       0        2        0        0        2
38892 libqt6scxml6                       	       0        3        0        0        3
38893 libqt6scxml6-bin                   	       0        2        1        1        0
38894 libqt6scxmlqml6                    	       0        2        0        0        2
38895 libqt6sensorsquick6                	       0        2        0        0        2
38896 libqt6serialbus6                   	       0        3        0        0        3
38897 libqt6serialbus6-bin               	       0        3        2        1        0
38898 libqt6serialport6                  	       0       82        0        0       82
38899 libqt6spatialaudio6                	       0       47        0        0       47
38900 libqt6sql6-ibase                   	       0        4        0        0        4
38901 libqt6sql6-mysql                   	       0       12        0        0       12
38902 libqt6sql6-odbc                    	       0        2        0        0        2
38903 libqt6sql6-psql                    	       0        3        0        0        3
38904 libqt6sql6t64                      	       0        2        0        0        2
38905 libqt6statemachine6                	       0       27        0        0       27
38906 libqt6statemachineqml6             	       0       12        0        0       12
38907 libqt6test6                        	       0      312        1        0      311
38908 libqt6test6t64                     	       0        1        0        0        1
38909 libqt6uitools6                     	       0       86        0        0       86
38910 libqt6waylandcompositor6           	       0      281        0        0      281
38911 libqt6waylandeglclienthwintegration6	       0      151        0        0      151
38912 libqt6waylandeglcompositorhwintegration6	       0      151        0        0      151
38913 libqt6webchannelquick6             	       0       54        0        0       54
38914 libqt6webenginequick6              	       0      223        0        0      223
38915 libqt6webenginequickdelegatesqml6  	       0        9        0        0        9
38916 libqt6websockets6                  	       0       19        1        0       18
38917 libqt6webview6                     	       0       22        0        0       22
38918 libqt6webviewquick6                	       0        3        0        0        3
38919 libqt6widgets6t64                  	       0        8        0        0        8
38920 libqt6wlshellintegration6          	       0      280        0        0      280
38921 libqt6xdg-dev                      	       0        1        1        0        0
38922 libqt6xdgiconloader-dev            	       0        1        1        0        0
38923 libqt6xml6t64                      	       0        1        0        0        1
38924 libqtassistantclient4              	       0       32        0        0       32
38925 libqtav-dev                        	       0        1        1        0        0
38926 libqtav-private-dev                	       0        1        1        0        0
38927 libqtav1                           	       0       17        0        0       17
38928 libqtavwidgets1                    	       0       17        0        0       17
38929 libqtcore4-perl                    	       0        4        0        0        4
38930 libqtdbustest1                     	       0        1        0        0        1
38931 libqtermwidget5-1-dev              	       0        2        2        0        0
38932 libqtexengine1                     	       0        1        0        0        1
38933 libqtglib-2.0-0                    	       0        2        1        0        1
38934 libqtgstreamer-0.10-0              	       0        2        0        0        2
38935 libqtgstreamerutils-0.10-0         	       0        2        0        0        2
38936 libqthreads-12                     	       0        1        0        0        1
38937 libqtilitools-dev                  	       0        1        1        0        0
38938 libqtkeychain0                     	       0        1        0        0        1
38939 libqtlocation1                     	       0        4        0        0        4
38940 libqtmirserver1                    	       0        1        0        0        1
38941 libqtmirserver2                    	       0        1        0        0        1
38942 libqtpropertybrowser4              	       0        1        0        0        1
38943 libqtruby4shared2                  	       0        6        0        0        6
38944 libqtscript4-core                  	       0        5        0        0        5
38945 libqtscript4-gui                   	       0        5        0        0        5
38946 libqtscript4-network               	       0        5        0        0        5
38947 libqtscript4-opengl                	       0        1        0        0        1
38948 libqtscript4-phonon                	       0        1        0        0        1
38949 libqtscript4-qtbindings            	       0        1        0        0        1
38950 libqtscript4-sql                   	       0        5        0        0        5
38951 libqtscript4-svg                   	       0        1        0        0        1
38952 libqtscript4-uitools               	       0        5        0        0        5
38953 libqtscript4-webkit                	       0        1        0        0        1
38954 libqtscript4-xml                   	       0        5        0        0        5
38955 libqtscript4-xmlpatterns           	       0        1        0        0        1
38956 libqtsolutions-soap-2.7-1          	       0        1        0        0        1
38957 libqtspell-qt5-1                   	       0       19        0        0       19
38958 libqtspell-qt5-data                	       0       19        0        0       19
38959 libqtwebkit-dev                    	       0        8        8        0        0
38960 libqtwebkit-qmlwebkitplugin        	       0        1        0        0        1
38961 libquadmath0-dbgsym                	       0        1        1        0        0
38962 libquadmath0-i386-cross            	       0       14        0        0       14
38963 libquadmath0-ppc64el-cross         	       0        1        0        0        1
38964 libquadmath0-x32-cross             	       0        6        0        0        6
38965 libquadrule-dev                    	       0        1        1        0        0
38966 libquadrule1                       	       0        1        0        0        1
38967 libquantlib0v5                     	       0        1        1        0        0
38968 libquartz2-java                    	       0        1        0        0        1
38969 libquazip-dev                      	       0        1        0        0        1
38970 libquazip-doc                      	       0        1        0        0        1
38971 libquazip-headers                  	       0        1        1        0        0
38972 libquazip-qt5-1                    	       0        1        0        0        1
38973 libquazip-tulip-4.8-1              	       0        1        0        0        1
38974 libquazip1                         	       0        5        0        0        5
38975 libquazip1-qt5-1                   	       0        5        2        0        3
38976 libquazip1-qt5-dev                 	       0        1        1        0        0
38977 libquazip1-qt6-1                   	       0        2        0        0        2
38978 libquazip1-qt6-1t64                	       0        5        0        0        5
38979 libquazip1-qt6-dev                 	       0        3        3        0        0
38980 libquazip1-qt6-doc                 	       0        1        0        0        1
38981 libquazip5-1t64                    	       0       21        0        0       21
38982 libquazip5-dev                     	       0       13       12        0        1
38983 libquazip5-headers                 	       0        2        2        0        0
38984 libquickfix-doc                    	       0        1        1        0        0
38985 libquicktime-dev                   	       0        3        3        0        0
38986 libquicktime1                      	       0        1        1        0        0
38987 libquicktime2                      	       0      177        0        0      177
38988 libquicktimehv-1.6.0-1             	       0        1        0        0        1
38989 libquorum-dev                      	       0        2        2        0        0
38990 libquota-perl                      	       0        2        2        0        0
38991 libquotient-dev                    	       0        2        2        0        0
38992 libquotient0.6                     	       0       19        0        0       19
38993 libquotientqt6-0.8                 	       0        2        0        0        2
38994 libqupzilla1                       	       0        7        0        0        7
38995 libquvi-0.9-0.9.3                  	       0      184        0        0      184
38996 libquvi-0.9-0.9.4                  	       0       12        0        0       12
38997 libquvi-0.9-dev                    	       0        1        1        0        0
38998 libquvi-0.9-doc                    	       0        1        0        0        1
38999 libquvi-dev                        	       0        1        1        0        0
39000 libquvi0                           	       0        1        0        0        1
39001 libquvi7                           	       0       27        0        0       27
39002 libqwt-headers                     	       0        5        0        0        5
39003 libqwt-qt5-6                       	       0      140        3        0      137
39004 libqwt5-qt4                        	       0        5        0        0        5
39005 libqwt5-qt4-dev                    	       0        1        1        0        0
39006 libqwt6                            	       0        3        0        0        3
39007 libqwt6abi1                        	       0        6        0        0        6
39008 libqwtplot3d-qt5-0                 	       0        2        0        0        2
39009 libqwtplot3d-qt5-dev               	       0        1        1        0        0
39010 libqxmpp3                          	       0        3        0        0        3
39011 libqxt-core0                       	       0        4        0        0        4
39012 libqxt-gui0                        	       0        4        0        0        4
39013 libqzion0a                         	       0        1        0        0        1
39014 libqzxing3                         	       0        1        0        0        1
39015 libr-dev                           	       0        2        2        0        0
39016 librabbitmq-dev                    	       0        8        8        0        0
39017 librabbitmq1                       	       0        1        0        0        1
39018 librack-ruby1.9.1                  	       0        1        0        0        1
39019 libradare2-1.1                     	       0        3        0        0        3
39020 libradare2-5.0.0                   	       0        1        0        0        1
39021 libradare2-5.0.0t64                	       0        5        0        0        5
39022 libradare2-common                  	       0        8        0        0        8
39023 libradare2-dev                     	       0        7        7        0        0
39024 libradcli-dev                      	       0       15       15        0        0
39025 libradiusclient-ng2                	       0        2        0        0        2
39026 librados-dev                       	       0        6        6        0        0
39027 librados2                          	       0      739        4        0      735
39028 libradosstriper1                   	       0        7        0        0        7
39029 libramaze-ruby1.9.1                	       0        1        0        0        1
39030 librandom-numbers-dev              	       0        1        1        0        0
39031 librandom-numbers0d                	       0        1        0        0        1
39032 librandom123-dev                   	       0        1        1        0        0
39033 librandom123-doc                   	       0        1        1        0        0
39034 librandombytes1                    	       0        1        0        0        1
39035 librandomx-dev                     	       0        1        1        0        0
39036 librandomx0                        	       0       15        2        0       13
39037 librange-v3-dev                    	       0        3        3        0        0
39038 librange-v3-doc                    	       0        3        0        0        3
39039 libranlip-dev                      	       0        1        1        0        0
39040 libranlip1c2                       	       0        1        1        0        0
39041 libraptor1                         	       0        6        0        0        6
39042 libraptor2-dev                     	       0       14       13        1        0
39043 libraptor2-doc                     	       0        2        0        0        2
39044 libraqm-dev                        	       0       11       11        0        0
39045 librarian-puppet                   	       0        1        1        0        0
39046 librarian0                         	       0      101        0        0      101
39047 libraspberrypi-bin                 	       0        1        1        0        0
39048 libraspberrypi0                    	       0        2        2        0        0
39049 libraspell-ruby1.9.1               	       0        3        0        0        3
39050 librasqal0                         	       0        1        0        0        1
39051 librasqal2                         	       0        2        0        0        2
39052 librasqal3-dev                     	       0        4        4        0        0
39053 librasqal3-doc                     	       0        1        0        0        1
39054 librasterlite2-1                   	       0        8        0        0        8
39055 librasterlite2-dev                 	       0        1        1        0        0
39056 libratbag-tools                    	       0        2        0        0        2
39057 libratpoints-2.1.3                 	       0       10        0        0       10
39058 libratpoints-dev                   	       0        9        9        0        0
39059 librav1e-dev                       	       0       11       10        1        0
39060 libraw-bin                         	       0        7        6        1        0
39061 libraw-dev                         	       0       19       19        0        0
39062 libraw-doc                         	       0        2        0        0        2
39063 libraw10                           	       0       13        0        0       13
39064 libraw1394-8                       	       0        3        0        0        3
39065 libraw1394-dev                     	       0       75       75        0        0
39066 libraw1394-doc                     	       0        6        0        0        6
39067 libraw1394-tools                   	       0       55       55        0        0
39068 libraw15                           	       0       86        0        0       86
39069 libraw19                           	       0      176        1        0      175
39070 libraw23                           	       0       18        5        0       13
39071 libraw5                            	       0        5        0        0        5
39072 libraynes-fs-clojure               	       0        1        0        0        1
39073 librazik-apt                       	       0        1        0        0        1
39074 librazik-banquesdeson-all          	       0        1        0        0        1
39075 librazik-gig-all                   	       0        1        0        0        1
39076 librazik-keyring                   	       0        1        0        0        1
39077 librazik-sf2-all                   	       0        1        0        0        1
39078 librazik-sf3-all                   	       0        1        0        0        1
39079 librazik-sfz-all                   	       0        1        0        0        1
39080 librb-inotify-ruby1.9.1            	       0        1        0        0        1
39081 librbac-client-clojure             	       0        1        0        0        1
39082 librbd-dev                         	       0        4        4        0        0
39083 librbd1                            	       0      542       14        0      528
39084 librbio2                           	       0       30        0        0       30
39085 librbio4                           	       0        2        0        0        2
39086 librc1                             	       0      473        9        0      464
39087 librc1t64                          	       0       54        0        2       52
39088 librcc-dev                         	       0        2        2        0        0
39089 librccui0                          	       0        2        0        0        2
39090 librcd-dev                         	       0        2        2        0        0
39091 librcd0                            	       0      246        0        0      246
39092 librcpputils-dev                   	       0        2        0        0        2
39093 librcpputils1d                     	       0        3        0        0        3
39094 librcutils-dev                     	       0        2        2        0        0
39095 librcutils1d                       	       0        3        0        0        3
39096 librda-bin                         	       0        1        1        0        0
39097 librda-common                      	       0      503        0        0      503
39098 librda-dev                         	       0        1        1        0        0
39099 librdf-icalendar-perl              	       0        1        1        0        0
39100 librdf-ns-curated-perl             	       0        1        1        0        0
39101 librdf-ns-perl                     	       0        3        3        0        0
39102 librdf-perl                        	       0        3        3        0        0
39103 librdf-prefixes-perl               	       0        1        1        0        0
39104 librdf-query-client-perl           	       0        1        1        0        0
39105 librdf-query-perl                  	       0        1        1        0        0
39106 librdf-rdfa-parser-perl            	       0        2        2        0        0
39107 librdf-storage-mysql               	       0        1        0        0        1
39108 librdf-storage-postgresql          	       0        1        0        0        1
39109 librdf-storage-sqlite              	       0        1        0        0        1
39110 librdf-storage-virtuoso            	       0        1        0        0        1
39111 librdf-trin3-perl                  	       0        1        1        0        0
39112 librdf-trine-node-literal-xml-perl 	       0        3        3        0        0
39113 librdf-trine-perl                  	       0        3        3        0        0
39114 librdf-trinex-functions-perl       	       0        3        3        0        0
39115 librdf-vcard-perl                  	       0        1        1        0        0
39116 librdf0-dev                        	       0        4        4        0        0
39117 librdfa-java                       	       0        2        0        0        2
39118 librdkafka++1                      	       0        2        0        0        2
39119 librdkafka-dev                     	       0        2        2        0        0
39120 librdkafka1                        	       0       45        1        0       44
39121 librdmacm1t64                      	       0       76        3        0       73
39122 librdp-taxonomy-tree-java          	       0        1        0        0        1
39123 libre                              	       0        1        0        0        1
39124 libre-dbgsym                       	       0        1        1        0        0
39125 libre-dev                          	       0        2        2        0        0
39126 libre-engine-re2-perl              	       0      177        0        0      177
39127 libre-ocaml-dev                    	       0        1        1        0        0
39128 libre0                             	       0       16        0        0       16
39129 libre2-1                           	       0        1        0        0        1
39130 libre2-2                           	       0        1        0        0        1
39131 libre2-3                           	       0       27        0        0       27
39132 libre2-8                           	       0        2        0        0        2
39133 libreact-ocaml                     	       0        4        3        0        1
39134 libreact-ocaml-dev                 	       0        3        3        0        0
39135 libreactive-streams-java           	       0        3        0        0        3
39136 libreactivedata-ocaml              	       0        1        1        0        0
39137 libreactivedata-ocaml-dev          	       0        1        1        0        0
39138 libreadline-gplv2-dev              	       0        1        1        0        0
39139 libreadline-java                   	       0        8        8        0        0
39140 libreadline-java-doc               	       0        1        0        0        1
39141 libreadline4                       	       0        3        0        0        3
39142 libreadline5                       	       0      290        0        0      290
39143 libreadline6                       	       0      304        0        0      304
39144 libreadline6-dev                   	       0        7        7        0        0
39145 libreadline8-dbgsym                	       0        1        1        0        0
39146 libreadonly-xs-perl                	       0        1        0        0        1
39147 libreadosm-dev                     	       0        1        1        0        0
39148 libreadosm1                        	       0        9        0        0        9
39149 libreadstat1                       	       0       17        0        0       17
39150 libreadstat1t64                    	       0        1        0        0        1
39151 librec-dev                         	       0        1        1        0        0
39152 librec1                            	       0       14        0        0       14
39153 librecad-data                      	       0      106        1        0      105
39154 librecast-dev                      	       0        2        2        0        0
39155 librecast1                         	       0       13        0        0       13
39156 librecode-dev                      	       0        5        5        0        0
39157 librecode0                         	       0     1296        0        0     1296
39158 librecode3                         	       0       72        0        0       72
39159 librecoll                          	       0        2        0        0        2
39160 librecoll39                        	       0        2        0        0        2
39161 libredis-fast-perl                 	       0        1        0        0        1
39162 libreflectasm-java                 	       0       51        0        0       51
39163 libreflectasm-java-doc             	       0       35        0        0       35
39164 libreflections-java                	       0        4        0        0        4
39165 libregexp-common-email-address-perl	       0        6        6        0        0
39166 libregexp-common-net-cidr-perl     	       0        2        2        0        0
39167 libregexp-common-time-perl         	       0        1        1        0        0
39168 libregexp-debugger-perl            	       0        1        1        0        0
39169 libregexp-java                     	       0       68        0        0       68
39170 libregexp-java-doc                 	       0        1        0        0        1
39171 libregexp-optimizer-perl           	       0        1        1        0        0
39172 libregexp-pattern-license-perl     	       0      184      182        2        0
39173 libregexp-pattern-perl             	       0      176      174        2        0
39174 libregexp-reggrp-perl              	       0        1        1        0        0
39175 libregexp-trie-perl                	       0        1        1        0        0
39176 libregf1                           	       0       15        0        0       15
39177 libregfi-dev                       	       0        1        1        0        0
39178 libregfi1                          	       0       28        0        0       28
39179 libregfi1t64                       	       0        1        0        0        1
39180 libregina3                         	       0        7        0        0        7
39181 libregina3-dev                     	       0        1        1        0        0
39182 libreiserfscore0                   	       0        3        0        0        3
39183 libreiserfscore0t64                	       0       53        0        0       53
39184 librelaxng-datatype-java           	       0      426        0        0      426
39185 librelaxngcc-java                  	       0        2        0        0        2
39186 librem-dev                         	       0        1        1        0        0
39187 librem0                            	       0       15        0        0       15
39188 librenderdoc                       	       0        5        0        0        5
39189 librenderdoc-dev                   	       0        1        1        0        0
39190 libreoffice                        	       0      604        0        0      604
39191 libreoffice-avmedia-backend-vlc    	       0        2        2        0        0
39192 libreoffice-base-nogui             	       0        1        1        0        0
39193 libreoffice-calc-nogui             	       0        3        3        0        0
39194 libreoffice-canzeley-client        	       0        1        1        0        0
39195 libreoffice-core-nogui             	       0       12       11        1        0
39196 libreoffice-dev                    	       0        3        3        0        0
39197 libreoffice-dev-common             	       0        4        4        0        0
39198 libreoffice-dev-doc                	       0        4        4        0        0
39199 libreoffice-dev-gui                	       0        1        1        0        0
39200 libreoffice-dmaths                 	       0        9        9        0        0
39201 libreoffice-emailmerge             	       0        6        1        0        5
39202 libreoffice-evolution              	       0       22       22        0        0
39203 libreoffice-filter-binfilter       	       0        1        1        0        0
39204 libreoffice-filter-mobiledev       	       0        1        1        0        0
39205 libreoffice-gtk4                   	       0       10       10        0        0
39206 libreoffice-help-ca                	       0        6        0        0        6
39207 libreoffice-help-common            	       0     2383      171       15     2197
39208 libreoffice-help-cs                	       0       26        0        0       26
39209 libreoffice-help-da                	       0        4        0        0        4
39210 libreoffice-help-de                	       0      263        0        0      263
39211 libreoffice-help-dz                	       0        2        0        0        2
39212 libreoffice-help-el                	       0       19        0        0       19
39213 libreoffice-help-en-gb             	       0      186        0        0      186
39214 libreoffice-help-en-us             	       0     2286        0        0     2286
39215 libreoffice-help-es                	       0      183        0        0      183
39216 libreoffice-help-et                	       0        4        0        0        4
39217 libreoffice-help-eu                	       0        3        0        0        3
39218 libreoffice-help-fi                	       0        7        0        0        7
39219 libreoffice-help-fr                	       0       85        0        0       85
39220 libreoffice-help-gl                	       0        2        0        0        2
39221 libreoffice-help-hi                	       0        2        0        0        2
39222 libreoffice-help-hu                	       0       15        0        0       15
39223 libreoffice-help-id                	       0        1        0        0        1
39224 libreoffice-help-it                	       0       71        0        0       71
39225 libreoffice-help-ja                	       0       31        0        0       31
39226 libreoffice-help-km                	       0        2        0        0        2
39227 libreoffice-help-ko                	       0        7        0        0        7
39228 libreoffice-help-nl                	       0       15        0        0       15
39229 libreoffice-help-om                	       0        1        0        0        1
39230 libreoffice-help-pl                	       0       41        0        0       41
39231 libreoffice-help-pt                	       0        2        0        0        2
39232 libreoffice-help-pt-br             	       0        2        0        0        2
39233 libreoffice-help-ru                	       0      110        0        0      110
39234 libreoffice-help-sk                	       0        4        0        0        4
39235 libreoffice-help-sl                	       0        2        0        0        2
39236 libreoffice-help-sv                	       0       12        0        0       12
39237 libreoffice-help-tr                	       0        1        0        0        1
39238 libreoffice-help-vi                	       0        1        0        0        1
39239 libreoffice-help-zh-cn             	       0        5        0        0        5
39240 libreoffice-help-zh-tw             	       0        3        0        0        3
39241 libreoffice-impress-templates-all  	       0        1        1        0        0
39242 libreoffice-kde5                   	       0       20       13        0        7
39243 libreoffice-l10n-af                	       0        1        1        0        0
39244 libreoffice-l10n-am                	       0        1        1        0        0
39245 libreoffice-l10n-ar                	       0        2        2        0        0
39246 libreoffice-l10n-as                	       0        1        1        0        0
39247 libreoffice-l10n-ast               	       0        2        2        0        0
39248 libreoffice-l10n-be                	       0        4        4        0        0
39249 libreoffice-l10n-bg                	       0        3        3        0        0
39250 libreoffice-l10n-bn                	       0        2        2        0        0
39251 libreoffice-l10n-br                	       0        1        1        0        0
39252 libreoffice-l10n-bs                	       0        2        2        0        0
39253 libreoffice-l10n-ca                	       0        8        8        0        0
39254 libreoffice-l10n-cs                	       0       31       30        1        0
39255 libreoffice-l10n-cy                	       0        2        2        0        0
39256 libreoffice-l10n-dz                	       0        3        3        0        0
39257 libreoffice-l10n-el                	       0       22       22        0        0
39258 libreoffice-l10n-en-za             	       0       18       18        0        0
39259 libreoffice-l10n-eo                	       0        7        7        0        0
39260 libreoffice-l10n-et                	       0        5        5        0        0
39261 libreoffice-l10n-eu                	       0        4        4        0        0
39262 libreoffice-l10n-fa                	       0        2        2        0        0
39263 libreoffice-l10n-fi                	       0        8        6        2        0
39264 libreoffice-l10n-ga                	       0        2        2        0        0
39265 libreoffice-l10n-gd                	       0        1        1        0        0
39266 libreoffice-l10n-gl                	       0        3        3        0        0
39267 libreoffice-l10n-gu                	       0        2        2        0        0
39268 libreoffice-l10n-gug               	       0        1        1        0        0
39269 libreoffice-l10n-he                	       0        4        4        0        0
39270 libreoffice-l10n-hi                	       0        3        3        0        0
39271 libreoffice-l10n-hr                	       0        3        3        0        0
39272 libreoffice-l10n-hu                	       0       16       15        1        0
39273 libreoffice-l10n-id                	       0        3        3        0        0
39274 libreoffice-l10n-is                	       0        2        2        0        0
39275 libreoffice-l10n-ja                	       0       33       31        2        0
39276 libreoffice-l10n-ka                	       0        2        2        0        0
39277 libreoffice-l10n-kk                	       0        1        1        0        0
39278 libreoffice-l10n-km                	       0        3        3        0        0
39279 libreoffice-l10n-kmr               	       0        1        1        0        0
39280 libreoffice-l10n-kn                	       0        1        1        0        0
39281 libreoffice-l10n-ko                	       0        8        8        0        0
39282 libreoffice-l10n-lt                	       0        2        2        0        0
39283 libreoffice-l10n-lv                	       0        2        2        0        0
39284 libreoffice-l10n-mk                	       0        2        2        0        0
39285 libreoffice-l10n-ml                	       0        2        2        0        0
39286 libreoffice-l10n-mn                	       0        1        1        0        0
39287 libreoffice-l10n-mr                	       0        2        2        0        0
39288 libreoffice-l10n-nb                	       0       38       35        3        0
39289 libreoffice-l10n-ne                	       0        2        2        0        0
39290 libreoffice-l10n-nl                	       0       18       18        0        0
39291 libreoffice-l10n-nn                	       0       38       35        3        0
39292 libreoffice-l10n-nr                	       0        1        1        0        0
39293 libreoffice-l10n-nso               	       0        1        1        0        0
39294 libreoffice-l10n-oc                	       0        1        1        0        0
39295 libreoffice-l10n-om                	       0        2        2        0        0
39296 libreoffice-l10n-or                	       0        1        1        0        0
39297 libreoffice-l10n-pa-in             	       0        2        2        0        0
39298 libreoffice-l10n-pt                	       0        5        5        0        0
39299 libreoffice-l10n-ro                	       0        6        5        1        0
39300 libreoffice-l10n-rw                	       0        1        1        0        0
39301 libreoffice-l10n-si                	       0        2        2        0        0
39302 libreoffice-l10n-sk                	       0        9        9        0        0
39303 libreoffice-l10n-sl                	       0        3        3        0        0
39304 libreoffice-l10n-sr                	       0        2        2        0        0
39305 libreoffice-l10n-ss                	       0        1        1        0        0
39306 libreoffice-l10n-st                	       0        1        1        0        0
39307 libreoffice-l10n-sv                	       0       16       16        0        0
39308 libreoffice-l10n-szl               	       0        1        1        0        0
39309 libreoffice-l10n-ta                	       0        2        2        0        0
39310 libreoffice-l10n-te                	       0        2        2        0        0
39311 libreoffice-l10n-tg                	       0        1        1        0        0
39312 libreoffice-l10n-th                	       0        2        2        0        0
39313 libreoffice-l10n-tn                	       0        1        1        0        0
39314 libreoffice-l10n-tr                	       0        9        8        1        0
39315 libreoffice-l10n-ts                	       0        1        1        0        0
39316 libreoffice-l10n-ug                	       0        2        2        0        0
39317 libreoffice-l10n-uk                	       0       13       13        0        0
39318 libreoffice-l10n-uz                	       0        1        1        0        0
39319 libreoffice-l10n-ve                	       0        1        1        0        0
39320 libreoffice-l10n-vi                	       0        3        3        0        0
39321 libreoffice-l10n-xh                	       0        2        2        0        0
39322 libreoffice-l10n-zh-cn             	       0        6        6        0        0
39323 libreoffice-l10n-zh-tw             	       0        4        4        0        0
39324 libreoffice-l10n-zu                	       0        1        1        0        0
39325 libreoffice-lightproof-en          	       0       29       28        1        0
39326 libreoffice-lightproof-hu          	       0        4        4        0        0
39327 libreoffice-lightproof-pt-br       	       0        3        3        0        0
39328 libreoffice-lightproof-ru-ru       	       0       16       16        0        0
39329 libreoffice-mysql-connector        	       0        3        0        0        3
39330 libreoffice-numbertext             	       0        9        9        0        0
39331 libreoffice-officebean             	       0        1        1        0        0
39332 libreoffice-parlatype              	       0        1        1        0        0
39333 libreoffice-smoketest-data         	       0        1        1        0        0
39334 libreoffice-style-crystal          	       0        1        0        0        1
39335 libreoffice-style-hicontrast       	       0        2        1        0        1
39336 libreoffice-style-karasa-jaga      	       0       24       24        0        0
39337 libreoffice-style-sifr             	       0       31       31        0        0
39338 libreoffice-subsequentcheckbase    	       0        1        1        0        0
39339 libreoffice-systray                	       0        3        3        0        0
39340 libreoffice-tango-iconset          	       0        1        1        0        0
39341 libreoffice-voikko                 	       0       13       12        1        0
39342 libreoffice-writer-nogui           	       0        3        3        0        0
39343 libreoffice-writer2latex           	       0       31       30        1        0
39344 libreoffice-writer2xhtml           	       0       12       12        0        0
39345 libreoffice24.2                    	       0        3        0        0        3
39346 libreoffice24.2-base               	       0        3        0        0        3
39347 libreoffice24.2-calc               	       0        3        0        0        3
39348 libreoffice24.2-de                 	       0        1        0        0        1
39349 libreoffice24.2-debian-menus       	       0        5        5        0        0
39350 libreoffice24.2-dict-de            	       0        1        0        0        1
39351 libreoffice24.2-dict-en            	       0        3        0        0        3
39352 libreoffice24.2-dict-es            	       0        3        0        0        3
39353 libreoffice24.2-dict-fr            	       0        3        0        0        3
39354 libreoffice24.2-draw               	       0        3        0        0        3
39355 libreoffice24.2-en-gb              	       0        1        0        0        1
39356 libreoffice24.2-en-us              	       0        3        0        0        3
39357 libreoffice24.2-fr                 	       0        1        0        0        1
39358 libreoffice24.2-impress            	       0        3        0        0        3
39359 libreoffice24.2-math               	       0        3        0        0        3
39360 libreoffice24.2-ure                	       0        5        0        0        5
39361 libreoffice24.2-writer             	       0        3        0        0        3
39362 libreoffice24.8                    	       0       11        2        0        9
39363 libreoffice24.8-base               	       0       10        0        0       10
39364 libreoffice24.8-calc               	       0       11        0        0       11
39365 libreoffice24.8-de                 	       0        2        0        0        2
39366 libreoffice24.8-dict-de            	       0        2        0        0        2
39367 libreoffice24.8-dict-el            	       0        1        0        0        1
39368 libreoffice24.8-dict-en            	       0       11        0        0       11
39369 libreoffice24.8-dict-es            	       0       10        0        0       10
39370 libreoffice24.8-dict-fr            	       0       10        0        0       10
39371 libreoffice24.8-draw               	       0       10        0        0       10
39372 libreoffice24.8-el                 	       0        1        0        0        1
39373 libreoffice24.8-en-gb              	       0        3        0        0        3
39374 libreoffice24.8-en-us              	       0       11        0        0       11
39375 libreoffice24.8-fr                 	       0        2        0        0        2
39376 libreoffice24.8-impress            	       0       10        0        0       10
39377 libreoffice24.8-math               	       0       10        0        0       10
39378 libreoffice24.8-ure                	       0       11        2        0        9
39379 libreoffice24.8-writer             	       0       11        0        0       11
39380 libreoffice25.2                    	       0        2        0        0        2
39381 libreoffice25.2-base               	       0        2        0        0        2
39382 libreoffice25.2-calc               	       0        2        0        0        2
39383 libreoffice25.2-debian-menus       	       0        2        0        2        0
39384 libreoffice25.2-dict-en            	       0        2        0        0        2
39385 libreoffice25.2-dict-es            	       0        2        0        0        2
39386 libreoffice25.2-dict-fr            	       0        2        0        0        2
39387 libreoffice25.2-draw               	       0        2        0        0        2
39388 libreoffice25.2-en-us              	       0        2        0        0        2
39389 libreoffice25.2-impress            	       0        2        0        0        2
39390 libreoffice25.2-math               	       0        2        0        0        2
39391 libreoffice25.2-ure                	       0        2        0        0        2
39392 libreoffice25.2-writer             	       0        2        0        0        2
39393 libreoffice4.3                     	       0        1        0        0        1
39394 libreoffice4.3-base                	       0        1        0        0        1
39395 libreoffice4.3-calc                	       0        1        0        0        1
39396 libreoffice4.3-dict-en             	       0        1        0        0        1
39397 libreoffice4.3-dict-es             	       0        1        0        0        1
39398 libreoffice4.3-dict-fr             	       0        1        0        0        1
39399 libreoffice4.3-draw                	       0        1        0        0        1
39400 libreoffice4.3-en-us               	       0        1        0        0        1
39401 libreoffice4.3-impress             	       0        1        0        0        1
39402 libreoffice4.3-math                	       0        1        0        0        1
39403 libreoffice4.3-ure                 	       0        1        0        0        1
39404 libreoffice4.3-writer              	       0        1        0        0        1
39405 libreoffice5.0                     	       0        1        0        0        1
39406 libreoffice5.0-base                	       0        1        0        0        1
39407 libreoffice5.0-calc                	       0        1        0        0        1
39408 libreoffice5.0-dict-en             	       0        1        0        0        1
39409 libreoffice5.0-dict-es             	       0        1        0        0        1
39410 libreoffice5.0-dict-fr             	       0        1        0        0        1
39411 libreoffice5.0-draw                	       0        1        0        0        1
39412 libreoffice5.0-en-us               	       0        1        0        0        1
39413 libreoffice5.0-impress             	       0        1        0        0        1
39414 libreoffice5.0-math                	       0        1        0        0        1
39415 libreoffice5.0-ure                 	       0        1        0        0        1
39416 libreoffice5.0-writer              	       0        1        0        0        1
39417 libreoffice5.1                     	       0        1        0        0        1
39418 libreoffice5.1-base                	       0        1        0        0        1
39419 libreoffice5.1-calc                	       0        1        0        0        1
39420 libreoffice5.1-dict-en             	       0        1        0        0        1
39421 libreoffice5.1-dict-es             	       0        1        0        0        1
39422 libreoffice5.1-dict-fr             	       0        1        0        0        1
39423 libreoffice5.1-draw                	       0        1        0        0        1
39424 libreoffice5.1-en-us               	       0        1        0        0        1
39425 libreoffice5.1-impress             	       0        1        0        0        1
39426 libreoffice5.1-math                	       0        1        0        0        1
39427 libreoffice5.1-ure                 	       0        1        0        0        1
39428 libreoffice5.1-writer              	       0        1        0        0        1
39429 libreoffice5.2                     	       0        1        0        0        1
39430 libreoffice5.2-base                	       0        1        0        0        1
39431 libreoffice5.2-calc                	       0        1        0        0        1
39432 libreoffice5.2-dict-en             	       0        1        0        0        1
39433 libreoffice5.2-dict-es             	       0        1        0        0        1
39434 libreoffice5.2-dict-fr             	       0        1        0        0        1
39435 libreoffice5.2-draw                	       0        1        0        0        1
39436 libreoffice5.2-en-us               	       0        1        0        0        1
39437 libreoffice5.2-impress             	       0        1        0        0        1
39438 libreoffice5.2-math                	       0        1        0        0        1
39439 libreoffice5.2-ure                 	       0        1        0        0        1
39440 libreoffice5.2-writer              	       0        1        0        0        1
39441 libreoffice5.4-debian-menus        	       0        1        1        0        0
39442 libreoffice6.0                     	       0        2        0        0        2
39443 libreoffice6.0-base                	       0        2        0        0        2
39444 libreoffice6.0-calc                	       0        2        0        0        2
39445 libreoffice6.0-dict-en             	       0        2        0        0        2
39446 libreoffice6.0-dict-es             	       0        2        0        0        2
39447 libreoffice6.0-dict-fr             	       0        2        0        0        2
39448 libreoffice6.0-draw                	       0        2        0        0        2
39449 libreoffice6.0-en-us               	       0        2        0        0        2
39450 libreoffice6.0-impress             	       0        2        0        0        2
39451 libreoffice6.0-math                	       0        2        0        0        2
39452 libreoffice6.0-ure                 	       0        3        0        0        3
39453 libreoffice6.0-writer              	       0        2        0        0        2
39454 libreoffice6.1-debian-menus        	       0        1        1        0        0
39455 libreoffice6.1-ure                 	       0        1        0        0        1
39456 libreoffice6.2                     	       0        2        0        0        2
39457 libreoffice6.2-base                	       0        2        0        0        2
39458 libreoffice6.2-calc                	       0        2        0        0        2
39459 libreoffice6.2-dict-en             	       0        2        0        0        2
39460 libreoffice6.2-dict-es             	       0        2        0        0        2
39461 libreoffice6.2-dict-fr             	       0        2        0        0        2
39462 libreoffice6.2-draw                	       0        2        0        0        2
39463 libreoffice6.2-en-us               	       0        2        0        0        2
39464 libreoffice6.2-impress             	       0        2        0        0        2
39465 libreoffice6.2-math                	       0        2        0        0        2
39466 libreoffice6.2-ure                 	       0        2        0        0        2
39467 libreoffice6.2-writer              	       0        2        0        0        2
39468 libreoffice6.3                     	       0        3        0        0        3
39469 libreoffice6.3-base                	       0        3        0        0        3
39470 libreoffice6.3-calc                	       0        3        0        0        3
39471 libreoffice6.3-dict-en             	       0        3        0        0        3
39472 libreoffice6.3-dict-es             	       0        2        0        0        2
39473 libreoffice6.3-dict-fr             	       0        2        0        0        2
39474 libreoffice6.3-draw                	       0        3        0        0        3
39475 libreoffice6.3-en-us               	       0        3        0        0        3
39476 libreoffice6.3-impress             	       0        3        0        0        3
39477 libreoffice6.3-math                	       0        3        0        0        3
39478 libreoffice6.3-ure                 	       0        3        0        0        3
39479 libreoffice6.3-writer              	       0        3        0        0        3
39480 libreoffice6.4                     	       0        4        0        0        4
39481 libreoffice6.4-base                	       0        4        0        0        4
39482 libreoffice6.4-calc                	       0        4        0        0        4
39483 libreoffice6.4-debian-menus        	       0        5        5        0        0
39484 libreoffice6.4-dict-en             	       0        4        0        0        4
39485 libreoffice6.4-dict-es             	       0        4        0        0        4
39486 libreoffice6.4-dict-fr             	       0        4        0        0        4
39487 libreoffice6.4-dict-ru             	       0        1        0        0        1
39488 libreoffice6.4-draw                	       0        4        0        0        4
39489 libreoffice6.4-en-us               	       0        4        0        0        4
39490 libreoffice6.4-fr                  	       0        1        0        0        1
39491 libreoffice6.4-impress             	       0        4        0        0        4
39492 libreoffice6.4-math                	       0        4        0        0        4
39493 libreoffice6.4-ru                  	       0        1        0        0        1
39494 libreoffice6.4-ure                 	       0        4        0        0        4
39495 libreoffice6.4-writer              	       0        4        0        0        4
39496 libreoffice7.1                     	       0        1        0        0        1
39497 libreoffice7.1-base                	       0        1        0        0        1
39498 libreoffice7.1-calc                	       0        1        0        0        1
39499 libreoffice7.1-debian-menus        	       0        1        1        0        0
39500 libreoffice7.1-dict-en             	       0        1        0        0        1
39501 libreoffice7.1-dict-es             	       0        1        0        0        1
39502 libreoffice7.1-dict-fr             	       0        1        0        0        1
39503 libreoffice7.1-draw                	       0        1        0        0        1
39504 libreoffice7.1-en-us               	       0        1        0        0        1
39505 libreoffice7.1-impress             	       0        1        0        0        1
39506 libreoffice7.1-math                	       0        1        0        0        1
39507 libreoffice7.1-ure                 	       0        1        0        0        1
39508 libreoffice7.1-writer              	       0        1        0        0        1
39509 libreoffice7.2-debian-menus        	       0        1        1        0        0
39510 libreoffice7.2-ure                 	       0        1        0        0        1
39511 libreoffice7.3                     	       0        1        0        0        1
39512 libreoffice7.3-base                	       0        1        0        0        1
39513 libreoffice7.3-calc                	       0        1        0        0        1
39514 libreoffice7.3-debian-menus        	       0        1        1        0        0
39515 libreoffice7.3-dict-en             	       0        1        0        0        1
39516 libreoffice7.3-dict-es             	       0        1        0        0        1
39517 libreoffice7.3-dict-fr             	       0        1        0        0        1
39518 libreoffice7.3-dict-ru             	       0        1        0        0        1
39519 libreoffice7.3-draw                	       0        1        0        0        1
39520 libreoffice7.3-en-us               	       0        1        0        0        1
39521 libreoffice7.3-impress             	       0        1        0        0        1
39522 libreoffice7.3-math                	       0        1        0        0        1
39523 libreoffice7.3-ru                  	       0        1        0        0        1
39524 libreoffice7.3-ure                 	       0        1        0        0        1
39525 libreoffice7.3-writer              	       0        1        0        0        1
39526 libreoffice7.4                     	       0       11        0        0       11
39527 libreoffice7.4-base                	       0        1        0        0        1
39528 libreoffice7.4-calc                	       0       11        0        0       11
39529 libreoffice7.4-debian-menus        	       0       11       11        0        0
39530 libreoffice7.4-dict-en             	       0        1        0        0        1
39531 libreoffice7.4-dict-fr             	       0        1        0        0        1
39532 libreoffice7.4-draw                	       0       11        0        0       11
39533 libreoffice7.4-en-us               	       0        1        0        0        1
39534 libreoffice7.4-impress             	       0       11        0        0       11
39535 libreoffice7.4-it                  	       0       10        0        0       10
39536 libreoffice7.4-math                	       0        1        0        0        1
39537 libreoffice7.4-ure                 	       0       12        0        0       12
39538 libreoffice7.4-writer              	       0       11        0        0       11
39539 libreoffice7.5                     	       0        4        0        0        4
39540 libreoffice7.5-base                	       0        4        0        0        4
39541 libreoffice7.5-calc                	       0        4        0        0        4
39542 libreoffice7.5-dict-en             	       0        4        0        0        4
39543 libreoffice7.5-dict-es             	       0        4        0        0        4
39544 libreoffice7.5-dict-fr             	       0        4        0        0        4
39545 libreoffice7.5-dict-nl             	       0        1        0        0        1
39546 libreoffice7.5-draw                	       0        4        0        0        4
39547 libreoffice7.5-en-us               	       0        4        0        0        4
39548 libreoffice7.5-impress             	       0        4        0        0        4
39549 libreoffice7.5-math                	       0        4        0        0        4
39550 libreoffice7.5-nl                  	       0        1        0        0        1
39551 libreoffice7.5-ure                 	       0        6        0        0        6
39552 libreoffice7.5-writer              	       0        4        0        0        4
39553 libreoffice7.6                     	       0        3        0        0        3
39554 libreoffice7.6-base                	       0        3        0        0        3
39555 libreoffice7.6-calc                	       0        3        0        0        3
39556 libreoffice7.6-debian-menus        	       0        4        4        0        0
39557 libreoffice7.6-dict-en             	       0        3        0        0        3
39558 libreoffice7.6-dict-es             	       0        3        0        0        3
39559 libreoffice7.6-dict-fr             	       0        3        0        0        3
39560 libreoffice7.6-draw                	       0        3        0        0        3
39561 libreoffice7.6-en-us               	       0        3        0        0        3
39562 libreoffice7.6-impress             	       0        3        0        0        3
39563 libreoffice7.6-math                	       0        3        0        0        3
39564 libreoffice7.6-ure                 	       0        5        0        0        5
39565 libreoffice7.6-writer              	       0        3        0        0        3
39566 libreofficebox-keyring             	       0        1        0        0        1
39567 libreofficekit-data                	       0       66       65        1        0
39568 libreofficekit-dev                 	       0        1        1        0        0
39569 librep-dev                         	       0        3        3        0        0
39570 librep16                           	       0       19        3        0       16
39571 librep9                            	       0        4        1        0        3
39572 librepfunc1                        	       0        1        0        0        1
39573 libreplaygain-dev                  	       0        2        2        0        0
39574 libreplaygain1                     	       0       35        0        0       35
39575 librepo0                           	       0        3        0        0        3
39576 librepository-java                 	       0      746        0        0      746
39577 librepository-java-doc             	       0        6        0        0        6
39578 libresample1-dev                   	       0       13       13        0        0
39579 libresid-builder-dev               	       0       12       12        0        0
39580 libresolv-wrapper                  	       0        5        0        0        5
39581 libresource-retriever-dev          	       0        1        1        0        0
39582 libresource-retriever0d            	       0        1        0        0        1
39583 libressl                           	       0        1        1        0        0
39584 librest-application-perl           	       0        1        1        0        0
39585 librest-dev                        	       0        2        2        0        0
39586 librest-doc                        	       0        1        0        0        1
39587 librest-extras-0.7-0               	       0        7        0        0        7
39588 librest-extras-1.0-0               	       0        1        0        0        1
39589 librest-extras-dev                 	       0        1        1        0        0
39590 librestbed0                        	       0        4        0        0        4
39591 librestinio-dev                    	       0        1        0        0        1
39592 libresult-ocaml                    	       0        1        1        0        0
39593 libreswan                          	       0        1        1        0        0
39594 libretro-beetle-pce-fast           	       0        4        0        0        4
39595 libretro-beetle-psx                	       0        5        0        0        5
39596 libretro-beetle-vb                 	       0        4        0        0        4
39597 libretro-beetle-wswan              	       0        4        0        0        4
39598 libretro-bsnes-mercury-accuracy    	       0        7        0        0        7
39599 libretro-bsnes-mercury-balanced    	       0        4        0        0        4
39600 libretro-bsnes-mercury-performance 	       0        5        0        0        5
39601 libretro-core-info                 	       0       27        0        0       27
39602 libretro-desmume                   	       0        4        0        0        4
39603 libretro-gambatte                  	       0        4        0        0        4
39604 libretro-genesisplusgx             	       0        2        0        0        2
39605 libretro-gtk-1-0                   	       0        4        0        0        4
39606 libretro-gtk-1-dev                 	       0        3        3        0        0
39607 libretro-mgba                      	       0        5        0        0        5
39608 libretro-mupen64plus               	       0        1        0        0        1
39609 libretro-nestopia                  	       0        6        0        0        6
39610 libretro-snes9x                    	       0        9        0        0        9
39611 libreturn-type-perl                	       0        1        1        0        0
39612 libreturn-value-perl               	       0        1        1        0        0
39613 librevenge-dev                     	       0        7        7        0        0
39614 librevm0                           	       0        1        0        0        1
39615 librexml-ruby                      	       0        1        0        0        1
39616 librg-exception-perl               	       0        1        1        0        0
39617 librg-reprof-bundle-perl           	       0        1        1        0        0
39618 librg-utils-perl                   	       0        1        1        0        0
39619 librgw2                            	       0        5        0        0        5
39620 librhash-dev                       	       0       14       14        0        0
39621 librhash0-dbgsym                   	       0        1        1        0        0
39622 librhash1                          	       0       46        0        0       46
39623 librhino-java                      	       0      271        0        0      271
39624 librhino-java-doc                  	       0        4        0        0        4
39625 librhonabwy0.9                     	       0        1        0        0        1
39626 librhonabwy1.1                     	       0        1        0        0        1
39627 librhvoice-audio2                  	       0        3        0        0        3
39628 librhvoice-core7                   	       0        3        0        0        3
39629 librhythmbox-core10                	       0      400        2        0      398
39630 librhythmbox-core8                 	       0        4        0        0        4
39631 librhythmbox-core9                 	       0        1        0        0        1
39632 libriemann-client-dev              	       0        2        2        0        0
39633 libriemann-client0                 	       0       42        0        0       42
39634 librime-bin                        	       0        6        6        0        0
39635 librime-data                       	       0        6        0        0        6
39636 librime-data-array30               	       0        1        0        0        1
39637 librime-data-bopomofo              	       0        3        0        0        3
39638 librime-data-cangjie5              	       0        2        0        0        2
39639 librime-data-combo-pinyin          	       0        1        0        0        1
39640 librime-data-double-pinyin         	       0        1        0        0        1
39641 librime-data-emoji                 	       0        1        0        0        1
39642 librime-data-ipa-xsampa            	       0        1        0        0        1
39643 librime-data-ipa-yunlong           	       0        1        0        0        1
39644 librime-data-jyutping              	       0        1        0        0        1
39645 librime-data-luna-pinyin           	       0        2        0        0        2
39646 librime-data-pinyin-simp           	       0        1        0        0        1
39647 librime-data-quick5                	       0        1        0        0        1
39648 librime-data-sampheng              	       0        1        0        0        1
39649 librime-data-scj6                  	       0        1        0        0        1
39650 librime-data-soutzoe               	       0        1        0        0        1
39651 librime-data-stenotype             	       0        1        0        0        1
39652 librime-data-stroke                	       0        2        0        0        2
39653 librime-data-terra-pinyin          	       0        2        0        0        2
39654 librime-data-wubi                  	       0        1        0        0        1
39655 librime-data-wugniu                	       0        1        0        0        1
39656 librime-data-zyenpheng             	       0        1        0        0        1
39657 librime-plugin-charcode            	       0        3        1        0        2
39658 librime-plugin-lua                 	       0        3        1        0        2
39659 librime-plugin-octagram            	       0        3        1        0        2
39660 librime1                           	       0        6        2        0        4
39661 libring-anti-forgery-clojure       	       0        1        0        0        1
39662 libring-codec-clojure              	       0        2        0        0        2
39663 libring-core-clojure               	       0        2        0        0        2
39664 libring-defaults-clojure           	       0        1        0        0        1
39665 libring-headers-clojure            	       0        1        0        0        1
39666 libring-json-clojure               	       0        1        0        0        1
39667 libring-mock-clojure               	       0        1        0        0        1
39668 libring-servlet-clojure            	       0        1        0        0        1
39669 libring-ssl-clojure                	       0        1        0        0        1
39670 librist-dev                        	       0        9        9        0        0
39671 librlog1c2a                        	       0        1        0        0        1
39672 librlog5                           	       0        2        0        0        2
39673 librlog5v5                         	       0        6        0        0        6
39674 librnd3                            	       0       10        0        0       10
39675 librnd3-cloud                      	       0        9        9        0        0
39676 librnd3-hid-gtk2-gdk               	       0        9        9        0        0
39677 librnd3-hid-gtk2-gl                	       0        9        9        0        0
39678 librnd3-lib-gl                     	       0        9        9        0        0
39679 librnd3-lib-gtk                    	       0        9        9        0        0
39680 librnd3-lib-gui                    	       0        9        9        0        0
39681 librnd4-cloud                      	       0        3        3        0        0
39682 librnd4-hid-gtk4-gl                	       0        3        3        0        0
39683 librnd4-lib-gl                     	       0        3        3        0        0
39684 librnd4-lib-gui                    	       0        3        3        0        0
39685 librnd4-pixmap                     	       0        3        3        0        0
39686 librnd4t64                         	       0        3        0        0        3
39687 librngom-java                      	       0      341        0        0      341
39688 librnnoise-dev                     	       0        1        1        0        0
39689 librnnoise0                        	       0        1        0        0        1
39690 libroar-compat-tools               	       0        1        1        0        0
39691 libroar-compat1                    	       0        1        1        0        0
39692 libroar-compat2                    	       0        5        0        0        5
39693 libroar-dev                        	       0        1        1        0        0
39694 libroar-plugins-universal          	       0        1        0        0        1
39695 libroar1                           	       0        1        0        0        1
39696 libroar2                           	       0       11        0        0       11
39697 libroaring-dev                     	       0        1        1        0        0
39698 libroaring0                        	       0        1        0        0        1
39699 libroc0.3                          	       0       16        0        0       16
39700 libroc0.4                          	       0      100        0        0      100
39701 librocksdb7.8                      	       0        1        0        0        1
39702 librocm-smi-dev                    	       0        3        3        0        0
39703 librocm-smi64-1                    	       0       12        0        0       12
39704 librocrand1                        	       0        1        0        0        1
39705 librocsparse0                      	       0        1        0        0        1
39706 libroken18-heimdal                 	       0       47        0        0       47
39707 libroken19t64-heimdal              	       0        2        0        0        2
39708 librole-basic-perl                 	       0        1        1        0        0
39709 librole-commons-perl               	       0        2        2        0        0
39710 librole-eventemitter-perl          	       0        1        1        0        0
39711 librole-hasmessage-perl            	       0        1        1        0        0
39712 librole-identifiable-perl          	       0        1        1        0        0
39713 libroman-perl                      	       0       16       16        0        0
39714 librome-java                       	       0        1        0        0        1
39715 libros-rosgraph-msgs-dev           	       0        4        4        0        0
39716 librosbag-dev                      	       0        1        1        0        0
39717 librosbag-storage-dev              	       0        1        1        0        0
39718 librosbag-storage4d                	       0        1        0        0        1
39719 librosbag4d                        	       0        1        0        0        1
39720 librosconsole-bridge-dev           	       0        1        1        0        0
39721 librosconsole-bridge0d             	       0        1        0        0        1
39722 librosconsole-dev                  	       0        4        4        0        0
39723 librosconsole3d                    	       0        5        0        0        5
39724 libroscpp-core-dev                 	       0        4        4        0        0
39725 libroscpp-dev                      	       0        4        4        0        0
39726 libroscpp-msg-dev                  	       0        4        4        0        0
39727 libroscpp-serialization0d          	       0        5        0        0        5
39728 libroscpp3d                        	       0        2        0        0        2
39729 libroscpp4d                        	       0        4        0        0        4
39730 librose-datetime-perl              	       0        1        1        0        0
39731 librose-object-perl                	       0        2        2        0        0
39732 librose-uri-perl                   	       0        2        2        0        0
39733 libroslib-dev                      	       0        2        2        0        0
39734 libroslib0d                        	       0        3        0        0        3
39735 libroslz4-1d                       	       0        1        0        0        1
39736 libroslz4-dev                      	       0        1        1        0        0
39737 librospack-dev                     	       0        2        2        0        0
39738 librospack0d                       	       0        4        0        0        4
39739 librostest-dev                     	       0        1        1        0        0
39740 librostime1d                       	       0        5        0        0        5
39741 librouter-simple-perl              	       0        4        4        0        0
39742 libroutermanager0                  	       0        2        0        0        2
39743 libroutino-slim0                   	       0       19        0        0       19
39744 libroutino0                        	       0       19        0        0       19
39745 librpc-xml-perl                    	       0       10       10        0        0
39746 librpcsecgss-dev                   	       0        1        1        0        0
39747 librpcsecgss3                      	       0       29        0        0       29
39748 librplay3-dev                      	       0        1        1        0        0
39749 librpm-dev                         	       0        2        2        0        0
39750 librpm10                           	       0       41        0        0       41
39751 librpm3                            	       0       35        0        0       35
39752 librpm8                            	       0       25        0        0       25
39753 librpm9                            	       0      277        0        0      277
39754 librpm9t64                         	       0        1        0        0        1
39755 librpmbuild10                      	       0       19        0        0       19
39756 librpmbuild3                       	       0       27        0        0       27
39757 librpmbuild8                       	       0       20        0        0       20
39758 librpmbuild9                       	       0      190        0        0      190
39759 librpmbuild9t64                    	       0        1        0        0        1
39760 librpmio10                         	       0       41        0        0       41
39761 librpmio3                          	       0       35        0        0       35
39762 librpmio8                          	       0       25        0        0       25
39763 librpmio9                          	       0      279        0        0      279
39764 librpmio9t64                       	       0        1        0        0        1
39765 librpmsign1                        	       0        5        0        0        5
39766 librpmsign10                       	       0       33        0        0       33
39767 librpmsign3                        	       0       15        0        0       15
39768 librpmsign8                        	       0       20        0        0       20
39769 librpmsign9                        	       0      190        0        0      190
39770 librpmsign9t64                     	       0        1        0        0        1
39771 librqrcode-ruby1.9                 	       0        1        0        0        1
39772 librrd-dev                         	       0        5        5        0        0
39773 librrd-ruby1.9.1                   	       0        2        0        0        2
39774 librrd2                            	       0        1        0        0        1
39775 librrd4                            	       0       15        1        0       14
39776 librrd8t64                         	       0        7        1        0        6
39777 librrdp-perl                       	       0        1        1        0        0
39778 librrdtool-oo-perl                 	       0        1        1        0        0
39779 librresult-ocaml                   	       0        1        1        0        0
39780 librresult-ocaml-dev               	       0        1        1        0        0
39781 librsb-dev                         	       0        1        1        0        0
39782 librsb0                            	       0        2        0        0        2
39783 librsl-dev                         	       0        1        1        0        0
39784 librsl1                            	       0        3        0        0        3
39785 librspec-ruby1.9.1                 	       0        1        0        0        1
39786 librsplib3                         	       0        2        0        0        2
39787 librss1-trinity                    	       0       25        0        0       25
39788 librsskit-dev                      	       0        1        1        0        0
39789 librsskit0d                        	       0        5        4        1        0
39790 librsvg2-doc                       	       0        3        0        0        3
39791 librsvg2-tests                     	       0        1        0        0        1
39792 librsync-dev                       	       0        5        5        0        0
39793 librsync1                          	       0       33        0        0       33
39794 librsync2t64                       	       0       27        0        0       27
39795 librsyntaxtextarea-java            	       0       91        0        0       91
39796 librt-client-rest-perl             	       0        1        1        0        0
39797 librtas2                           	       0        3        0        0        3
39798 librtasevent2                      	       0        3        0        0        3
39799 librtaudio-dev                     	       0       14       14        0        0
39800 librtaudio5                        	       0        1        0        0        1
39801 librtaudio5a                       	       0       10        0        0       10
39802 librtaudio6                        	       0      280        5        0      275
39803 librtaudio7                        	       0       35        0        1       34
39804 librte-acl18.11                    	       0        5        0        0        5
39805 librte-acl2                        	       0        1        0        0        1
39806 librte-acl23                       	       0        3        0        0        3
39807 librte-baseband-acc23              	       0        3        0        0        3
39808 librte-baseband-fpga-5gnr-fec23    	       0        3        0        0        3
39809 librte-baseband-fpga-lte-fec23     	       0        3        0        0        3
39810 librte-baseband-la12xx23           	       0        3        0        0        3
39811 librte-baseband-null23             	       0        3        0        0        3
39812 librte-baseband-turbo-sw23         	       0        3        0        0        3
39813 librte-bbdev18.11                  	       0        5        0        0        5
39814 librte-bbdev23                     	       0        3        0        0        3
39815 librte-bitratestats18.11           	       0        5        0        0        5
39816 librte-bitratestats23              	       0        3        0        0        3
39817 librte-bpf18.11                    	       0        5        0        0        5
39818 librte-bpf23                       	       0        3        0        0        3
39819 librte-bus-auxiliary23             	       0        3        0        0        3
39820 librte-bus-dpaa18.11               	       0        1        0        0        1
39821 librte-bus-dpaa23                  	       0        3        0        0        3
39822 librte-bus-fslmc18.11              	       0        1        0        0        1
39823 librte-bus-fslmc23                 	       0        3        0        0        3
39824 librte-bus-ifpga18.11              	       0        1        0        0        1
39825 librte-bus-ifpga23                 	       0        3        0        0        3
39826 librte-bus-pci18.11                	       0        1        0        0        1
39827 librte-bus-pci23                   	       0        3        0        0        3
39828 librte-bus-vdev18.11               	       0        1        0        0        1
39829 librte-bus-vdev23                  	       0        3        0        0        3
39830 librte-bus-vmbus18.11              	       0        1        0        0        1
39831 librte-bus-vmbus23                 	       0        3        0        0        3
39832 librte-cfgfile18.11                	       0        5        0        0        5
39833 librte-cfgfile2                    	       0        1        0        0        1
39834 librte-cfgfile23                   	       0        3        0        0        3
39835 librte-cmdline18.11                	       0        5        0        0        5
39836 librte-cmdline2                    	       0        1        0        0        1
39837 librte-cmdline23                   	       0        3        0        0        3
39838 librte-common-cnxk23               	       0        3        0        0        3
39839 librte-common-cpt18.11             	       0        1        0        0        1
39840 librte-common-cpt23                	       0        3        0        0        3
39841 librte-common-dpaax18.11           	       0        1        0        0        1
39842 librte-common-dpaax23              	       0        3        0        0        3
39843 librte-common-iavf23               	       0        3        0        0        3
39844 librte-common-idpf23               	       0        3        0        0        3
39845 librte-common-mlx5-23              	       0        3        0        0        3
39846 librte-common-octeontx18.11        	       0        1        0        0        1
39847 librte-common-octeontx23           	       0        3        0        0        3
39848 librte-common-qat23                	       0        3        0        0        3
39849 librte-common-sfc-efx23            	       0        3        0        0        3
39850 librte-compress-isal23             	       0        3        0        0        3
39851 librte-compress-mlx5-23            	       0        3        0        0        3
39852 librte-compress-octeontx23         	       0        3        0        0        3
39853 librte-compress-zlib23             	       0        3        0        0        3
39854 librte-compressdev18.11            	       0        5        0        0        5
39855 librte-compressdev23               	       0        3        0        0        3
39856 librte-crypto-bcmfs23              	       0        3        0        0        3
39857 librte-crypto-caam-jr23            	       0        3        0        0        3
39858 librte-crypto-ccp23                	       0        3        0        0        3
39859 librte-crypto-cnxk23               	       0        3        0        0        3
39860 librte-crypto-dpaa-sec23           	       0        3        0        0        3
39861 librte-crypto-dpaa2-sec23          	       0        3        0        0        3
39862 librte-crypto-ipsec-mb23           	       0        3        0        0        3
39863 librte-crypto-mlx5-23              	       0        3        0        0        3
39864 librte-crypto-nitrox23             	       0        3        0        0        3
39865 librte-crypto-null23               	       0        3        0        0        3
39866 librte-crypto-octeontx23           	       0        3        0        0        3
39867 librte-crypto-openssl23            	       0        3        0        0        3
39868 librte-crypto-scheduler23          	       0        3        0        0        3
39869 librte-crypto-virtio23             	       0        3        0        0        3
39870 librte-cryptodev18.11              	       0        5        0        0        5
39871 librte-cryptodev2                  	       0        1        0        0        1
39872 librte-cryptodev20.0               	       0        1        0        0        1
39873 librte-cryptodev23                 	       0        3        0        0        3
39874 librte-distributor1                	       0        1        0        0        1
39875 librte-distributor18.11            	       0        5        0        0        5
39876 librte-distributor23               	       0        3        0        0        3
39877 librte-dma-cnxk23                  	       0        3        0        0        3
39878 librte-dma-dpaa2-23                	       0        3        0        0        3
39879 librte-dma-dpaa23                  	       0        3        0        0        3
39880 librte-dma-hisilicon23             	       0        3        0        0        3
39881 librte-dma-idxd23                  	       0        3        0        0        3
39882 librte-dma-ioat23                  	       0        3        0        0        3
39883 librte-dma-skeleton23              	       0        3        0        0        3
39884 librte-dmadev23                    	       0        3        0        0        3
39885 librte-eal18.11                    	       0        5        0        0        5
39886 librte-eal20.0                     	       0        1        0        0        1
39887 librte-eal21                       	       0        2        0        0        2
39888 librte-eal23                       	       0        8        0        0        8
39889 librte-eal3                        	       0        2        0        0        2
39890 librte-efd18.11                    	       0        5        0        0        5
39891 librte-efd23                       	       0        3        0        0        3
39892 librte-ethdev18.11                 	       0        5        0        0        5
39893 librte-ethdev21                    	       0        2        0        0        2
39894 librte-ethdev23                    	       0        8        0        0        8
39895 librte-ethdev5                     	       0        2        0        0        2
39896 librte-event-cnxk23                	       0        3        0        0        3
39897 librte-event-dlb2-23               	       0        3        0        0        3
39898 librte-event-dpaa2-23              	       0        3        0        0        3
39899 librte-event-dpaa23                	       0        3        0        0        3
39900 librte-event-dsw23                 	       0        3        0        0        3
39901 librte-event-octeontx23            	       0        3        0        0        3
39902 librte-event-opdl23                	       0        3        0        0        3
39903 librte-event-skeleton23            	       0        3        0        0        3
39904 librte-event-sw23                  	       0        3        0        0        3
39905 librte-eventdev18.11               	       0        5        0        0        5
39906 librte-eventdev23                  	       0        3        0        0        3
39907 librte-fib23                       	       0        3        0        0        3
39908 librte-flow-classify18.11          	       0        5        0        0        5
39909 librte-gpudev23                    	       0        3        0        0        3
39910 librte-graph23                     	       0        3        0        0        3
39911 librte-gro18.11                    	       0        5        0        0        5
39912 librte-gro23                       	       0        3        0        0        3
39913 librte-gso18.11                    	       0        5        0        0        5
39914 librte-gso23                       	       0        3        0        0        3
39915 librte-hash18.11                   	       0        5        0        0        5
39916 librte-hash2                       	       0        1        0        0        1
39917 librte-hash20.0                    	       0        1        0        0        1
39918 librte-hash23                      	       0        3        0        0        3
39919 librte-ip-frag1                    	       0        1        0        0        1
39920 librte-ip-frag18.11                	       0        5        0        0        5
39921 librte-ip-frag23                   	       0        3        0        0        3
39922 librte-ipsec0.200                  	       0        1        0        0        1
39923 librte-ipsec23                     	       0        3        0        0        3
39924 librte-jobstats1                   	       0        1        0        0        1
39925 librte-jobstats18.11               	       0        5        0        0        5
39926 librte-jobstats23                  	       0        3        0        0        3
39927 librte-kni18.11                    	       0        5        0        0        5
39928 librte-kni2                        	       0        1        0        0        1
39929 librte-kvargs1                     	       0        2        0        0        2
39930 librte-kvargs18.11                 	       0        5        0        0        5
39931 librte-kvargs20.0                  	       0        1        0        0        1
39932 librte-kvargs21                    	       0        2        0        0        2
39933 librte-kvargs23                    	       0        8        0        0        8
39934 librte-latencystats18.11           	       0        5        0        0        5
39935 librte-latencystats23              	       0        3        0        0        3
39936 librte-lpm18.11                    	       0        5        0        0        5
39937 librte-lpm2                        	       0        1        0        0        1
39938 librte-lpm23                       	       0        3        0        0        3
39939 librte-mbuf18.11                   	       0        5        0        0        5
39940 librte-mbuf2                       	       0        2        0        0        2
39941 librte-mbuf20.0                    	       0        1        0        0        1
39942 librte-mbuf21                      	       0        2        0        0        2
39943 librte-mbuf23                      	       0        8        0        0        8
39944 librte-member18.11                 	       0        5        0        0        5
39945 librte-member23                    	       0        3        0        0        3
39946 librte-mempool-bucket18.11         	       0        1        0        0        1
39947 librte-mempool-bucket23            	       0        3        0        0        3
39948 librte-mempool-cnxk23              	       0        3        0        0        3
39949 librte-mempool-dpaa18.11           	       0        1        0        0        1
39950 librte-mempool-dpaa2-18.11         	       0        1        0        0        1
39951 librte-mempool-dpaa2-23            	       0        3        0        0        3
39952 librte-mempool-dpaa23              	       0        3        0        0        3
39953 librte-mempool-octeontx18.11       	       0        1        0        0        1
39954 librte-mempool-octeontx23          	       0        3        0        0        3
39955 librte-mempool-ring18.11           	       0        1        0        0        1
39956 librte-mempool-ring23              	       0        3        0        0        3
39957 librte-mempool-stack18.11          	       0        1        0        0        1
39958 librte-mempool-stack23             	       0        3        0        0        3
39959 librte-mempool18.11                	       0        5        0        0        5
39960 librte-mempool2                    	       0        2        0        0        2
39961 librte-mempool20.0                 	       0        1        0        0        1
39962 librte-mempool21                   	       0        2        0        0        2
39963 librte-mempool23                   	       0        8        0        0        8
39964 librte-meta-all                    	       0        3        0        0        3
39965 librte-meta-allpmds                	       0        3        0        0        3
39966 librte-meta-baseband               	       0        3        0        0        3
39967 librte-meta-bus                    	       0        3        0        0        3
39968 librte-meta-common                 	       0        3        0        0        3
39969 librte-meta-compress               	       0        3        0        0        3
39970 librte-meta-crypto                 	       0        3        0        0        3
39971 librte-meta-dma                    	       0        3        0        0        3
39972 librte-meta-event                  	       0        3        0        0        3
39973 librte-meta-mempool                	       0        3        0        0        3
39974 librte-meta-net                    	       0        3        0        0        3
39975 librte-meta-raw                    	       0        3        0        0        3
39976 librte-meter1                      	       0        1        0        0        1
39977 librte-meter18.11                  	       0        5        0        0        5
39978 librte-meter21                     	       0        2        0        0        2
39979 librte-meter23                     	       0        8        0        0        8
39980 librte-metrics18.11                	       0        5        0        0        5
39981 librte-metrics23                   	       0        3        0        0        3
39982 librte-net-af-packet23             	       0        3        0        0        3
39983 librte-net-af-xdp23                	       0        3        0        0        3
39984 librte-net-ark23                   	       0        3        0        0        3
39985 librte-net-atlantic23              	       0        3        0        0        3
39986 librte-net-avp23                   	       0        3        0        0        3
39987 librte-net-axgbe23                 	       0        3        0        0        3
39988 librte-net-bnx2x23                 	       0        3        0        0        3
39989 librte-net-bnxt23                  	       0        3        0        0        3
39990 librte-net-bond23                  	       0        3        0        0        3
39991 librte-net-cnxk23                  	       0        3        0        0        3
39992 librte-net-cxgbe23                 	       0        3        0        0        3
39993 librte-net-dpaa2-23                	       0        3        0        0        3
39994 librte-net-dpaa23                  	       0        3        0        0        3
39995 librte-net-e1000-23                	       0        3        0        0        3
39996 librte-net-ena23                   	       0        3        0        0        3
39997 librte-net-enetc23                 	       0        3        0        0        3
39998 librte-net-enetfec23               	       0        3        0        0        3
39999 librte-net-enic23                  	       0        3        0        0        3
40000 librte-net-failsafe23              	       0        3        0        0        3
40001 librte-net-fm10k23                 	       0        3        0        0        3
40002 librte-net-gve23                   	       0        3        0        0        3
40003 librte-net-hinic23                 	       0        3        0        0        3
40004 librte-net-hns3-23                 	       0        3        0        0        3
40005 librte-net-i40e23                  	       0        3        0        0        3
40006 librte-net-iavf23                  	       0        3        0        0        3
40007 librte-net-ice23                   	       0        3        0        0        3
40008 librte-net-idpf23                  	       0        3        0        0        3
40009 librte-net-igc23                   	       0        3        0        0        3
40010 librte-net-ionic23                 	       0        3        0        0        3
40011 librte-net-ipn3ke23                	       0        3        0        0        3
40012 librte-net-ixgbe23                 	       0        3        0        0        3
40013 librte-net-liquidio23              	       0        3        0        0        3
40014 librte-net-memif23                 	       0        3        0        0        3
40015 librte-net-mlx4-23                 	       0        3        0        0        3
40016 librte-net-mlx5-23                 	       0        3        0        0        3
40017 librte-net-netvsc23                	       0        3        0        0        3
40018 librte-net-nfp23                   	       0        3        0        0        3
40019 librte-net-ngbe23                  	       0        3        0        0        3
40020 librte-net-null23                  	       0        3        0        0        3
40021 librte-net-octeon-ep23             	       0        3        0        0        3
40022 librte-net-octeontx23              	       0        3        0        0        3
40023 librte-net-pcap23                  	       0        3        0        0        3
40024 librte-net-pfe23                   	       0        3        0        0        3
40025 librte-net-qede23                  	       0        3        0        0        3
40026 librte-net-ring23                  	       0        3        0        0        3
40027 librte-net-sfc23                   	       0        3        0        0        3
40028 librte-net-softnic23               	       0        3        0        0        3
40029 librte-net-tap23                   	       0        3        0        0        3
40030 librte-net-thunderx23              	       0        3        0        0        3
40031 librte-net-txgbe23                 	       0        3        0        0        3
40032 librte-net-vdev-netvsc23           	       0        3        0        0        3
40033 librte-net-vhost23                 	       0        3        0        0        3
40034 librte-net-virtio23                	       0        3        0        0        3
40035 librte-net-vmxnet3-23              	       0        3        0        0        3
40036 librte-net1                        	       0        2        0        0        2
40037 librte-net18.11                    	       0        5        0        0        5
40038 librte-net20.0                     	       0        1        0        0        1
40039 librte-net21                       	       0        2        0        0        2
40040 librte-net23                       	       0        8        0        0        8
40041 librte-node23                      	       0        3        0        0        3
40042 librte-pcapng23                    	       0        4        0        0        4
40043 librte-pci18.11                    	       0        5        0        0        5
40044 librte-pci23                       	       0        3        0        0        3
40045 librte-pdump1                      	       0        1        0        0        1
40046 librte-pdump18.11                  	       0        5        0        0        5
40047 librte-pdump23                     	       0        3        0        0        3
40048 librte-pipeline18.11               	       0        5        0        0        5
40049 librte-pipeline23                  	       0        3        0        0        3
40050 librte-pipeline3                   	       0        1        0        0        1
40051 librte-pmd-aesni-gcm18.11          	       0        1        0        0        1
40052 librte-pmd-aesni-mb18.11           	       0        1        0        0        1
40053 librte-pmd-af-packet1              	       0        1        0        0        1
40054 librte-pmd-af-packet18.11          	       0        1        0        0        1
40055 librte-pmd-ark18.11                	       0        1        0        0        1
40056 librte-pmd-atlantic18.11           	       0        1        0        0        1
40057 librte-pmd-avf18.11                	       0        1        0        0        1
40058 librte-pmd-avp18.11                	       0        1        0        0        1
40059 librte-pmd-axgbe18.11              	       0        1        0        0        1
40060 librte-pmd-bbdev-null18.11         	       0        1        0        0        1
40061 librte-pmd-bnx2x18.11              	       0        1        0        0        1
40062 librte-pmd-bnxt1                   	       0        1        0        0        1
40063 librte-pmd-bnxt18.11               	       0        1        0        0        1
40064 librte-pmd-bond1                   	       0        1        0        0        1
40065 librte-pmd-bond18.11               	       0        1        0        0        1
40066 librte-pmd-caam-jr18.11            	       0        1        0        0        1
40067 librte-pmd-ccp18.11                	       0        1        0        0        1
40068 librte-pmd-crypto-scheduler18.11   	       0        1        0        0        1
40069 librte-pmd-cxgbe1                  	       0        1        0        0        1
40070 librte-pmd-cxgbe18.11              	       0        1        0        0        1
40071 librte-pmd-dpaa-event18.11         	       0        1        0        0        1
40072 librte-pmd-dpaa-sec18.11           	       0        1        0        0        1
40073 librte-pmd-dpaa18.11               	       0        1        0        0        1
40074 librte-pmd-dpaa2-18.11             	       0        1        0        0        1
40075 librte-pmd-dpaa2-cmdif18.11        	       0        1        0        0        1
40076 librte-pmd-dpaa2-event18.11        	       0        1        0        0        1
40077 librte-pmd-dpaa2-qdma18.11         	       0        1        0        0        1
40078 librte-pmd-dpaa2-sec18.11          	       0        1        0        0        1
40079 librte-pmd-dsw-event18.11          	       0        1        0        0        1
40080 librte-pmd-e1000-1                 	       0        1        0        0        1
40081 librte-pmd-e1000-18.11             	       0        1        0        0        1
40082 librte-pmd-ena1                    	       0        1        0        0        1
40083 librte-pmd-ena18.11                	       0        1        0        0        1
40084 librte-pmd-enetc18.11              	       0        1        0        0        1
40085 librte-pmd-enic1                   	       0        1        0        0        1
40086 librte-pmd-enic18.11               	       0        1        0        0        1
40087 librte-pmd-failsafe18.11           	       0        1        0        0        1
40088 librte-pmd-fm10k1                  	       0        1        0        0        1
40089 librte-pmd-fm10k18.11              	       0        1        0        0        1
40090 librte-pmd-i40e1                   	       0        1        0        0        1
40091 librte-pmd-i40e18.11               	       0        1        0        0        1
40092 librte-pmd-ifc18.11                	       0        1        0        0        1
40093 librte-pmd-ifpga-rawdev18.11       	       0        1        0        0        1
40094 librte-pmd-ixgbe1                  	       0        1        0        0        1
40095 librte-pmd-ixgbe18.11              	       0        1        0        0        1
40096 librte-pmd-kni18.11                	       0        1        0        0        1
40097 librte-pmd-liquidio18.11           	       0        1        0        0        1
40098 librte-pmd-mlx4-18.11              	       0        1        0        0        1
40099 librte-pmd-mlx5-18.11              	       0        1        0        0        1
40100 librte-pmd-netvsc18.11             	       0        1        0        0        1
40101 librte-pmd-nfp18.11                	       0        1        0        0        1
40102 librte-pmd-null-crypto1            	       0        1        0        0        1
40103 librte-pmd-null-crypto18.11        	       0        1        0        0        1
40104 librte-pmd-null1                   	       0        1        0        0        1
40105 librte-pmd-null18.11               	       0        1        0        0        1
40106 librte-pmd-octeontx-compress18.11  	       0        1        0        0        1
40107 librte-pmd-octeontx-crypto18.11    	       0        1        0        0        1
40108 librte-pmd-octeontx-event18.11     	       0        1        0        0        1
40109 librte-pmd-octeontx18.11           	       0        1        0        0        1
40110 librte-pmd-opdl-event18.11         	       0        1        0        0        1
40111 librte-pmd-openssl18.11            	       0        1        0        0        1
40112 librte-pmd-pcap1                   	       0        1        0        0        1
40113 librte-pmd-pcap18.11               	       0        1        0        0        1
40114 librte-pmd-qat18.11                	       0        1        0        0        1
40115 librte-pmd-qede1                   	       0        1        0        0        1
40116 librte-pmd-qede18.11               	       0        1        0        0        1
40117 librte-pmd-ring18.11               	       0        1        0        0        1
40118 librte-pmd-ring2                   	       0        1        0        0        1
40119 librte-pmd-sfc18.11                	       0        1        0        0        1
40120 librte-pmd-skeleton-event18.11     	       0        1        0        0        1
40121 librte-pmd-skeleton-rawdev18.11    	       0        1        0        0        1
40122 librte-pmd-softnic18.11            	       0        1        0        0        1
40123 librte-pmd-sw-event18.11           	       0        1        0        0        1
40124 librte-pmd-tap18.11                	       0        1        0        0        1
40125 librte-pmd-thunderx18.11           	       0        1        0        0        1
40126 librte-pmd-vdev-netvsc18.11        	       0        1        0        0        1
40127 librte-pmd-vhost1                  	       0        1        0        0        1
40128 librte-pmd-vhost18.11              	       0        1        0        0        1
40129 librte-pmd-virtio-crypto18.11      	       0        1        0        0        1
40130 librte-pmd-virtio1                 	       0        2        0        0        2
40131 librte-pmd-virtio18.11             	       0        1        0        0        1
40132 librte-pmd-vmxnet3-18.11           	       0        1        0        0        1
40133 librte-pmd-vmxnet3-uio1            	       0        1        0        0        1
40134 librte-pmd-xenvirt1                	       0        1        0        0        1
40135 librte-pmd-zlib18.11               	       0        1        0        0        1
40136 librte-port18.11                   	       0        5        0        0        5
40137 librte-port23                      	       0        3        0        0        3
40138 librte-port3                       	       0        1        0        0        1
40139 librte-power1                      	       0        1        0        0        1
40140 librte-power18.11                  	       0        5        0        0        5
40141 librte-power23                     	       0        3        0        0        3
40142 librte-raw-cnxk-bphy23             	       0        3        0        0        3
40143 librte-raw-cnxk-gpio23             	       0        3        0        0        3
40144 librte-raw-dpaa2-cmdif23           	       0        3        0        0        3
40145 librte-raw-ifpga23                 	       0        3        0        0        3
40146 librte-raw-ntb23                   	       0        3        0        0        3
40147 librte-raw-skeleton23              	       0        3        0        0        3
40148 librte-rawdev18.11                 	       0        5        0        0        5
40149 librte-rawdev23                    	       0        3        0        0        3
40150 librte-rcu23                       	       0        3        0        0        3
40151 librte-regex-cn9k23                	       0        3        0        0        3
40152 librte-regex-mlx5-23               	       0        3        0        0        3
40153 librte-regexdev23                  	       0        3        0        0        3
40154 librte-reorder1                    	       0        1        0        0        1
40155 librte-reorder18.11                	       0        5        0        0        5
40156 librte-reorder23                   	       0        3        0        0        3
40157 librte-rib23                       	       0        3        0        0        3
40158 librte-ring1                       	       0        2        0        0        2
40159 librte-ring18.11                   	       0        5        0        0        5
40160 librte-ring20.0                    	       0        1        0        0        1
40161 librte-ring21                      	       0        2        0        0        2
40162 librte-ring23                      	       0        8        0        0        8
40163 librte-sched1                      	       0        1        0        0        1
40164 librte-sched18.11                  	       0        5        0        0        5
40165 librte-sched23                     	       0        3        0        0        3
40166 librte-security18.11               	       0        5        0        0        5
40167 librte-security20.0                	       0        1        0        0        1
40168 librte-security23                  	       0        3        0        0        3
40169 librte-stack23                     	       0        3        0        0        3
40170 librte-table18.11                  	       0        5        0        0        5
40171 librte-table2                      	       0        1        0        0        1
40172 librte-table23                     	       0        3        0        0        3
40173 librte-telemetry18.11              	       0        5        0        0        5
40174 librte-telemetry21                 	       0        2        0        0        2
40175 librte-telemetry23                 	       0        8        0        0        8
40176 librte-timer1                      	       0        1        0        0        1
40177 librte-timer18.11                  	       0        5        0        0        5
40178 librte-timer23                     	       0        3        0        0        3
40179 librte-vdpa-ifc23                  	       0        3        0        0        3
40180 librte-vdpa-mlx5-23                	       0        3        0        0        3
40181 librte-vdpa-sfc23                  	       0        3        0        0        3
40182 librte-vhost18.11                  	       0        5        0        0        5
40183 librte-vhost23                     	       0        3        0        0        3
40184 librte-vhost3                      	       0        1        0        0        1
40185 librtengine3                       	       0        1        0        0        1
40186 librtklib1                         	       0        3        0        0        3
40187 librtlsdr-dev                      	       0       18       18        0        0
40188 librtmidi-dev                      	       0        8        8        0        0
40189 librtmidi2                         	       0        2        0        0        2
40190 librtmidi3                         	       0        3        0        0        3
40191 librtmidi4                         	       0       10        0        0       10
40192 librtmidi5                         	       0       19        0        0       19
40193 librtmidi6                         	       0       82        2        0       80
40194 librtmidi7                         	       0        8        0        0        8
40195 librtmp-dev                        	       0       66       65        1        0
40196 librtmp0                           	       0       32        0        0       32
40197 librtpkcs11ecp                     	       0        2        0        0        2
40198 librttopo-dev                      	       0       35       34        1        0
40199 librttr-core0.9.6                  	       0       29        1        0       28
40200 librubberband-dev                  	       0       36       35        1        0
40201 librubberband3                     	       0        9        0        1        8
40202 libruby                            	       0      749        0        0      749
40203 libruby1.6                         	       0        1        1        0        0
40204 libruby1.8                         	       0       17       17        0        0
40205 libruby1.9.1                       	       0       16       16        0        0
40206 libruby1.9.1-dbg                   	       0        2        2        0        0
40207 libruby2.0                         	       0        5        5        0        0
40208 libruby2.2                         	       0        2        2        0        0
40209 librududu0                         	       0        1        0        0        1
40210 librulexdb-dev                     	       0        1        1        0        0
40211 librulexdb0                        	       0        1        0        0        1
40212 libruli4                           	       0        1        0        0        1
40213 librust-addr2line+cpp-demangle-dev 	       0        8        0        0        8
40214 librust-addr2line+default-dev      	       0        8        0        0        8
40215 librust-addr2line+object-dev       	       0        8        0        0        8
40216 librust-addr2line+rustc-demangle-dev	       0        8        0        0        8
40217 librust-addr2line+std-dev          	       0        8        0        0        8
40218 librust-addr2line+std-object-dev   	       0        8        0        0        8
40219 librust-addr2line-dev              	       0        8        0        0        8
40220 librust-adler-dev                  	       0        9        0        0        9
40221 librust-ahash-0.7-dev              	       0        1        0        0        1
40222 librust-ahash-dev                  	       0       10        0        0       10
40223 librust-aho-corasick-dev           	       0       11        0        0       11
40224 librust-allocator-api2-dev         	       0        2        0        0        2
40225 librust-annotate-snippets-dev      	       0        1        0        0        1
40226 librust-anstream-dev               	       0        1        0        0        1
40227 librust-anstyle-dev                	       0        2        0        0        2
40228 librust-anstyle-parse-dev          	       0        1        0        0        1
40229 librust-anstyle-query-dev          	       0        1        0        0        1
40230 librust-anyhow-dev                 	       0        3        0        0        3
40231 librust-arbitrary-dev              	       0       12        0        0       12
40232 librust-arrayvec-dev               	       0        1        0        0        1
40233 librust-atk-dev                    	       0        1        0        0        1
40234 librust-atk-sys-dev                	       0        2        2        0        0
40235 librust-atty-dev                   	       0        9        0        0        9
40236 librust-autocfg-dev                	       0       11        0        0       11
40237 librust-automod-dev                	       0        1        0        0        1
40238 librust-backtrace-dev              	       0        8        0        0        8
40239 librust-bindgen+clap-dev           	       0        4        0        0        4
40240 librust-bindgen+default-dev        	       0        4        0        0        4
40241 librust-bindgen+env-logger-dev     	       0        4        0        0        4
40242 librust-bindgen+log-dev            	       0        4        0        0        4
40243 librust-bindgen+logging-dev        	       0        4        0        0        4
40244 librust-bindgen+runtime-dev        	       0        4        0        0        4
40245 librust-bindgen+which-dev          	       0        4        0        0        4
40246 librust-bindgen-dev                	       0        4        0        0        4
40247 librust-bitflags-dev               	       0       13        0        0       13
40248 librust-bumpalo-dev                	       0       10        0        0       10
40249 librust-bytemuck-derive-dev        	       0        1        0        0        1
40250 librust-bytemuck-dev               	       0        1        0        0        1
40251 librust-byteorder-dev              	       0        3        0        0        3
40252 librust-bytes-dev                  	       0        5        0        0        5
40253 librust-bytesize-dev               	       0        1        0        0        1
40254 librust-cairo-rs+use-glib-dev      	       0        2        0        0        2
40255 librust-cairo-rs-dev               	       0        3        0        0        3
40256 librust-cairo-sys-rs+use-glib-dev  	       0        2        0        0        2
40257 librust-cairo-sys-rs-dev           	       0        4        0        0        4
40258 librust-capnp-dev                  	       0        1        0        0        1
40259 librust-cexpr-dev                  	       0        5        5        0        0
40260 librust-cfg-aliases-dev            	       0        1        0        0        1
40261 librust-cfg-expr-dev               	       0        4        0        0        4
40262 librust-cfg-if-0.1-dev             	       0        1        0        0        1
40263 librust-cfg-if-dev                 	       0       12        0        0       12
40264 librust-clang-sys+libloading-dev   	       0        4        0        0        4
40265 librust-clang-sys-dev              	       0        4        4        0        0
40266 librust-clap-3-dev                 	       0        4        4        0        0
40267 librust-clap-derive-3-dev          	       0        4        0        0        4
40268 librust-clap-lex-dev               	       0        4        0        0        4
40269 librust-cmake-dev                  	       0        2        0        0        2
40270 librust-colorchoice-dev            	       0        1        0        0        1
40271 librust-compiler-builtins+core-dev 	       0        2        0        0        2
40272 librust-compiler-builtins+rustc-dep-of-std-dev	       0        2        0        0        2
40273 librust-compiler-builtins-dev      	       0       13        0        0       13
40274 librust-const-cstr-dev             	       0        1        0        0        1
40275 librust-const-random-dev           	       0       11        0        0       11
40276 librust-const-random-macro-dev     	       0       11        0        0       11
40277 librust-cpp-demangle-dev           	       0        8        0        0        8
40278 librust-crc32fast-dev              	       0        9        0        0        9
40279 librust-critical-section-dev       	       0        2        0        0        2
40280 librust-crossbeam-channel-dev      	       0        9        0        0        9
40281 librust-crossbeam-deque-dev        	       0       11        0        0       11
40282 librust-crossbeam-epoch+std-dev    	       0       11        0        0       11
40283 librust-crossbeam-epoch-dev        	       0       11        0        0       11
40284 librust-crossbeam-utils-dev        	       0       11        0        0       11
40285 librust-crunchy-dev                	       0       11        0        0       11
40286 librust-ctor-dev                   	       0        9        0        0        9
40287 librust-curl-sys+openssl-sys-dev   	       0        1        0        0        1
40288 librust-curl-sys-dev               	       0        1        0        0        1
40289 librust-derive-arbitrary-dev       	       0       12        0        0       12
40290 librust-diff-dev                   	       0        1        0        0        1
40291 librust-dirs-next-dev              	       0        1        0        0        1
40292 librust-dirs-sys-next-dev          	       0        1        0        0        1
40293 librust-dlib-dev                   	       0        2        0        0        2
40294 librust-downcast-rs-dev            	       0        1        0        0        1
40295 librust-dunce-dev                  	       0        1        0        0        1
40296 librust-either-dev                 	       0       12        0        0       12
40297 librust-encoding-rs-dev            	       0        1        0        0        1
40298 librust-env-filter-dev             	       0        1        0        0        1
40299 librust-env-logger+atty-dev        	       0        9        0        0        9
40300 librust-env-logger+default-dev     	       0        9        0        0        9
40301 librust-env-logger+humantime-dev   	       0        9        0        0        9
40302 librust-env-logger+regex-dev       	       0        9        0        0        9
40303 librust-env-logger+termcolor-dev   	       0        9        0        0        9
40304 librust-env-logger-dev             	       0       10        0        0       10
40305 librust-equivalent-dev             	       0        2        0        0        2
40306 librust-erased-serde-dev           	       0       11        0        0       11
40307 librust-errno-dev                  	       0        5        0        0        5
40308 librust-fallible-iterator-dev      	       0        8        0        0        8
40309 librust-field-offset-dev           	       0        1        0        0        1
40310 librust-fixedbitset-dev            	       0        5        0        0        5
40311 librust-flate2+miniz-oxide-dev     	       0        8        0        0        8
40312 librust-flate2-dev                 	       0        9        0        0        9
40313 librust-float-ord-dev              	       0        1        0        0        1
40314 librust-font-kit-dev               	       0        1        0        0        1
40315 librust-foreign-types-0.3-dev      	       0        1        0        0        1
40316 librust-foreign-types-shared-0.1-dev	       0        1        0        0        1
40317 librust-fragile-dev                	       0        1        0        0        1
40318 librust-freetype-dev               	       0        2        2        0        0
40319 librust-freetype-rs-dev            	       0        1        0        0        1
40320 librust-freetype-sys-dev           	       0        2        0        0        2
40321 librust-futures-channel-dev        	       0        3        0        0        3
40322 librust-futures-core-dev           	       0        3        0        0        3
40323 librust-futures-executor-dev       	       0        3        0        0        3
40324 librust-futures-io-dev             	       0        3        0        0        3
40325 librust-futures-macro-dev          	       0        3        0        0        3
40326 librust-futures-sink-dev           	       0        3        0        0        3
40327 librust-futures-task-dev           	       0        3        0        0        3
40328 librust-futures-util-dev           	       0        3        0        0        3
40329 librust-gdk-pixbuf-dev             	       0        4        0        0        4
40330 librust-gdk-pixbuf-sys-dev         	       0        5        5        0        0
40331 librust-gdk-sys-dev                	       0        2        2        0        0
40332 librust-gdk4-dev                   	       0        1        0        0        1
40333 librust-gdk4-sys-dev               	       0        1        1        0        0
40334 librust-getrandom-dev              	       0       11        0        0       11
40335 librust-gimli-dev                  	       0        8        0        0        8
40336 librust-gio-dev                    	       0        4        0        0        4
40337 librust-gio-sys-dev                	       0        5        5        0        0
40338 librust-glib-dev                   	       0        5        0        0        5
40339 librust-glib-macros-dev            	       0        3        0        0        3
40340 librust-glib-sys-dev               	       0        6        6        0        0
40341 librust-glob-dev                   	       0        6        0        0        6
40342 librust-gobject-sys-dev            	       0        6        6        0        0
40343 librust-graphene-rs-dev            	       0        1        0        0        1
40344 librust-graphene-sys-dev           	       0        1        1        0        0
40345 librust-gsk4-dev                   	       0        1        0        0        1
40346 librust-gsk4-sys-dev               	       0        1        1        0        0
40347 librust-gtk-sys-dev                	       0        1        1        0        0
40348 librust-gtk4-dev                   	       0        1        0        0        1
40349 librust-gtk4-macros-dev            	       0        1        0        0        1
40350 librust-gtk4-sys-dev               	       0        1        1        0        0
40351 librust-hashbrown-dev              	       0       11        0        0       11
40352 librust-heck-dev                   	       0        7        0        0        7
40353 librust-hex-dev                    	       0        1        0        0        1
40354 librust-home-dev                   	       0        1        0        0        1
40355 librust-humantime-dev              	       0       10        0        0       10
40356 librust-indexmap-dev               	       0       11        0        0       11
40357 librust-io-lifetimes-dev           	       0        4        0        0        4
40358 librust-is-terminal-dev            	       0        1        0        0        1
40359 librust-itertools-dev              	       0        2        0        0        2
40360 librust-itoa-dev                   	       0        6        0        0        6
40361 librust-lazy-static-dev            	       0       13        0        0       13
40362 librust-lazycell-dev               	       0        5        0        0        5
40363 librust-libc-dev                   	       0       17        0        0       17
40364 librust-libdbus-sys-dev            	       0        1        0        0        1
40365 librust-libloading-dev             	       0        7        0        0        7
40366 librust-libsqlite3-sys-dev         	       0        1        1        0        0
40367 librust-libudev-sys-dev            	       0        1        0        0        1
40368 librust-libz-sys-dev               	       0        1        0        0        1
40369 librust-linked-hash-map-dev        	       0        4        0        0        4
40370 librust-linux-raw-sys+compiler-builtins-dev	       0        4        0        0        4
40371 librust-linux-raw-sys+core-dev     	       0        4        0        0        4
40372 librust-linux-raw-sys+default-dev  	       0        4        0        0        4
40373 librust-linux-raw-sys+rustc-dep-of-std-dev	       0        4        0        0        4
40374 librust-linux-raw-sys-dev          	       0        5        0        0        5
40375 librust-lmdb-dev                   	       0        1        0        0        1
40376 librust-lmdb-sys-dev               	       0        1        0        0        1
40377 librust-locale-config-dev          	       0        1        0        0        1
40378 librust-lock-api-dev               	       0       11        0        0       11
40379 librust-log-dev                    	       0       11        0        0       11
40380 librust-memchr-dev                 	       0       11        0        0       11
40381 librust-memoffset-dev              	       0       11        0        0       11
40382 librust-minimal-lexical-dev        	       0        5        0        0        5
40383 librust-miniz-oxide-dev            	       0        9        0        0        9
40384 librust-mio-dev                    	       0        5        0        0        5
40385 librust-nix-dev                    	       0        2        0        0        2
40386 librust-no-panic-dev               	       0        2        0        0        2
40387 librust-nom+std-dev                	       0        5        0        0        5
40388 librust-nom-dev                    	       0        5        0        0        5
40389 librust-num-cpus-dev               	       0        9        0        0        9
40390 librust-object-dev                 	       0        8        0        0        8
40391 librust-once-cell-dev              	       0       12        0        0       12
40392 librust-openssl-dev                	       0        1        0        0        1
40393 librust-openssl-macros-dev         	       0        1        0        0        1
40394 librust-openssl-sys-dev            	       0        3        0        0        3
40395 librust-os-pipe-dev                	       0        4        4        0        0
40396 librust-os-str-bytes-dev           	       0        4        0        0        4
40397 librust-osmesa-sys-dev             	       0        1        0        0        1
40398 librust-owning-ref-dev             	       0       11        0        0       11
40399 librust-pango-dev                  	       0        4        0        0        4
40400 librust-pango-sys-dev              	       0        5        5        0        0
40401 librust-pangocairo-dev             	       0        2        0        0        2
40402 librust-pangocairo-sys-dev         	       0        2        0        0        2
40403 librust-parking-lot-core+deadlock-detection-dev	       0        5        0        0        5
40404 librust-parking-lot-core+petgraph-dev	       0        5        0        0        5
40405 librust-parking-lot-core+thread-id-dev	       0        5        0        0        5
40406 librust-parking-lot-core-dev       	       0       12        0        0       12
40407 librust-parking-lot-dev            	       0        5        0        0        5
40408 librust-pathfinder-geometry-dev    	       0        1        0        0        1
40409 librust-pathfinder-simd-dev        	       0        1        0        0        1
40410 librust-peeking-take-while-dev     	       0        5        0        0        5
40411 librust-petgraph-dev               	       0        5        0        0        5
40412 librust-pin-project-lite-dev       	       0        9        0        0        9
40413 librust-pin-utils-dev              	       0        5        0        0        5
40414 librust-pkg-config-dev             	       0       16        0        0       16
40415 librust-podio-dev                  	       0        1        0        0        1
40416 librust-portable-atomic-dev        	       0        2        0        0        2
40417 librust-ppv-lite86-dev             	       0       10        0        0       10
40418 librust-prettyplease-dev           	       0        1        0        0        1
40419 librust-print-bytes-dev            	       0        4        0        0        4
40420 librust-proc-macro-crate-dev       	       0        3        0        0        3
40421 librust-proc-macro-error-attr-dev  	       0        7        0        0        7
40422 librust-proc-macro-error-dev       	       0        7        0        0        7
40423 librust-proc-macro-hack-dev        	       0        9        0        0        9
40424 librust-proc-macro2-dev            	       0       12        0        0       12
40425 librust-python3-dll-a-dev          	       0        1        0        0        1
40426 librust-quick-xml-dev              	       0        1        0        0        1
40427 librust-quickcheck+default-dev     	       0        9        0        0        9
40428 librust-quickcheck+regex-dev       	       0        9        0        0        9
40429 librust-quickcheck+use-logging-dev 	       0        9        0        0        9
40430 librust-quickcheck-dev             	       0        9        0        0        9
40431 librust-quote+proc-macro-dev       	       0       10        0        0       10
40432 librust-quote-dev                  	       0       12        0        0       12
40433 librust-rand-chacha-dev            	       0       10        0        0       10
40434 librust-rand-core+getrandom-dev    	       0       10        0        0       10
40435 librust-rand-core+serde-dev        	       0       10        0        0       10
40436 librust-rand-core+std-dev          	       0       10        0        0       10
40437 librust-rand-core-dev              	       0       10        0        0       10
40438 librust-rand-dev                   	       0       10        0        0       10
40439 librust-rayon-core-dev             	       0       11        0        0       11
40440 librust-rayon-dev                  	       0       11        0        0       11
40441 librust-regex+aho-corasick-dev     	       0        9        0        0        9
40442 librust-regex+default-dev          	       0        9        0        0        9
40443 librust-regex+memchr-dev           	       0        9        0        0        9
40444 librust-regex+perf-dev             	       0        9        0        0        9
40445 librust-regex+perf-literal-dev     	       0        9        0        0        9
40446 librust-regex+unicode-age-dev      	       0        9        0        0        9
40447 librust-regex+unicode-bool-dev     	       0        9        0        0        9
40448 librust-regex+unicode-case-dev     	       0        9        0        0        9
40449 librust-regex+unicode-dev          	       0        9        0        0        9
40450 librust-regex+unicode-gencat-dev   	       0        9        0        0        9
40451 librust-regex+unicode-perl-dev     	       0        9        0        0        9
40452 librust-regex+unicode-script-dev   	       0        9        0        0        9
40453 librust-regex+unicode-segment-dev  	       0        9        0        0        9
40454 librust-regex-automata-dev         	       0        2        0        0        2
40455 librust-regex-dev                  	       0       11        0        0       11
40456 librust-regex-syntax+unicode-dev   	       0        9        0        0        9
40457 librust-regex-syntax-dev           	       0       11        0        0       11
40458 librust-rustc-demangle-dev         	       0        8        0        0        8
40459 librust-rustc-hash-dev             	       0        5        0        0        5
40460 librust-rustc-std-workspace-core-dev	       0       13        0        0       13
40461 librust-rustc-version-dev          	       0        2        0        0        2
40462 librust-rustix-dev                 	       0        5        0        0        5
40463 librust-ryu-dev                    	       0        2        0        0        2
40464 librust-same-file-dev              	       0        2        0        0        2
40465 librust-scoped-tls-dev             	       0        1        0        0        1
40466 librust-scopeguard-dev             	       0       11        0        0       11
40467 librust-semver-0.9-dev             	       0        1        0        0        1
40468 librust-semver-dev                 	       0        2        0        0        2
40469 librust-semver-parser-0.7-dev      	       0        1        0        0        1
40470 librust-serde+serde-derive-dev     	       0        9        0        0        9
40471 librust-serde-derive-dev           	       0       11        0        0       11
40472 librust-serde-dev                  	       0       12        0        0       12
40473 librust-serde-fmt-dev              	       0       11        0        0       11
40474 librust-serde-json-dev             	       0        2        0        0        2
40475 librust-serde-test-dev             	       0        2        0        0        2
40476 librust-shared-library-dev         	       0        1        0        0        1
40477 librust-shlex-dev                  	       0        5        0        0        5
40478 librust-signal-hook-registry-dev   	       0        5        0        0        5
40479 librust-slab-dev                   	       0        3        0        0        3
40480 librust-smallvec-dev               	       0       12        0        0       12
40481 librust-smawk-dev                  	       0        4        0        0        4
40482 librust-socket2-dev                	       0        5        0        0        5
40483 librust-spin-dev                   	       0       11        0        0       11
40484 librust-stable-deref-trait-dev     	       0       11        0        0       11
40485 librust-static-assertions-dev      	       0        1        0        0        1
40486 librust-strsim-dev                 	       0        4        0        0        4
40487 librust-sval+serde1-lib-dev        	       0        9        0        0        9
40488 librust-sval-buffer-dev            	       0        2        0        0        2
40489 librust-sval-derive-dev            	       0        2        0        0        2
40490 librust-sval-dev                   	       0       11        0        0       11
40491 librust-sval-dynamic-dev           	       0        2        0        0        2
40492 librust-sval-fmt-dev               	       0        2        0        0        2
40493 librust-sval-ref-dev               	       0        2        0        0        2
40494 librust-sval-serde-dev             	       0        2        0        0        2
40495 librust-syn-1-dev                  	       0        1        0        0        1
40496 librust-syn-dev                    	       0       12        0        0       12
40497 librust-syn-mid-dev                	       0        7        0        0        7
40498 librust-system-deps-dev            	       0        4        4        0        0
40499 librust-target-lexicon-dev         	       0        4        0        0        4
40500 librust-termcolor-dev              	       0        9        0        0        9
40501 librust-terminal-size-dev          	       0        4        0        0        4
40502 librust-textwrap-dev               	       0        4        0        0        4
40503 librust-thiserror-dev              	       0        4        0        0        4
40504 librust-thiserror-impl-dev         	       0        4        0        0        4
40505 librust-thread-id-dev              	       0        5        0        0        5
40506 librust-tiny-keccak-dev            	       0       11        0        0       11
40507 librust-tokio-dev                  	       0        5        0        0        5
40508 librust-tokio-macros-dev           	       0        5        0        0        5
40509 librust-toml-dev                   	       0        4        0        0        4
40510 librust-tracing-attributes-dev     	       0        6        0        0        6
40511 librust-tracing-core-dev           	       0        6        0        0        6
40512 librust-tracing-dev                	       0        6        0        0        6
40513 librust-unicase-dev                	       0        4        0        0        4
40514 librust-unicode-ident-dev          	       0       12        0        0       12
40515 librust-unicode-linebreak-dev      	       0        4        0        0        4
40516 librust-unicode-segmentation-dev   	       0        7        0        0        7
40517 librust-unicode-width-dev          	       0        5        0        0        5
40518 librust-uniquote-dev               	       0        4        0        0        4
40519 librust-utf8parse-dev              	       0        1        0        0        1
40520 librust-valuable-derive-dev        	       0        6        0        0        6
40521 librust-valuable-dev               	       0        6        0        0        6
40522 librust-value-bag-dev              	       0       11        0        0       11
40523 librust-value-bag-serde1-dev       	       0        2        0        0        2
40524 librust-value-bag-sval2-dev        	       0        2        0        0        2
40525 librust-vcpkg-dev                  	       0        3        0        0        3
40526 librust-version-check-dev          	       0       11        0        0       11
40527 librust-version-compare-dev        	       0        4        0        0        4
40528 librust-walkdir-dev                	       0        2        0        0        2
40529 librust-wayland-client+scoped-tls-dev	       0        1        0        0        1
40530 librust-wayland-client+use-system-lib-dev	       0        1        0        0        1
40531 librust-wayland-client-dev         	       0        1        0        0        1
40532 librust-wayland-commons-dev        	       0        1        0        0        1
40533 librust-wayland-egl-dev            	       0        1        0        0        1
40534 librust-wayland-scanner-dev        	       0        1        0        0        1
40535 librust-wayland-sys-dev            	       0        1        0        0        1
40536 librust-which-dev                  	       0        5        0        0        5
40537 librust-winapi-dev                 	       0       14        0        0       14
40538 librust-winapi-i686-pc-windows-gnu-dev	       0       14        0        0       14
40539 librust-winapi-util-dev            	       0       10        0        0       10
40540 librust-winapi-x86-64-pc-windows-gnu-dev	       0       14        0        0       14
40541 librust-x11-dev                    	       0        3        0        0        3
40542 librust-xcb+xfixes-dev             	       0        1        0        0        1
40543 librust-xcb-dev                    	       0        1        0        0        1
40544 librust-xml-rs-dev                 	       0        2        0        0        2
40545 librust-xmlparser-dev              	       0        1        0        0        1
40546 librust-xmltree+indexmap-dev       	       0        1        0        0        1
40547 librust-xmltree-dev                	       0        1        0        0        1
40548 librust-xmlwriter-dev              	       0        1        0        0        1
40549 librust-xor-name-dev               	       0        1        0        0        1
40550 librust-xshell-macros-dev          	       0        1        0        0        1
40551 librust-xterm-query-dev            	       0        1        0        0        1
40552 librust-yaml-dev                   	       0        1        0        0        1
40553 librust-yaml-rust-dev              	       0        4        0        0        4
40554 librust-yansi-dev                  	       0        1        0        0        1
40555 librust-yansi-term-dev             	       0        1        0        0        1
40556 librust-yeslogic-fontconfig-sys-dev	       0        1        0        0        1
40557 librust-zerocopy-derive-dev        	       0        2        0        0        2
40558 librust-zerocopy-dev               	       0        2        0        0        2
40559 librust-zeroize-derive-dev         	       0        1        0        0        1
40560 librust-zeroize-dev                	       0        1        0        0        1
40561 librust-zstd-dev                   	       0        1        0        0        1
40562 librust-zstd-safe-dev              	       0        1        0        0        1
40563 librust-zstd-sys-dev               	       0        1        1        0        0
40564 librviz5d                          	       0        1        0        0        1
40565 librviz6d                          	       0        1        0        0        1
40566 librviz7d                          	       0        1        0        0        1
40567 librw-dev                          	       0        9        9        0        0
40568 librw0                             	       0       10        0        0       10
40569 librxp0                            	       0        1        0        0        1
40570 librxtx-java                       	       0       97       95        2        0
40571 librygel-core-1.0-0                	       0        1        0        0        1
40572 librygel-core-2.0-1                	       0        1        0        0        1
40573 librygel-core-2.4-2                	       0        4        0        0        4
40574 librygel-core-2.6-2                	       0      102        0        0      102
40575 librygel-db-2.6-2                  	       0      102        0        0      102
40576 librygel-renderer-1.0-0            	       0        1        0        0        1
40577 librygel-renderer-2.4-2            	       0        3        0        0        3
40578 librygel-renderer-2.6-2            	       0       95        0        0       95
40579 librygel-renderer-gst-2.4-2        	       0        2        0        0        2
40580 librygel-renderer-gst-2.6-2        	       0       18        0        0       18
40581 librygel-ruih-2.0-1                	       0        1        0        0        1
40582 librygel-ruih-2.8-0                	       0        2        0        0        2
40583 librygel-server-1.0-0              	       0        1        0        0        1
40584 librygel-server-2.4-2              	       0        3        0        0        3
40585 librygel-server-2.6-2              	       0       95        0        0       95
40586 libryzom-clientsheets0             	       0        1        0        0        1
40587 libryzom-gameshare0                	       0        1        0        0        1
40588 libs3-4                            	       0        1        0        0        1
40589 libs3d2                            	       0        4        0        0        4
40590 libs3dw2                           	       0        3        0        0        3
40591 libs6-2.10                         	       0        3        0        0        3
40592 libs6-2.11                         	       0        7        0        0        7
40593 libs6-2.13                         	       0        1        0        0        1
40594 libsaaj-java                       	       0        4        0        0        4
40595 libsaaj-ri-java                    	       0        3        0        0        3
40596 libsaamf3                          	       0        2        0        0        2
40597 libsac-java                        	       0      750        0        0      750
40598 libsackpt3                         	       0        2        0        0        2
40599 libsaclm3                          	       0        2        0        0        2
40600 libsaevt3                          	       0        2        0        0        2
40601 libsafe-iop-dev                    	       0        1        1        0        0
40602 libsafe-iop0                       	       0        1        0        0        1
40603 libsafe-isa-perl                   	       0        9        8        1        0
40604 libsaga                            	       0        1        0        0        1
40605 libsaga-api-2.3.1                  	       0        1        0        0        1
40606 libsaga-api8                       	       0        7        0        0        7
40607 libsaga-dev                        	       0        1        1        0        0
40608 libsaga-gdi-2.3.1                  	       0        1        0        0        1
40609 libsaga-gdi8                       	       0        7        0        0        7
40610 libsage-dev                        	       0        1        1        0        0
40611 libsage2                           	       0        2        0        0        2
40612 libsail-common0t64                 	       0        2        0        0        2
40613 libsalck3                          	       0        2        0        0        2
40614 libsam-dev                         	       0        2        2        0        0
40615 libsam-java                        	       0        1        0        0        1
40616 libsam4                            	       0        2        0        0        2
40617 libsambox-java                     	       0       61        0        0       61
40618 libsaml-doc                        	       0        1        0        0        1
40619 libsaml12                          	       0        1        0        0        1
40620 libsampleicc-dev                   	       0        1        1        0        0
40621 libsampleicc2                      	       0        1        0        0        1
40622 libsamsg4                          	       0        2        0        0        2
40623 libsane-common                     	       0     3027        3        0     3024
40624 libsane-dev                        	       0       25       25        0        0
40625 libsane-dsseries                   	       0        1        0        0        1
40626 libsane-extras                     	       0      133        0        0      133
40627 libsane-extras-common              	       0      137        0        0      137
40628 libsane-extras-dev                 	       0        5        0        0        5
40629 libsane-perl                       	       0        2        0        0        2
40630 libsapdbc-java                     	       0        1        0        0        1
40631 libsasl2-modules-ldap              	       0        4        1        0        3
40632 libsasl2-modules-otp               	       0        2        0        0        2
40633 libsass0                           	       0        1        0        0        1
40634 libsatmr3                          	       0        2        0        0        2
40635 libsavitar0                        	       0       22        0        0       22
40636 libsavitar5t64                     	       0        2        0        0        2
40637 libsaxon-java-doc                  	       0        2        0        0        2
40638 libsaxonb-java                     	       0        2        2        0        0
40639 libsaxonhe-java                    	       0      379        0        0      379
40640 libsbjson-dev                      	       0        1        1        0        0
40641 libsbjson2.3                       	       0        1        0        0        1
40642 libsbml5                           	       0        1        0        0        1
40643 libsbml5-dev                       	       0        1        1        0        0
40644 libsbsms-dev                       	       0        2        2        0        0
40645 libsbsms10                         	       0      413        1        0      412
40646 libsbt-launcher-interface-java     	       0        1        0        0        1
40647 libsbt-serialization-java          	       0        1        0        0        1
40648 libsbuf6                           	       0        3        0        0        3
40649 libsbuild-perl                     	       0       22       22        0        0
40650 libsc-data                         	       0        3        0        0        3
40651 libsc7v5                           	       0        3        0        0        3
40652 libscala-pickling-java             	       0        1        0        0        1
40653 libscalapack-mpi-dev               	       0        4        0        0        4
40654 libscalapack-mpich2.2              	       0        1        0        0        1
40655 libscalapack-openmpi-dev           	       0        5        5        0        0
40656 libscalapack-openmpi1              	       0        1        0        0        1
40657 libscalapack-openmpi2.1            	       0        3        0        0        3
40658 libscalapack-openmpi2.2            	       0       57        0        0       57
40659 libscalar-does-perl                	       0        3        3        0        0
40660 libscalar-string-perl              	       0        3        0        0        3
40661 libscalar-util-numeric-perl        	       0        1        0        0        1
40662 libscamperfile0                    	       0        2        0        0        2
40663 libscamperfile0t64                 	       0        1        0        0        1
40664 libscca-utils                      	       0        1        1        0        0
40665 libscca1                           	       0       16        0        0       16
40666 libschedule-at-perl                	       0        2        2        0        0
40667 libschroedinger-1.0-0              	       0       98        2        0       96
40668 libschroedinger-dev                	       0        6        6        0        0
40669 libschroedinger-doc                	       0        1        0        0        1
40670 libscim-dev                        	       0        2        2        0        0
40671 libscim8c2a                        	       0        1        0        0        1
40672 libsciplot1                        	       0        1        0        0        1
40673 libscitokens0                      	       0        2        1        0        1
40674 libscope-guard-perl                	       0       61       59        2        0
40675 libscope-upper-perl                	       0        5        0        0        5
40676 libscotch-5.1                      	       0        1        1        0        0
40677 libscotch-6.0                      	       0        2        0        0        2
40678 libscotch-6.1                      	       0        4        0        0        4
40679 libscotch-7.0                      	       0       59        0        0       59
40680 libscotch-dev                      	       0        5        5        0        0
40681 libscotchmetis-dev                 	       0        1        1        0        0
40682 libscotchparmetis-dev              	       0        1        1        0        0
40683 libscrollkeeper0                   	       0        1        0        0        1
40684 libscrypt-dev                      	       0        4        4        0        0
40685 libscrypt0                         	       0        4        0        0        4
40686 libscscp1                          	       0        1        0        0        1
40687 libscscp1-dev                      	       0        1        1        0        0
40688 libscsynth1                        	       0        8        0        0        8
40689 libsctp-dev                        	       0       61       61        0        0
40690 libscythestat-dev                  	       0        1        1        0        0
40691 libsdbus-c++0                      	       0       10        0        0       10
40692 libsdbus-c++1                      	       0        3        0        0        3
40693 libsdbus-c++2                      	       0        1        0        0        1
40694 libsdl-console                     	       0        9        0        0        9
40695 libsdl-console-dev                 	       0        6        6        0        0
40696 libsdl-gfx1.2-4                    	       0        3        0        0        3
40697 libsdl-gfx1.2-5                    	       0      128        0        0      128
40698 libsdl-gfx1.2-dev                  	       0       14       14        0        0
40699 libsdl-gfx1.2-doc                  	       0        5        0        0        5
40700 libsdl-gst                         	       0        2        2        0        0
40701 libsdl-image-gst                   	       0        2        0        0        2
40702 libsdl-image1.2                    	       0     1623        5        1     1617
40703 libsdl-image1.2-dev                	       0       48       48        0        0
40704 libsdl-kitchensink-dev             	       0        2        2        0        0
40705 libsdl-kitchensink1                	       0        6        0        0        6
40706 libsdl-mixer-gst                   	       0        2        0        0        2
40707 libsdl-mixer1.2                    	       0      399        1        1      397
40708 libsdl-net1.2                      	       0      254        0        0      254
40709 libsdl-net1.2-dev                  	       0       12       12        0        0
40710 libsdl-ocaml                       	       0        3        3        0        0
40711 libsdl-ocaml-dev                   	       0        3        3        0        0
40712 libsdl-pango-dev                   	       0        6        6        0        0
40713 libsdl-pango1                      	       0      130        0        0      130
40714 libsdl-perl                        	       0       90        0        0       90
40715 libsdl-sge                         	       0       16        0        0       16
40716 libsdl-sge-dev                     	       0        4        4        0        0
40717 libsdl-sound-gst                   	       0        1        0        0        1
40718 libsdl-sound1.2                    	       0      210        0        0      210
40719 libsdl-sound1.2-dev                	       0        9        9        0        0
40720 libsdl-stretch-0-3                 	       0        1        0        0        1
40721 libsdl-stretch-dev                 	       0        1        1        0        0
40722 libsdl-ttf-gst                     	       0        1        0        0        1
40723 libsdl-ttf2.0-0                    	       0      391        1        1      389
40724 libsdl-ttf2.0-dev                  	       0       25       25        0        0
40725 libsdl1.2-compat                   	       0        7        0        0        7
40726 libsdl1.2-compat-dbgsym            	       0        1        1        0        0
40727 libsdl1.2-compat-dev               	       0        2        2        0        0
40728 libsdl1.2-compat-shim              	       0        4        0        0        4
40729 libsdl1.2-compat-tests             	       0        1        0        0        1
40730 libsdl2-2.0-0-dbgsym               	       0        1        1        0        0
40731 libsdl2-build-deps-depends         	       0        1        0        0        1
40732 libsdl2-dev-dbgsym                 	       0        1        1        0        0
40733 libsdl2-doc                        	       0       16        0        0       16
40734 libsdl2-gfx-1.0-0                  	       0       87        0        0       87
40735 libsdl2-gfx-dev                    	       0       28       28        0        0
40736 libsdl2-gfx-doc                    	       0       12        0        0       12
40737 libsdl2-image-2.0-0-dbgsym         	       0        1        1        0        0
40738 libsdl2-image-tests                	       0        4        0        0        4
40739 libsdl2-mixer-2.0-0-dbgsym         	       0        1        1        0        0
40740 libsdl2-net-2.0-0                  	       0      154        3        0      151
40741 libsdl2-tests                      	       0        5        0        0        5
40742 libsdl2-ttf-2.0-0                  	       0      434        3        0      431
40743 libsdo-api-java                    	       0        3        0        0        3
40744 libsdp0                            	       0        2        0        0        2
40745 libsdsl-dev                        	       0        1        0        0        1
40746 libsdsl3                           	       0        2        0        0        2
40747 libseafile0                        	       0        5        1        0        4
40748 libseafile0t64                     	       0        1        0        0        1
40749 libsearch-elasticsearch-perl       	       0        2        2        0        0
40750 libsearch-gin-perl                 	       0        1        1        0        0
40751 libsearch-queryparser-perl         	       0        1        1        0        0
40752 libsearpc1                         	       0        7        0        0        7
40753 libsearpc1t64                      	       0        2        0        0        2
40754 libsecondstring-java               	       0        2        0        0        2
40755 libsecp256k1-0                     	       0       21        3        0       18
40756 libsecp256k1-1                     	       0       25        0        0       25
40757 libsecp256k1-2                     	       0        1        0        0        1
40758 libsecp256k1-dev                   	       0        5        5        0        0
40759 libsecret-1-dev                    	       0       42       41        1        0
40760 libsecret-common                   	       0     3493        1        0     3492
40761 libsedlex-ocaml                    	       0        1        1        0        0
40762 libsedlex-ocaml-dev                	       0        1        1        0        0
40763 libseed-gtk3-0                     	       0        2        2        0        0
40764 libsejda-commons-java              	       0       50        0        0       50
40765 libsejda-eventstudio-java          	       0       61        0        0       61
40766 libsejda-injector-java             	       0       61        0        0       61
40767 libsejda-io-java                   	       0       62        0        0       62
40768 libsejda-java                      	       0       62        0        0       62
40769 libselenium-remote-driver-perl     	       0        1        1        0        0
40770 libsemanage-common                 	       0     4168        0        0     4168
40771 libsemanage-dev                    	       0        1        1        0        0
40772 libsemanage1                       	       0     1155        0        0     1155
40773 libsemanage1-dev                   	       0        1        1        0        0
40774 libsemver-clojure                  	       0        1        0        0        1
40775 libsemver-java                     	       0       85        0        0       85
40776 libsendlater4                      	       0        4        1        0        3
40777 libsensor-msgs-dev                 	       0        2        2        0        0
40778 libsensors-applet-plugin0          	       0       26        0        0       26
40779 libsensors-config                  	       0     3746        0        0     3746
40780 libsensors3                        	       0        4        0        0        4
40781 libsensors4                        	       0      152        1        0      151
40782 libsensors4-dev                    	       0       26       17        0        9
40783 libsentencepiece0                  	       0        1        0        0        1
40784 libsepol1                          	       0     1952        6        1     1945
40785 libsepol1-dev                      	       0      169      168        1        0
40786 libsequel-ruby1.9.1                	       0        1        0        0        1
40787 libsequence-library-java           	       0       12        0        0       12
40788 libserd-dev                        	       0       23       23        0        0
40789 libsereal-perl                     	       0       61       60        1        0
40790 libserf-0-0                        	       0        6        0        0        6
40791 libserf-dev                        	       0        1        1        0        0
40792 libserf1                           	       0        1        0        0        1
40793 libserializer-java                 	       0      745        0        0      745
40794 libserializer-java-openoffice.org  	       0        1        0        0        1
40795 libserialport-dev                  	       0        4        4        0        0
40796 libserialport0                     	       0      110        1        0      109
40797 libserver-starter-perl             	       0        7        7        0        0
40798 libservice-wrapper-java            	       0        8        0        0        8
40799 libservice-wrapper-jni             	       0        8        7        1        0
40800 libservlet-api-java                	       0      816        0        0      816
40801 libservlet-api-java-doc            	       0        7        0        0        7
40802 libservlet2.3-java                 	       0        2        0        0        2
40803 libservlet2.4-java                 	       0        1        0        0        1
40804 libservlet2.5-java                 	       0       29        0        0       29
40805 libservlet3.0-java                 	       0       10        0        0       10
40806 libservlet3.1-java                 	       0      817        0        0      817
40807 libsession-token-perl              	       0        1        0        0        1
40808 libset-infinite-perl               	       0       10       10        0        0
40809 libset-object-perl                 	       0       23        0        0       23
40810 libsetools-tcl                     	       0        2        0        0        2
40811 libsexp-processor-ruby1.9.1        	       0        1        0        0        1
40812 libsexp2                           	       0       12        2        0       10
40813 libsexplib0-ocaml                  	       0        7        6        0        1
40814 libsexplib0-ocaml-dev              	       0        6        6        0        0
40815 libsexy2                           	       0        4        0        0        4
40816 libsfark-dev                       	       0        1        1        0        0
40817 libsfark0                          	       0       10        0        0       10
40818 libsfcgal-dev                      	       0        3        3        0        0
40819 libsfcgal1                         	       0       28        1        0       27
40820 libsfcgal2                         	       0        1        0        0        1
40821 libsfcutil0                        	       0        1        0        0        1
40822 libsfml-audio2                     	       0        1        0        0        1
40823 libsfml-audio2.4                   	       0        2        0        0        2
40824 libsfml-audio2.5                   	       0       63        1        0       62
40825 libsfml-audio2.6                   	       0        8        0        0        8
40826 libsfml-dev                        	       0       23        0        0       23
40827 libsfml-doc                        	       0        2        2        0        0
40828 libsfml-graphics2                  	       0        1        0        0        1
40829 libsfml-graphics2.4                	       0        2        0        0        2
40830 libsfml-graphics2.5                	       0       66        2        0       64
40831 libsfml-graphics2.6                	       0        8        0        0        8
40832 libsfml-network2                   	       0        1        0        0        1
40833 libsfml-network2.4                 	       0        1        0        0        1
40834 libsfml-network2.5                 	       0       36        0        0       36
40835 libsfml-network2.6                 	       0        4        0        0        4
40836 libsfml-system2                    	       0        1        0        0        1
40837 libsfml-system2.4                  	       0        2        0        0        2
40838 libsfml-system2.5                  	       0       77        2        0       75
40839 libsfml-system2.6                  	       0        9        0        0        9
40840 libsfml-window2                    	       0        1        0        0        1
40841 libsfml-window2.4                  	       0        2        0        0        2
40842 libsfml-window2.5                  	       0       66        2        0       64
40843 libsfml-window2.6                  	       0        8        0        0        8
40844 libsframe1                         	       0      243        1        0      242
40845 libsgml-parser-opensp-perl         	       0        2        0        0        2
40846 libsgmls-perl                      	       0       97       96        1        0
40847 libsgutils1-0                      	       0        1        0        0        1
40848 libsgutils2-1.48                   	       0       14        0        0       14
40849 libsgutils2-2                      	       0      207        0        0      207
40850 libsgutils2-dev                    	       0        1        1        0        0
40851 libshaderc-dev                     	       0        5        5        0        0
40852 libshaderc1                        	       0       38        0        1       37
40853 libshairplay-dev                   	       0        2        2        0        0
40854 libshairport-dev                   	       0        2        2        0        0
40855 libshairport1                      	       0        1        0        0        1
40856 libshairport2                      	       0        4        0        0        4
40857 libshape-msgs-dev                  	       0        1        1        0        0
40858 libshark-dev                       	       0        1        1        0        0
40859 libshark0                          	       0        1        0        0        1
40860 libsharp0                          	       0        6        0        0        6
40861 libsharpyuv-dev                    	       0       74       71        3        0
40862 libshell-posix-select-perl         	       0        3        3        0        0
40863 libshell-utils-clojure             	       0        1        0        0        1
40864 libshhmsg1                         	       0        4        0        0        4
40865 libshhopt1                         	       0        4        0        0        4
40866 libshiboken-dev                    	       0        1        1        0        0
40867 libshiboken-py3-1.2v5              	       0        2        0        0        2
40868 libshiboken1.2v5                   	       0       10        0        0       10
40869 libshiboken2-5.11                  	       0       11        0        0       11
40870 libshiboken2-dev                   	       0        5        5        0        0
40871 libshiboken2-py3-5.15t64           	       0       14       13        1        0
40872 libshiboken6-py3-6.7               	       0        1        1        0        0
40873 libshine-dev                       	       0       19       19        0        0
40874 libshine-ocaml                     	       0        1        1        0        0
40875 libshine-ocaml-dev                 	       0        1        1        0        0
40876 libshishi-dev                      	       0        3        3        0        0
40877 libshishi0                         	       0        4        0        0        4
40878 libshogun-dev                      	       0        1        1        0        0
40879 libshogun16                        	       0        1        0        0        1
40880 libshout-idjc-dev                  	       0        2        2        0        0
40881 libshout-idjc3                     	       0        8        0        0        8
40882 libshout-tools                     	       0        2        2        0        0
40883 libshout3-dev                      	       0       17        2        0       15
40884 libshp-dev                         	       0       11       11        0        0
40885 libshp1                            	       0        2        0        0        2
40886 libshp2                            	       0      185        0        0      185
40887 libshp4                            	       0       26        0        0       26
40888 libshumate-1.0-1                   	       0      105        0        0      105
40889 libshumate-common                  	       0      105        0        0      105
40890 libshumate-dev                     	       0        1        1        0        0
40891 libsidplay1                        	       0       13        0        0       13
40892 libsidplay1-dev                    	       0        1        1        0        0
40893 libsidplay1v5                      	       0     2878        0        0     2878
40894 libsidplay2-dev                    	       0       12       12        0        0
40895 libsidplayfp                       	       0        1        0        0        1
40896 libsidplayfp-dev                   	       0        2        2        0        0
40897 libsidplayfp-doc                   	       0        1        0        0        1
40898 libsidplayfp4                      	       0       31        0        0       31
40899 libsidutils-dev                    	       0        3        3        0        0
40900 libsieve2-1                        	       0        1        0        0        1
40901 libsigc++-1.2-5c2                  	       0        1        0        0        1
40902 libsigc++-2.0-0c2a                 	       0       60        1        0       59
40903 libsigc++-2.0-doc                  	       0        5        0        0        5
40904 libsigc++0c2                       	       0        1        0        0        1
40905 libsight                           	       0        1        1        0        0
40906 libsignal-protocol-c-dev           	       0        5        5        0        0
40907 libsignal-protocol-c2              	       0        5        0        0        5
40908 libsignatures-java                 	       0        2        0        0        2
40909 libsignon-extension1               	       0       29        0        0       29
40910 libsignon-glib-dev                 	       0        2        2        0        0
40911 libsignon-glib1                    	       0        2        0        0        2
40912 libsignon-glib2                    	       0       13        6        0        7
40913 libsignon-plugins-common1          	       0      764        0        0      764
40914 libsignon-plugins-doc              	       0        1        0        0        1
40915 libsignon-qt-doc                   	       0        1        0        0        1
40916 libsignon-qt5-dev                  	       0        1        1        0        0
40917 libsignon-qt6-dev                  	       0        1        1        0        0
40918 libsigrok4                         	       0       22       20        2        0
40919 libsigrok4t64                      	       0        3        3        0        0
40920 libsigrokcxx4                      	       0       22        0        0       22
40921 libsigrokcxx4t64                   	       0        3        0        0        3
40922 libsigrokdecode4                   	       0       25        0        0       25
40923 libsigscan1                        	       0       15        0        0       15
40924 libsigsegv-dev                     	       0        2        2        0        0
40925 libsigsegv0                        	       0        4        0        0        4
40926 libsigsegv2                        	       0     1648        6        0     1642
40927 libsilc-1.1-2                      	       0        2        0        0        2
40928 libsilc-1.1-2-dbg                  	       0        1        1        0        0
40929 libsilc-dev                        	       0        1        1        0        0
40930 libsilcclient-1.1-3                	       0        2        0        0        2
40931 libsilcclient-1.1-3-dbg            	       0        1        1        0        0
40932 libsilk1                           	       0        1        0        0        1
40933 libsilly                           	       0        4        0        0        4
40934 libsilly-dev                       	       0        2        2        0        0
40935 libsilo-bin                        	       0        1        1        0        0
40936 libsilo-dev                        	       0        2        2        0        0
40937 libsiloh5-0                        	       0        2        0        0        2
40938 libsimage-dev                      	       0        2        2        0        0
40939 libsimage20                        	       0        2        0        0        2
40940 libsimavr-dev                      	       0        3        3        0        0
40941 libsimavr-examples                 	       0        2        0        0        2
40942 libsimavr2                         	       0        9        0        0        9
40943 libsimavrparts1                    	       0        4        0        0        4
40944 libsimbody-dev                     	       0        1        1        0        0
40945 libsimbody3.7                      	       0        1        0        0        1
40946 libsimde-dev                       	       0       12       12        0        0
40947 libsimdjson14                      	       0        3        0        0        3
40948 libsimdjson19                      	       0        1        0        0        1
40949 libsimgearcore3.0.0                	       0        2        0        0        2
40950 libsimple-http-java                	       0       51        0        0       51
40951 libsimple-validation-java          	       0        4        0        0        4
40952 libsimpleini1t64                   	       0        2        0        0        2
40953 libsinatra-ruby1.9.1               	       0        1        0        0        1
40954 libsingular4-dev                   	       0        9        9        0        0
40955 libsingular4-dev-common            	       0        9        9        0        0
40956 libsingular4m1                     	       0        1        0        0        1
40957 libsingular4m2n1                   	       0        1        0        0        1
40958 libsingular4m3n0                   	       0       12        0        0       12
40959 libsis-base-java                   	       0        3        0        0        3
40960 libsis-base-jni                    	       0        3        2        1        0
40961 libsis-jhdf5-java                  	       0        3        0        0        3
40962 libsis-jhdf5-jni                   	       0        3        2        1        0
40963 libsiscone-dev                     	       0        1        1        0        0
40964 libsiscone-spherical-dev           	       0        1        1        0        0
40965 libsiscone-spherical0v5            	       0        1        0        0        1
40966 libsiscone0v5                      	       0        1        0        0        1
40967 libsisimai-perl                    	       0        1        1        0        0
40968 libsisu-guice-java                 	       0      136        0        0      136
40969 libsisu-inject-java                	       0      471        0        0      471
40970 libsisu-ioc-java                   	       0      135        0        0      135
40971 libsisu-maven-plugin-java          	       0        1        0        0        1
40972 libsisu-plexus-java                	       0      471        0        0      471
40973 libsitesummary-perl                	       0        5        5        0        0
40974 libsixel-dev                       	       0       15       14        1        0
40975 libsixel-examples                  	       0        1        0        0        1
40976 libskarnet2.10                     	       0        4        0        0        4
40977 libskarnet2.12                     	       0        1        0        0        1
40978 libskarnet2.13                     	       0        6        3        0        3
40979 libskarnet2.14t64                  	       0        2        0        0        2
40980 libskarnet2.7                      	       0        2        0        0        2
40981 libskinlf-java                     	       0       15        0        0       15
40982 libskk-common                      	       0        2        0        0        2
40983 libskk0                            	       0        2        0        0        2
40984 libskstream-0.3-4                  	       0        1        0        0        1
40985 libskstream-0.3-7                  	       0        1        0        0        1
40986 libsl0-heimdal                     	       0       21        0        0       21
40987 libsl0t64-heimdal                  	       0        1        0        0        1
40988 libslang1                          	       0        1        0        0        1
40989 libslang2-modules                  	       0       37        0        0       37
40990 libslang2-pic                      	       0        2        1        0        1
40991 libsleef3                          	       0       13        0        0       13
40992 libslepc-real3.18                  	       0        2        0        0        2
40993 libslepc-real3.18-dev              	       0        1        0        0        1
40994 libslf4j-java                      	       0      596        0        0      596
40995 libslicot-dev                      	       0        1        0        0        1
40996 libslicot0                         	       0        8        0        0        8
40997 libslingshot-clojure               	       0        1        0        0        1
40998 libsliplu1                         	       0       29        0        0       29
40999 libslirp-dev                       	       0        8        8        0        0
41000 libslopy7.6                        	       0       27        0        0       27
41001 libslp-dev                         	       0        3        3        0        0
41002 libslurm-dev                       	       0        1        1        0        0
41003 libslurm36                         	       0        3        0        0        3
41004 libslurm38                         	       0       15        0        0       15
41005 libslurm39                         	       0        1        0        0        1
41006 libslv2-9                          	       0       21        0        0       21
41007 libslvs1                           	       0        2        0        0        2
41008 libslvs1-dev                       	       0        1        1        0        0
41009 libsm-doc                          	       0        5        0        0        5
41010 libsmali-java                      	       0       28       21        0        7
41011 libsmallfry-dev                    	       0        1        1        0        0
41012 libsmallfry0                       	       0        1        0        0        1
41013 libsmartcols1                      	       0     4168        1        1     4166
41014 libsmartcols1-dbgsym               	       0        1        1        0        0
41015 libsmbclient-dev                   	       0       25       25        0        0
41016 libsmbios-bin                      	       0        2        2        0        0
41017 libsmbios-doc                      	       0        4        0        0        4
41018 libsmbios2                         	       0        3        0        0        3
41019 libsmc-dev                         	       0        2        2        0        0
41020 libsmdev1                          	       0       15        0        0       15
41021 libsmf-dev                         	       0        1        1        0        0
41022 libsmf0                            	       0       45        0        0       45
41023 libsmf0t64                         	       0        2        0        0        2
41024 libsmi2-common                     	       0        1        0        0        1
41025 libsmi2-dev                        	       0        2        2        0        0
41026 libsmi2t64                         	       0       26        0        0       26
41027 libsmithwaterman0                  	       0        1        0        0        1
41028 libsml1                            	       0        1        0        0        1
41029 libsmlnj-smlnj                     	       0        2        0        0        2
41030 libsmltk0                          	       0        2        0        0        2
41031 libsmltk0t64                       	       0        1        0        0        1
41032 libsmokebase3                      	       0        3        0        0        3
41033 libsmokebase3v5                    	       0        8        0        0        8
41034 libsmokekdecore4-3                 	       0        3        0        0        3
41035 libsmokekdeui4-3                   	       0        3        0        0        3
41036 libsmokekfile3                     	       0        3        0        0        3
41037 libsmokekhtml3                     	       0        3        0        0        3
41038 libsmokekio3                       	       0        3        0        0        3
41039 libsmokeknewstuff2-3               	       0        3        0        0        3
41040 libsmokeknewstuff3-3               	       0        3        0        0        3
41041 libsmokekparts3                    	       0        3        0        0        3
41042 libsmokektexteditor3               	       0        3        0        0        3
41043 libsmokekutils3                    	       0        3        0        0        3
41044 libsmokeokular3                    	       0        1        0        0        1
41045 libsmokephonon3                    	       0        2        0        0        2
41046 libsmokeplasma3                    	       0        3        0        0        3
41047 libsmokeqtcore4-3                  	       0        8        0        0        8
41048 libsmokeqtdbus4-3                  	       0        6        0        0        6
41049 libsmokeqtgui4-3                   	       0        8        0        0        8
41050 libsmokeqtnetwork4-3               	       0        8        0        0        8
41051 libsmokeqtopengl4-3                	       0        6        0        0        6
41052 libsmokeqtscript4-3                	       0        3        0        0        3
41053 libsmokeqtsql4-3                   	       0        6        0        0        6
41054 libsmokeqtsvg4-3                   	       0        6        0        0        6
41055 libsmokeqttest4-3                  	       0        3        0        0        3
41056 libsmokeqtuitools4-3               	       0        3        0        0        3
41057 libsmokeqtwebkit4-3                	       0        3        0        0        3
41058 libsmokeqtxml4-3                   	       0        6        0        0        6
41059 libsmokesolid3                     	       0        3        0        0        3
41060 libsmoketqt1-trinity               	       0        3        0        0        3
41061 libsmpeg-dev                       	       0        5        5        0        0
41062 libsmpeg0                          	       0       51        0        0       51
41063 libsmpeg0t64                       	       0        4        0        0        4
41064 libsmraw1                          	       0       15        0        0       15
41065 libsms-send-perl                   	       0        2        2        0        0
41066 libsnacc-dev                       	       0        3        3        0        0
41067 libsnacc0c2                        	       0        4        0        0        4
41068 libsnack-alsa                      	       0        8        8        0        0
41069 libsnack-oss                       	       0       16       15        1        0
41070 libsnack2                          	       0        1        1        0        0
41071 libsnapd-glib-dev                  	       0        3        3        0        0
41072 libsnapd-glib1                     	       0        4        0        0        4
41073 libsnapd-qt-dev                    	       0        3        3        0        0
41074 libsnapd-qt1                       	       0        2        0        0        2
41075 libsnapper4                        	       0        1        0        0        1
41076 libsnapper5                        	       0        1        0        0        1
41077 libsnapper6                        	       0       12        0        0       12
41078 libsnapper7t64                     	       0        4        0        0        4
41079 libsnappy-dev                      	       0       25       25        0        0
41080 libsnappy-java                     	       0      384        0        0      384
41081 libsnappy-jni                      	       0      386        0        0      386
41082 libsnappy1                         	       0        3        0        0        3
41083 libsndifsdl2-dev                   	       0        1        1        0        0
41084 libsndio6.0                        	       0        1        0        0        1
41085 libsndio6.1                        	       0      303        0        0      303
41086 libsndobj-dev                      	       0        1        1        0        0
41087 libsndobj2v5                       	       0        4        0        0        4
41088 libsnl0                            	       0        5        0        0        5
41089 libsnmp-base                       	       0     3198        0        0     3198
41090 libsnmp-dev                        	       0       39       39        0        0
41091 libsnmp-info-perl                  	       0        1        1        0        0
41092 libsnmp-mib-compiler-perl          	       0        2        2        0        0
41093 libsnmp35                          	       0        1        0        0        1
41094 libsnmp9                           	       0        1        0        0        1
41095 libsnmpkit2c2a                     	       0        3        0        0        3
41096 libsnowball-norwegian-perl         	       0        4        4        0        0
41097 libsnowball-swedish-perl           	       0        4        4        0        0
41098 libsnp-sites1                      	       0        1        0        0        1
41099 libsoap-wsdl-perl                  	       0       12       12        0        0
41100 libsoapysdr-dev                    	       0        4        4        0        0
41101 libsoapysdr0.6                     	       0        1        0        0        1
41102 libsoapysdr0.7                     	       0        6        0        0        6
41103 libsocialweb-client2               	       0        9        0        0        9
41104 libsocialweb-common                	       0        9        0        0        9
41105 libsocialweb-service               	       0        6        6        0        0
41106 libsocialweb0                      	       0        7        0        0        7
41107 libsocket++-dev                    	       0        1        1        0        0
41108 libsocket++1                       	       0      483        0        0      483
41109 libsocket-getaddrinfo-perl         	       0       19       18        1        0
41110 libsocket-wrapper                  	       0        1        1        0        0
41111 libsocketcan2                      	       0        6        0        0        6
41112 libsocks4                          	       0        4        4        0        0
41113 libsodium13                        	       0       12        0        0       12
41114 libsodium18                        	       0      105        0        0      105
41115 libsofa1                           	       0        1        0        0        1
41116 libsofia-sip-ua-glib3              	       0       11        1        0       10
41117 libsofia-sip-ua0                   	       0       14        2        0       12
41118 libsofthsm2                        	       0        5        5        0        0
41119 libsofthsm2-dev                    	       0        1        1        0        0
41120 libsoftware-copyright-perl         	       0        1        1        0        0
41121 libsoftware-licensemoreutils-perl  	       0       21       21        0        0
41122 libsoil-dev                        	       0        4        4        0        0
41123 libsoil1                           	       0       12        0        0       12
41124 libsolv-tools                      	       0        3        3        0        0
41125 libsolv1                           	       0        5        0        0        5
41126 libsolvext1                        	       0        5        0        0        5
41127 libsombok3                         	       0      537        2        0      535
41128 libsonic-dev                       	       0        1        1        0        0
41129 libsonivox3                        	       0       27        1        0       26
41130 libsoprano-dev                     	       0        1        1        0        0
41131 libsoprano4                        	       0       25        1        0       24
41132 libsopt-dev                        	       0        2        2        0        0
41133 libsopt3.0                         	       0        3        0        0        3
41134 libsoqt4-20                        	       0        6        0        0        6
41135 libsoqt520                         	       0      107        0        0      107
41136 libsoqt520t64                      	       0       13        0        0       13
41137 libsord-dev                        	       0       23       23        0        0
41138 libsort-key-perl                   	       0      165        0        0      165
41139 libsoundio-dev                     	       0        3        3        0        0
41140 libsoundio1                        	       0        9        0        0        9
41141 libsoundio2                        	       0       43        0        0       43
41142 libsoundtouch-dev                  	       0       13       13        0        0
41143 libsoundtouch0                     	       0       26        0        0       26
41144 libsoundtouch1c2                   	       0        1        0        0        1
41145 libsoup-3.0-dev                    	       0       26       25        1        0
41146 libsoup-3.0-doc                    	       0        2        0        0        2
41147 libsoup-3.0-tests                  	       0        1        0        0        1
41148 libsoup-gnome-2.4-1                	       0       28        0        0       28
41149 libsoup-gnome2.4-dev               	       0        3        3        0        0
41150 libsoup2.4-common                  	       0     2338        0        0     2338
41151 libsoup2.4-dev                     	       0       64       61        3        0
41152 libsoup2.4-doc                     	       0        3        0        0        3
41153 libsource-highlight-common         	       0      589        0        0      589
41154 libsource-highlight-dev            	       0        1        1        0        0
41155 libsource-highlight3               	       0        1        0        0        1
41156 libsource-highlight4               	       0        1        0        0        1
41157 libsource-highlight4t64            	       0       60        0        0       60
41158 libsox-dev                         	       0        7        7        0        0
41159 libsox-fmt-all                     	       0       65        0        0       65
41160 libsox-fmt-alsa                    	       0     1361        3        0     1358
41161 libsox-fmt-ao                      	       0       73        2        0       71
41162 libsox-fmt-base                    	       0     1365        3        0     1362
41163 libsox-fmt-mp3                     	       0      108        2        0      106
41164 libsox-fmt-opus                    	       0        7        0        0        7
41165 libsox-fmt-oss                     	       0       72        2        0       70
41166 libsox-fmt-pulse                   	       0       77        2        0       75
41167 libsox1b                           	       0        2        0        0        2
41168 libsox2                            	       0       73        0        0       73
41169 libsox3                            	       0     1318        5        1     1312
41170 libsoxr-dev                        	       0       27       27        0        0
41171 libsoxr-lsr0                       	       0       27        0        0       27
41172 libsp1c2                           	       0       15        0        0       15
41173 libspa-0.2-dev                     	       0       46       43        3        0
41174 libspa-0.2-jack                    	       0       18        0        0       18
41175 libspa-bluetooth                   	       0        3        0        0        3
41176 libspa-ffmpeg                      	       0        5        0        0        5
41177 libspa-lib-0.1-dev                 	       0        1        1        0        0
41178 libspandsp-dev                     	       0       16       16        0        0
41179 libspandsp-doc                     	       0        1        0        0        1
41180 libsparsehash-dev                  	       0        2        2        0        0
41181 libsparskit-dev                    	       0        1        1        0        0
41182 libsparskit2.0                     	       0        1        0        0        1
41183 libspatialaudio-dev                	       0        5        5        0        0
41184 libspatialaudio0                   	       0     1419        3        0     1416
41185 libspatialaudio0t64                	       0      127        0        0      127
41186 libspatialindex-c4v5               	       0        1        0        0        1
41187 libspatialindex-c5                 	       0        1        0        0        1
41188 libspatialindex-c6                 	       0       32        0        0       32
41189 libspatialindex-c7                 	       0        5        0        0        5
41190 libspatialindex-c8                 	       0        2        0        0        2
41191 libspatialindex-dev                	       0       38       37        1        0
41192 libspatialindex3                   	       0        1        0        0        1
41193 libspatialindex4v5                 	       0        3        0        0        3
41194 libspatialindex5                   	       0        6        0        0        6
41195 libspatialindex7                   	       0        9        0        0        9
41196 libspatialindex8                   	       0        3        0        0        3
41197 libspatialite-dev                  	       0       39       38        1        0
41198 libspatialite5                     	       0        1        0        0        1
41199 libspatialite8                     	       0        8        1        0        7
41200 libspatialite8t64                  	       0       60        0        0       60
41201 libspctag1                         	       0        1        0        0        1
41202 libspdlog-dev                      	       0       50       50        0        0
41203 libspdlog1                         	       0       19        4        0       15
41204 libspdylay-dev                     	       0        1        1        0        0
41205 libspdylay7                        	       0        1        0        0        1
41206 libspec-alpha-clojure              	       0       17        0        0       17
41207 libspecio-library-path-tiny-perl   	       0        1        1        0        0
41208 libspectre-dev                     	       0       26       26        0        0
41209 libspectrum8                       	       0       17        0        0       17
41210 libspeechd-module0                 	       0        1        0        0        1
41211 libspeex-ocaml                     	       0        1        0        0        1
41212 libspeex-ocaml-dev                 	       0        1        1        0        0
41213 libspeexdsp-dev                    	       0       57       57        0        0
41214 libspelling-1-2                    	       0        6        0        0        6
41215 libspelling-common                 	       0        5        0        0        5
41216 libspex2                           	       0        1        0        0        1
41217 libspex3                           	       0        1        0        0        1
41218 libspf2-2t64                       	       0        6        3        0        3
41219 libspf2-dev                        	       0        2        2        0        0
41220 libsphere-dev                      	       0        3        3        0        0
41221 libsphere0d                        	       0        3        0        0        3
41222 libsphinx-dev                      	       0        1        1        0        0
41223 libsphinx-search-perl              	       0        1        1        0        0
41224 libsphinx0                         	       0        1        0        0        1
41225 libsphinx2g0                       	       0        1        0        0        1
41226 libsphinxbase-dev                  	       0        6        6        0        0
41227 libsphinxbase-doc                  	       0        1        0        0        1
41228 libspice-client-glib-2.0-dev       	       0        6        6        0        0
41229 libspice-client-gtk-2.0-4          	       0        1        0        0        1
41230 libspice-client-gtk-3.0-4          	       0       12        0        0       12
41231 libspice-client-gtk-3.0-dev        	       0        2        2        0        0
41232 libspice-protocol-dev              	       0       15       15        0        0
41233 libspice-server-dev                	       0        9        9        0        0
41234 libspiffy-perl                     	       0       45       45        0        0
41235 libspin-java                       	       0       24        0        0       24
41236 libspiro-dev                       	       0        3        3        0        0
41237 libspiro0                          	       0       17        0        0       17
41238 libspiro1                          	       0      113        0        0      113
41239 libspiro1-dbgsym                   	       0        1        1        0        0
41240 libspirv-cross-c-shared-dev        	       0       14       13        1        0
41241 libspirv-cross-c-shared0           	       0       14        0        0       14
41242 libsplashy1                        	       0        2        0        0        2
41243 libspnav-dev                       	       0       13       13        0        0
41244 libspng-dev                        	       0        4        2        2        0
41245 libspng-doc                        	       0        4        0        0        4
41246 libspoa1.1.3                       	       0        1        0        0        1
41247 libspoa7.0.0                       	       0        1        0        0        1
41248 libspock-java                      	       0        1        0        0        1
41249 libspooles-dev                     	       0        1        1        0        0
41250 libspooles2.2                      	       0      103        0        0      103
41251 libspooles2.2t64                   	       0        5        0        0        5
41252 libspotify12                       	       0        1        0        0        1
41253 libspqr1.3.1                       	       0        1        0        0        1
41254 libspqr2                           	       0       83        0        0       83
41255 libspqr3                           	       0        1        0        0        1
41256 libspqr4                           	       0        8        0        0        8
41257 libspread-sheet-widget             	       0        9        0        0        9
41258 libspread-sheet-widget0            	       0        1        0        0        1
41259 libspreadsheet-ruby1.9.1           	       0        1        0        0        1
41260 libspring-aop-java                 	       0       10        0        0       10
41261 libspring-beans-java               	       0       15        0        0       15
41262 libspring-context-java             	       0       10        0        0       10
41263 libspring-core-java                	       0       16        0        0       16
41264 libspring-expression-java          	       0       10        0        0       10
41265 libspring-instrument-java          	       0        8        0        0        8
41266 libspring-jdbc-java                	       0        4        0        0        4
41267 libspring-oxm-java                 	       0        2        0        0        2
41268 libspring-transaction-java         	       0        4        0        0        4
41269 libspring-web-java                 	       0        2        0        0        2
41270 libsprng2                          	       0        3        0        0        3
41271 libsql-abstract-classic-perl       	       0        3        3        0        0
41272 libsql-abstract-limit-perl         	       0       23       23        0        0
41273 libsql-abstract-pg-perl            	       0        2        2        0        0
41274 libsql-statement-perl              	       0       14       14        0        0
41275 libsql-translator-perl             	       0        6        6        0        0
41276 libsqlcipher-dev                   	       0        5        5        0        0
41277 libsqlcipher0                      	       0       58        4        0       54
41278 libsqlcipher1                      	       0       11        0        0       11
41279 libsqlclient1.8                    	       0        1        0        1        0
41280 libsqlite-tcl                      	       0        2        2        0        0
41281 libsqlite0                         	       0       54        0        0       54
41282 libsqlite0-dev                     	       0        9        9        0        0
41283 libsqlite3-mod-impexp              	       0        2        0        0        2
41284 libsqlite3-mod-spatialite          	       0       49        0        0       49
41285 libsqlite3-ruby1.9.1               	       0        1        0        0        1
41286 libsqliteodbc                      	       0        9        0        0        9
41287 libsqljet-java                     	       0        7        0        0        7
41288 libsquashfs-dev                    	       0        2        2        0        0
41289 libsquashfs1                       	       0       26        0        0       26
41290 libsquashfuse-dev                  	       0        2        2        0        0
41291 libsquashfuse0                     	       0      658        0        0      658
41292 libsquid-dev                       	       0        1        1        0        0
41293 libsquid1                          	       0        1        0        0        1
41294 libsquirrel3-0                     	       0        2        0        0        2
41295 libsquish-dev                      	       0        4        4        0        0
41296 libsquish0                         	       0      123        0        0      123
41297 libsquizz                          	       0        1        0        0        1
41298 libsratom-dev                      	       0       23       23        0        0
41299 libsrecord0                        	       0       13        0        0       13
41300 libsrecord0t64                     	       0        3        0        0        3
41301 libsrt-gnutls-dev                  	       0        7        7        0        0
41302 libsrt-openssl-dev                 	       0        9        9        0        0
41303 libsrt1                            	       0        1        0        0        1
41304 libsrt1-gnutls                     	       0        1        0        0        1
41305 libsrtp0                           	       0       76        1        0       75
41306 libsrtp0-dev                       	       0       11       11        0        0
41307 libsrtp2-dev                       	       0       19       19        0        0
41308 libsrtp2-docs                      	       0        1        0        0        1
41309 libsru-perl                        	       0        2        2        0        0
41310 libss2                             	       0     4137        0        0     4137
41311 libss2t64                          	       0       23        0        0       23
41312 libssh-doc                         	       0        2        2        0        0
41313 libssh-gcrypt-dev                  	       0        8        8        0        0
41314 libssh2-php                        	       0        1        0        0        1
41315 libssl-doc                         	       0      183       10        0      173
41316 libssl-utils-clojure               	       0        1        0        0        1
41317 libssl0.9.7                        	       0        1        1        0        0
41318 libssl1.0-dev                      	       0        2        1        1        0
41319 libssl1.0.0-dbg                    	       0        1        1        0        0
41320 libssl1.1-dbgsym                   	       0        1        1        0        0
41321 libssm-dev                         	       0        1        1        0        0
41322 libssm2                            	       0        1        0        0        1
41323 libsss-nss-idmap0                  	       0       29        0        0       29
41324 libsss-sudo                        	       0       23        1        0       22
41325 libsstp-api-0                      	       0       22        0        0       22
41326 libssw-java                        	       0        1        1        0        0
41327 libssw0                            	       0        1        0        0        1
41328 libstaden-read14                   	       0        1        0        0        1
41329 libstarlink-ast-dev                	       0        2        2        0        0
41330 libstarlink-ast-err0               	       0        1        0        0        1
41331 libstarlink-ast-err9               	       0       13        0        0       13
41332 libstarlink-ast-grf3d9             	       0        2        0        0        2
41333 libstarlink-ast0                   	       0        1        0        0        1
41334 libstarlink-ast9                   	       0       13        0        0       13
41335 libstarlink-pal-dev                	       0        2        2        0        0
41336 libstarlink-pal-doc                	       0        2        0        0        2
41337 libstarlink-pal0                   	       0       14        0        0       14
41338 libstaroffice-doc                  	       0        1        0        0        1
41339 libstaroffice-tools                	       0        1        1        0        0
41340 libstarpu-1.2-0                    	       0        1        0        0        1
41341 libstartup-notification0-dev       	       0       45       44        1        0
41342 libstat-lsmode-perl                	       0        1        1        0        0
41343 libstatgrab10t64                   	       0       14        2        0       12
41344 libstatgrab9                       	       0        2        0        0        2
41345 libstatistics-basic-perl           	       0        3        3        0        0
41346 libstatistics-descriptive-perl     	       0        9        9        0        0
41347 libstax-ex-java                    	       0      339        0        0      339
41348 libstax-java                       	       0        7        0        0        7
41349 libstax-java-doc                   	       0        1        0        0        1
41350 libstax2-api-java                  	       0       18        0        0       18
41351 libstb-build-deps                  	       0        1        0        0        1
41352 libstb-dev                         	       0        9        9        0        0
41353 libstb0                            	       0      147        0        0      147
41354 libstb0-dbgsym                     	       0        1        1        0        0
41355 libstb0t64                         	       0       13        0        0       13
41356 libstd-msgs-dev                    	       0        4        4        0        0
41357 libstd-rust-1.34                   	       0        1        0        0        1
41358 libstd-rust-1.41                   	       0        5        0        0        5
41359 libstd-rust-1.48                   	       0       13        0        0       13
41360 libstd-rust-1.60                   	       0        1        0        0        1
41361 libstd-rust-1.63                   	       0       68        0        0       68
41362 libstd-rust-1.66                   	       0        1        0        0        1
41363 libstd-rust-1.70                   	       0        5        0        0        5
41364 libstd-rust-1.79                   	       0        4        0        0        4
41365 libstd-rust-1.81                   	       0        1        0        0        1
41366 libstd-rust-1.82                   	       0        5        0        0        5
41367 libstd-rust-1.83                   	       0        7        0        0        7
41368 libstd-rust-1.84                   	       0        2        0        0        2
41369 libstd-rust-dev                    	       0      104        0        0      104
41370 libstd-rust-dev-wasm32             	       0        3        3        0        0
41371 libstd-rust-dev-windows            	       0        1        0        0        1
41372 libstd-rust-mozilla-1.59           	       0        1        0        0        1
41373 libstd-rust-mozilla-1.63           	       0        2        0        0        2
41374 libstd-rust-mozilla-dev            	       0        3        0        0        3
41375 libstd-rust-web-1.78               	       0        1        0        0        1
41376 libstd-rust-web-dev                	       0        1        0        0        1
41377 libstd-srvs-dev                    	       0        1        1        0        0
41378 libstdc++-10-dev-arm64-cross       	       0        6        6        0        0
41379 libstdc++-10-dev-armel-cross       	       0        1        1        0        0
41380 libstdc++-10-dev-armhf-cross       	       0        1        1        0        0
41381 libstdc++-10-dev-i386-cross        	       0        2        2        0        0
41382 libstdc++-10-dev-ppc64-cross       	       0        1        1        0        0
41383 libstdc++-10-dev-riscv64-cross     	       0        1        1        0        0
41384 libstdc++-10-doc                   	       0        7        0        0        7
41385 libstdc++-11-dev                   	       0       47       46        1        0
41386 libstdc++-11-dev-armel-cross       	       0        1        1        0        0
41387 libstdc++-11-dev-armhf-cross       	       0        1        1        0        0
41388 libstdc++-12-dev-arm64-cross       	       0        8        7        1        0
41389 libstdc++-12-dev-armel-cross       	       0        2        2        0        0
41390 libstdc++-12-dev-armhf-cross       	       0        5        5        0        0
41391 libstdc++-12-dev-mips-cross        	       0        2        2        0        0
41392 libstdc++-12-dev-mipsel-cross      	       0        2        2        0        0
41393 libstdc++-12-dev-riscv64-cross     	       0        1        1        0        0
41394 libstdc++-12-dev-x32-cross         	       0        1        1        0        0
41395 libstdc++-12-doc                   	       0        4        0        0        4
41396 libstdc++-13-dev                   	       0       96       95        1        0
41397 libstdc++-13-dev-arm64-cross       	       0        2        2        0        0
41398 libstdc++-13-dev-armhf-cross       	       0        1        1        0        0
41399 libstdc++-13-doc                   	       0        1        0        0        1
41400 libstdc++-14-dev-arm64-cross       	       0        3        3        0        0
41401 libstdc++-14-dev-armhf-cross       	       0        3        3        0        0
41402 libstdc++-14-doc                   	       0        2        0        0        2
41403 libstdc++-15-dev                   	       0        1        1        0        0
41404 libstdc++-4.8-dev                  	       0        6        6        0        0
41405 libstdc++-5-dev                    	       0        2        2        0        0
41406 libstdc++-6-doc                    	       0        2        0        0        2
41407 libstdc++-7-dev                    	       0        5        4        0        1
41408 libstdc++-8-dev-arm64-cross        	       0        2        2        0        0
41409 libstdc++-8-dev-armel-cross        	       0        3        3        0        0
41410 libstdc++-8-dev-armhf-cross        	       0        2        2        0        0
41411 libstdc++-8-dev-i386-cross         	       0        2        2        0        0
41412 libstdc++-8-dev-mips-cross         	       0        1        1        0        0
41413 libstdc++-8-dev-mips64el-cross     	       0        1        1        0        0
41414 libstdc++-8-dev-mipsel-cross       	       0        1        1        0        0
41415 libstdc++-9-dev                    	       0       12       12        0        0
41416 libstdc++-9-doc                    	       0        1        0        0        1
41417 libstdc++-arm-none-eabi-dev        	       0       32       31        1        0
41418 libstdc++-arm-none-eabi-newlib     	       0       46       14        0       32
41419 libstdc++-arm-none-eabi-picolibc   	       0        1        0        0        1
41420 libstdc++2.10                      	       0        1        0        0        1
41421 libstdc++5                         	       0       58        0        0       58
41422 libstdc++5-3.3-dev                 	       0        1        1        0        0
41423 libstdc++6-10-dbg                  	       0        1        0        0        1
41424 libstdc++6-4.4-dev                 	       0        6        6        0        0
41425 libstdc++6-4.6-dev                 	       0        1        1        0        0
41426 libstdc++6-4.7-dev                 	       0        4        4        0        0
41427 libstdc++6-6-dbg                   	       0        1        1        0        0
41428 libstdc++6-arm64-cross             	       0       39        0        0       39
41429 libstdc++6-armel-cross             	       0       23        0        0       23
41430 libstdc++6-armhf-cross             	       0       32        0        0       32
41431 libstdc++6-i386-cross              	       0       15        0        0       15
41432 libstdc++6-mips-cross              	       0        3        0        0        3
41433 libstdc++6-mips64el-cross          	       0        1        0        0        1
41434 libstdc++6-mipsel-cross            	       0        3        0        0        3
41435 libstdc++6-powerpc-cross           	       0        5        0        0        5
41436 libstdc++6-ppc64-cross             	       0        6        0        0        6
41437 libstdc++6-ppc64el-cross           	       0        1        0        0        1
41438 libstdc++6-riscv64-cross           	       0        4        0        0        4
41439 libstdc++6-s390x-cross             	       0        4        0        0        4
41440 libstdc++6-sparc64-cross           	       0        3        0        0        3
41441 libstdc++6-x32-cross               	       0        6        0        0        6
41442 libstdcompat-ocaml                 	       0       11        9        0        2
41443 libstderesi0                       	       0        1        0        0        1
41444 libstdlib-ocaml                    	       0       14        1        0       13
41445 libstdlib-ocaml-dev                	       0       14       14        0        0
41446 libstellarsolver2                  	       0       19        0        0       19
41447 libstemmer-dev                     	       0        2        2        0        0
41448 libsteptalk0                       	       0        1        0        1        0
41449 libstereo-msgs-dev                 	       0        1        1        0        0
41450 libstfl-dev                        	       0        2        2        0        0
41451 libstfl-ruby                       	       0        4        0        0        4
41452 libstfl-ruby1.9.1                  	       0        3        0        0        3
41453 libstilview-dev                    	       0        1        1        0        0
41454 libstilview0                       	       0        1        0        0        1
41455 libstk-4.5.0                       	       0        6        0        0        6
41456 libstk-4.6.1                       	       0       14        0        0       14
41457 libstk-4.6.2                       	       0       59        0        0       59
41458 libstk-5.0.0                       	       0        5        0        0        5
41459 libstk-dev                         	       0        2        2        0        0
41460 libstk0-dev                        	       0        1        0        0        1
41461 libstk0c2a                         	       0        1        0        0        1
41462 libstlink-dev                      	       0        1        1        0        0
41463 libstlink1                         	       0       16        0        0       16
41464 libstlport4.6c2                    	       0        1        0        0        1
41465 libstlport4.6ldbl                  	       0        1        0        0        1
41466 libstoken-dev                      	       0        5        5        0        0
41467 libstoken1t64                      	       0       55        4        0       51
41468 libstomp-ruby1.9.1                 	       0        1        0        0        1
41469 libstonith1                        	       0       14        0        0       14
41470 libstonith1-dev                    	       0        1        1        0        0
41471 libstonithd2                       	       0        4        0        0        4
41472 libstorj0                          	       0        1        0        0        1
41473 libstorj0t64                       	       0        1        0        0        1
41474 libstorm9                          	       0        2        0        0        2
41475 libstream-buffered-perl            	       0       17       17        0        0
41476 libstreamanalyzer0v5               	       0        1        1        0        0
41477 libstreambuffer-java               	       0      339        0        0      339
41478 libstreams0                        	       0       15        1        0       14
41479 libstreams0v5                      	       0        2        0        0        2
41480 libstring-approx-perl              	       0        3        0        0        3
41481 libstring-camelcase-perl           	       0        4        4        0        0
41482 libstring-copyright-perl           	       0      192      190        2        0
41483 libstring-crc-cksum-perl           	       0        1        1        0        0
41484 libstring-elide-parts-perl         	       0        1        1        0        0
41485 libstring-errf-perl                	       0        1        1        0        0
41486 libstring-escape-perl              	       0      193      191        2        0
41487 libstring-expand-perl              	       0        2        2        0        0
41488 libstring-flogger-perl             	       0        1        1        0        0
41489 libstring-formatter-perl           	       0        7        7        0        0
41490 libstring-license-perl             	       0      144      142        2        0
41491 libstring-print-perl               	       0        1        1        0        0
41492 libstring-similarity-perl          	       0        7        0        0        7
41493 libstring-template-maven-plugin-java	       0        1        0        0        1
41494 libstring-toidentifier-en-perl     	       0        4        4        0        0
41495 libstring-tokenizer-perl           	       0        3        3        0        0
41496 libstring-truncate-perl            	       0        1        1        0        0
41497 libstringtemplate-java             	       0       65        0        0       65
41498 libstringtemplate4-java            	       0       48        0        0       48
41499 libstroke0-dev                     	       0        2        2        0        0
41500 libstrophe-dev                     	       0        4        4        0        0
41501 libstruct-dumb-perl                	       0       64       63        1        0
41502 libstutter-pcre                    	       0        1        1        0        0
41503 libstutter0                        	       0        1        1        0        0
41504 libstxxl-dev                       	       0        1        1        0        0
41505 libstxxl1v5                        	       0        1        0        0        1
41506 libstyx2                           	       0        1        0        0        1
41507 libsub-delete-perl                 	       0        1        1        0        0
41508 libsub-exporter-formethods-perl    	       0       14       14        0        0
41509 libsub-exporter-globexporter-perl  	       0        1        1        0        0
41510 libsub-infix-perl                  	       0       31       31        0        0
41511 libsub-info-perl                   	       0        2        2        0        0
41512 libsub-prototype-perl              	       0        2        0        0        2
41513 libsubid-dev                       	       0        1        1        0        0
41514 libsubid5                          	       0       16        0        0       16
41515 libsubtitleeditor0                 	       0       23        0        0       23
41516 libsubtitles-perl                  	       0        3        3        0        0
41517 libsubunit-perl                    	       0        5        5        0        0
41518 libsubunit0                        	       0       33        0        0       33
41519 libsugarext-data                   	       0      295        0        0      295
41520 libsugarext0                       	       0      303        0        0      303
41521 libsuil-0-0                        	       0      540        1        0      539
41522 libsuil-dev                        	       0        8        8        0        0
41523 libsuitesparse-3.1.0               	       0        2        0        0        2
41524 libsuitesparse-dev                 	       0       31       31        0        0
41525 libsuitesparse-mongoose3           	       0        1        0        0        1
41526 libsuitesparseconfig4              	       0       80        0        0       80
41527 libsuitesparseconfig4.4.6          	       0        1        0        0        1
41528 libsuma1                           	       0        1        0        0        1
41529 libsundials-core7                  	       0        1        0        0        1
41530 libsundials-ida4                   	       0       19        0        0       19
41531 libsundials-ida6                   	       0       53        0        0       53
41532 libsundials-ida7                   	       0        1        0        0        1
41533 libsundials-nvecparallel-petsc4    	       0        1        0        0        1
41534 libsundials-nvecparallel-petsc6    	       0       54        0        0       54
41535 libsundials-nvecserial4            	       0       19        0        0       19
41536 libsundials-sunlinsol2             	       0       19        0        0       19
41537 libsundials-sunlinsol3             	       0       54        0        0       54
41538 libsundials-sunmatrix2             	       0       19        0        0       19
41539 libsundials-sunmatrix4             	       0       54        0        0       54
41540 libsundials-sunmatrix5             	       0        1        0        0        1
41541 libsunflow-java                    	       0       37        0        0       37
41542 libsunpinyin3v5                    	       0        5        2        0        3
41543 libsuper-csv-java                  	       0        3        0        0        3
41544 libsuper-perl                      	       0        5        5        0        0
41545 libsuperlu-dev                     	       0       42       41        1        0
41546 libsuperlu-dist-dev                	       0        1        1        0        0
41547 libsuperlu-dist6                   	       0        2        0        0        2
41548 libsuperlu-dist7                   	       0        3        0        0        3
41549 libsuperlu-dist8                   	       0       56        0        0       56
41550 libsuperlu-doc                     	       0        5        0        0        5
41551 libsuperlu3                        	       0        1        0        0        1
41552 libsuperlu4                        	       0        1        0        0        1
41553 libsuperlu6                        	       0       46        1        0       45
41554 libsuperlu7                        	       0        3        0        0        3
41555 libsurefire-java                   	       0        5        0        0        5
41556 libsv1                             	       0        1        0        0        1
41557 libsvg-graph-perl                  	       0        4        4        0        0
41558 libsvg-graph-ruby1.9.1             	       0        2        0        0        2
41559 libsvg-perl                        	       0       45       44        1        0
41560 libsvga1                           	       0       19        0        0       19
41561 libsvga1-dev                       	       0        3        3        0        0
41562 libsvgpp-dev                       	       0        1        0        0        1
41563 libsvgpp-doc                       	       0        1        0        0        1
41564 libsvgsalamander-java              	       0       29        0        0       29
41565 libsvm-dev                         	       0        6        6        0        0
41566 libsvm3                            	       0       31        0        0       31
41567 libsvmloc-dev                      	       0        1        0        0        1
41568 libsvmloc0                         	       0        1        0        0        1
41569 libsvn-class-perl                  	       0        1        1        0        0
41570 libsvn-dev                         	       0        4        4        0        0
41571 libsvn-java                        	       0        9        0        0        9
41572 libsvn-perl                        	       0      111        0        0      111
41573 libsvnclientadapter-java           	       0        3        0        0        3
41574 libsvncpp3                         	       0       12        0        0       12
41575 libsvnkit-java                     	       0        7        0        0        7
41576 libsvnqt4-trinity                  	       0        1        0        0        1
41577 libsvtav1-dev                      	       0        5        5        0        0
41578 libsvtav1dec-dev                   	       0        2        0        0        2
41579 libsvtav1dec0                      	       0        5        0        0        5
41580 libsvtav1enc-dev                   	       0       11        7        0        4
41581 libsvtav1enc0                      	       0        6        1        0        5
41582 libsvthevcenc-dev                  	       0        1        1        0        0
41583 libsvthevcenc1                     	       0       44        0        0       44
41584 libswagger-core-java               	       0        1        0        0        1
41585 libswagger2-perl                   	       0        1        1        0        0
41586 libswami1                          	       0        7        0        0        7
41587 libswamigui1                       	       0        7        0        0        7
41588 libswe-dev                         	       0        1        1        0        0
41589 libswe0                            	       0        1        0        0        1
41590 libswe2.0                          	       0        1        0        0        1
41591 libsweble-common-java              	       0        2        0        0        2
41592 libsweble-wikitext-java            	       0        2        0        0        2
41593 libswfdec0.3                       	       0        1        0        0        1
41594 libswfdec0.3-dev                   	       0        1        1        0        0
41595 libswing-layout-java               	       0       45        0        0       45
41596 libswing-layout-java-doc           	       0        7        0        0        7
41597 libswingx-java                     	       0       49        0        0       49
41598 libswingx-java-doc                 	       0        1        0        0        1
41599 libswingx1-java                    	       0        2        0        0        2
41600 libswish-api-common-perl           	       0        4        4        0        0
41601 libswiss-perl                      	       0        1        1        0        0
41602 libsword-1.8.1                     	       0        1        0        0        1
41603 libsword-common                    	       0       31        0        0       31
41604 libsword-utils                     	       0        2        1        1        0
41605 libsword1.9.0                      	       0       26        0        0       26
41606 libsword1.9.0t64                   	       0        2        0        0        2
41607 libsword11                         	       0        1        0        0        1
41608 libsword11v5                       	       0        1        0        0        1
41609 libswresample-ffmpeg1              	       0        4        0        0        4
41610 libswresample0                     	       0        9        0        0        9
41611 libswresample1                     	       0       13        0        0       13
41612 libswresample2                     	       0      353        2        0      351
41613 libswresample3-dbgsym              	       0        1        1        0        0
41614 libswresample5-dbgsym              	       0        1        1        0        0
41615 libswscale-ffmpeg3                 	       0        5        0        0        5
41616 libswscale0                        	       0        7        3        0        4
41617 libswscale2                        	       0       25        0        0       25
41618 libswscale3                        	       0       46        1        0       45
41619 libswscale4                        	       0      145        0        0      145
41620 libswscale5-dbgsym                 	       0        1        1        0        0
41621 libswscale8-dbgsym                 	       0        1        1        0        0
41622 libswt-cairo-gtk-3-jni             	       0        4        4        0        0
41623 libswt-cairo-gtk-4-jni             	       0       49       49        0        0
41624 libswt-glx-gtk-3-jni               	       0        3        3        0        0
41625 libswt-gnome-gtk-3-jni             	       0        4        4        0        0
41626 libswt-gtk-3-java                  	       0        6        6        0        0
41627 libswt-gtk-3-java-gcj              	       0        1        1        0        0
41628 libswt-gtk-3-jni                   	       0        7        7        0        0
41629 libswt-gtk-4-java                  	       0       60       58        2        0
41630 libswt-gtk-4-jni                   	       0       65       63        2        0
41631 libswt-gtk2-4-jni                  	       0        1        1        0        0
41632 libswt-webkit-gtk-3-jni            	       0        3        3        0        0
41633 libswt-webkit-gtk-4-jni            	       0       12       12        0        0
41634 libswtcalendar-java                	       0        3        0        0        3
41635 libsx0                             	       0        2        0        0        2
41636 libsylfilter0                      	       0       40        0        0       40
41637 libsylph1                          	       0       40        0        0       40
41638 libsylph1t64                       	       0        1        0        0        1
41639 libsymbol-global-name-perl         	       0        1        1        0        0
41640 libsymmetrica-dev                  	       0        9        9        0        0
41641 libsymmetrica2                     	       0       10        0        0       10
41642 libsymmetrica2-dev                 	       0        9        0        0        9
41643 libsymspg1                         	       0       47        0        0       47
41644 libsymspg2                         	       0        7        0        0        7
41645 libsyn123-0                        	       0      213        0        0      213
41646 libsyn123-0t64                     	       0       30        0        0       30
41647 libsynce0                          	       0        2        0        0        2
41648 libsyncevo-dbus0                   	       0        1        0        0        1
41649 libsyncevolution0                  	       0        1        0        0        1
41650 libsynctex-dev                     	       0        1        1        0        0
41651 libsynctex1                        	       0       36        0        0       36
41652 libsyndication4                    	       0        9        0        0        9
41653 libsynfig-dev                      	       0        1        1        0        0
41654 libsynfig0a                        	       0       10        0        0       10
41655 libsyntax-highlight-engine-kate-perl	       0        2        2        0        0
41656 libsyntax-highlight-perl-perl      	       0        1        1        0        0
41657 libsyntax-keyword-junction-perl    	       0        3        3        0        0
41658 libsyntax-keyword-try-perl         	       0      504        0        0      504
41659 libsyntax-perl                     	       0        2        2        0        0
41660 libsynthesis0t64                   	       0        1        0        0        1
41661 libsynthesis0v5                    	       0        2        0        0        2
41662 libsys-cpuaffinity-perl            	       0      193        0        0      193
41663 libsys-cpuload-perl                	       0        1        0        0        1
41664 libsys-filesystem-perl             	       0        1        1        0        0
41665 libsys-hostip-perl                 	       0        1        0        1        0
41666 libsys-sigaction-perl              	       0        5        5        0        0
41667 libsys-statistics-linux-perl       	       0        1        1        0        0
41668 libsys-virt-perl                   	       0       99        0        0       99
41669 libsysadm-install-perl             	       0        4        4        0        0
41670 libsysfs-dev                       	       0        4        4        0        0
41671 libsysprof-4                       	       0       26        0        0       26
41672 libsysprof-4-dev                   	       0       20       19        1        0
41673 libsysprof-6-6                     	       0        1        0        0        1
41674 libsysprof-6-modules               	       0        1        0        0        1
41675 libsysprof-capture-4-dev           	       0       86       77        9        0
41676 libsysprof-ui-4                    	       0        1        0        0        1
41677 libsysprof-ui-5                    	       0       25        0        0       25
41678 libsysstat-qt6-1                   	       0        5        1        0        4
41679 libsysstat-qt6-1-dev               	       0        1        1        0        0
41680 libsystem-command-perl             	       0        5        5        0        0
41681 libsystemback                      	       0        4        4        0        0
41682 libsystemback-dbg                  	       0        1        1        0        0
41683 libsystemc                         	       0       11        0        0       11
41684 libsystemc-dev                     	       0       11       11        0        0
41685 libsystemc-doc                     	       0        1        1        0        0
41686 libsystemd-daemon0                 	       0        5        0        0        5
41687 libsystemd-dev                     	       0        6        6        0        0
41688 libsystemd-id128-0                 	       0        4        0        0        4
41689 libsystemd-journal0                	       0        4        0        0        4
41690 libsystemd-login0                  	       0       19        0        0       19
41691 libsystemd-shared                  	       0       12        1        0       11
41692 libsystemu-ruby1.9.1               	       0        1        0        0        1
41693 libt-digest-java-doc               	       0        1        0        0        1
41694 libt1-5                            	       0       13        0        0       13
41695 libt1-dev                          	       0        1        1        0        0
41696 libt1-doc                          	       0        2        0        0        2
41697 libt3config0                       	       0        6        0        0        6
41698 libt3highlight2                    	       0        6        0        0        6
41699 libt3key1                          	       0        4        0        0        4
41700 libt3widget2                       	       0        3        0        0        3
41701 libt3widget2t64                    	       0        1        0        0        1
41702 libt3window0                       	       0        4        0        0        4
41703 libt4k-common0                     	       0       28        0        0       28
41704 libt4k-common0t64                  	       0        6        0        0        6
41705 libtabixpp0                        	       0        1        0        0        1
41706 libtablelayout-java                	       0       14        0        0       14
41707 libtachyon-dev-common              	       0        1        1        0        0
41708 libtachyon-mt-0                    	       0       11        0        0       11
41709 libtachyon-openmpi-0               	       0        1        0        0        1
41710 libtachyon-openmpi-0-dev           	       0        1        0        0        1
41711 libtag-c-dev                       	       0        2        2        0        0
41712 libtag-dev                         	       0        2        2        0        0
41713 libtag-extras-dev                  	       0        5        5        0        0
41714 libtag-extras1                     	       0       21        0        0       21
41715 libtag1-dev                        	       0       44       42        0        2
41716 libtag1-doc                        	       0        1        0        0        1
41717 libtag1-vanilla                    	       0       19        1        0       18
41718 libtag1c2a                         	       0       19        0        0       19
41719 libtag1v5                          	       0     3354        0        0     3354
41720 libtagc0-dev                       	       0        5        5        0        0
41721 libtaglib-cil-dev                  	       0        3        0        0        3
41722 libtaglib-ocaml                    	       0        3        2        0        1
41723 libtaglib-ocaml-dev                	       0        3        3        0        0
41724 libtaglib2.0-cil                   	       0        1        0        0        1
41725 libtaglib2.1-cil                   	       0       11        0        0       11
41726 libtaglibs-standard-impl-java      	       0       16        0        0       16
41727 libtaglibs-standard-jstlel-java    	       0        8        0        0        8
41728 libtaglibs-standard-spec-java      	       0       16        0        0       16
41729 libtagsoup-java                    	       0      101        0        0      101
41730 libtagsoup-java-doc                	       0        2        0        0        2
41731 libtaint-runtime-perl              	       0        1        0        0        1
41732 libtaint-util-perl                 	       0        4        0        0        4
41733 libtalloc-dev                      	       0       14       13        1        0
41734 libtalloc1                         	       0        1        0        0        1
41735 libtamuanova-0.2                   	       0        3        0        0        3
41736 libtango9                          	       0        2        0        0        2
41737 libtaoframework-openal-cil-dev     	       0        1        1        0        0
41738 libtaoframework-openal1.1-cil      	       0        2        2        0        0
41739 libtaoframework-opengl3.0-cil      	       0        4        4        0        0
41740 libtaoframework-sdl-cil-dev        	       0        1        1        0        0
41741 libtaoframework-sdl1.2-cil         	       0        5        5        0        0
41742 libtap-formatter-junit-perl        	       0        2        2        0        0
41743 libtap-harness-archive-perl        	       0        1        1        0        0
41744 libtap-parser-sourcehandler-pgtap-perl	       0        1        1        0        0
41745 libtar                             	       0        1        0        0        1
41746 libtar-dev                         	       0        5        5        0        0
41747 libtar0                            	       0       25        0        0       25
41748 libtar0t64                         	       0        2        0        0        2
41749 libtas                             	       0        1        1        0        0
41750 libtaskmanager4abi4                	       0        6        1        0        5
41751 libtasn1-3                         	       0       81        0        0       81
41752 libtasn1-3-bin                     	       0        7        0        0        7
41753 libtasn1-3-dev                     	       0        3        1        0        2
41754 libtasn1-bin                       	       0       10       10        0        0
41755 libtasn1-doc                       	       0      198        0        0      198
41756 libtatsu0                          	       0        8        0        0        8
41757 libtbb-dev                         	       0       89       88        1        0
41758 libtbb-doc                         	       0        4        4        0        0
41759 libtbb2                            	       0      231        1        0      230
41760 libtbbbind-2-5                     	       0      532        0        0      532
41761 libtcc-dev                         	       0        4        4        0        0
41762 libtcd-dev                         	       0        1        1        0        0
41763 libtcd0                            	       0        2        0        0        2
41764 libtcl-perl                        	       0        1        0        0        1
41765 libtcl8.4                          	       0        3        0        0        3
41766 libtcl8.5                          	       0       52        2        0       50
41767 libtcl9.0                          	       0        2        0        0        2
41768 libtclap-dev                       	       0        2        2        0        0
41769 libtclcl1                          	       0        4        0        0        4
41770 libtclcl1-dev                      	       0        2        2        0        0
41771 libtcltk-ruby1.9.1                 	       0        2        2        0        0
41772 libtcmalloc-minimal4t64            	       0        7        0        0        7
41773 libtcod-dev                        	       0        2        2        0        0
41774 libtcod0                           	       0        1        0        0        1
41775 libtcod1                           	       0        1        0        0        1
41776 libtcod1t64                        	       0        1        0        0        1
41777 libtcpcrypt-dev                    	       0        1        1        0        0
41778 libtcpcrypt0                       	       0        1        0        0        1
41779 libtcplay                          	       0        1        0        0        1
41780 libtcplay-dev                      	       0        1        1        0        0
41781 libtdb-dev                         	       0       16       15        1        0
41782 libtdebluez-trinity                	       0        2        0        0        2
41783 libtdeedu3-trinity                 	       0       23        0        0       23
41784 libtdegames1-trinity               	       0       24        0        0       24
41785 libtdeldap-trinity                 	       0        2        0        0        2
41786 libtdeobex-trinity                 	       0        2        0        0        2
41787 libteam-utils                      	       0        9        9        0        0
41788 libteam5                           	       0        9        0        0        9
41789 libteckit0                         	       0      612        0        0      612
41790 libtecla1                          	       0       43        0        0       43
41791 libtecla1t64                       	       0        9        0        0        9
41792 libteem-dev                        	       0        1        1        0        0
41793 libteem2                           	       0        4        0        0        4
41794 libtelepathy-farstream3            	       0       13        0        0       13
41795 libtelepathy-glib-dev              	       0        2        2        0        0
41796 libtelepathy-glib-doc              	       0        1        1        0        0
41797 libtelepathy-logger-qt4-1          	       0        1        1        0        0
41798 libtelepathy-logger-qt5            	       0       12        0        0       12
41799 libtelepathy-logger2               	       0        5        0        0        5
41800 libtelepathy-qt4-2                 	       0        2        1        0        1
41801 libtelepathy-qt5-0                 	       0       13        7        0        6
41802 libtelepathy-qt5-farstream0        	       0        6        0        0        6
41803 libtelnet-dev                      	       0        3        3        0        0
41804 libtelnet2                         	       0        6        0        0        6
41805 libtemplate-glib-1.0-0             	       0        4        0        0        4
41806 libtemplate-glib-common            	       0        4        0        0        4
41807 libtemplate-multilingual-perl      	       0        1        1        0        0
41808 libtemplate-perl-doc               	       0        2        0        0        2
41809 libtemplate-plugin-datetime-format-perl	       0        1        1        0        0
41810 libtemplate-plugin-gd-perl         	       0        6        5        1        0
41811 libtemplate-plugin-gettext-perl    	       0        3        3        0        0
41812 libtemplate-plugin-htmltotext-perl 	       0        2        2        0        0
41813 libtemplate-plugin-posix-perl      	       0        1        1        0        0
41814 libtemplate-plugin-stash-perl      	       0        2        2        0        0
41815 libtemplate-plugin-xml-perl        	       0        4        3        1        0
41816 libtemplate-timer-perl             	       0        1        1        0        0
41817 libtemplate-tiny-perl              	       0        6        6        0        0
41818 libtemplateparser4                 	       0        4        1        0        3
41819 libtemplates-parser11.10.1         	       0        1        0        0        1
41820 libtemplates-parser14-dev          	       0        1        1        0        0
41821 libtemplates-parser18              	       0        1        0        0        1
41822 libtemplates-parser20              	       0        1        0        0        1
41823 libtensorpipe0                     	       0       13        0        0       13
41824 libtepl-4-0                        	       0        1        0        0        1
41825 libtepl-5-0                        	       0       73        4        0       69
41826 libtepl-6-1                        	       0        3        0        0        3
41827 libtepl-6-4                        	       0       30        0        0       30
41828 libtepl-common                     	       0      287        1        0      286
41829 libtercpp0v5                       	       0        1        0        0        1
41830 libterm-clui-perl                  	       0        1        1        0        0
41831 libterm-encoding-perl              	       0        1        1        0        0
41832 libterm-extendedcolor-perl         	       0        1        1        0        0
41833 libterm-progressbar-quiet-perl     	       0        1        1        0        0
41834 libterm-progressbar-simple-perl    	       0        1        1        0        0
41835 libterm-prompt-perl                	       0        1        1        0        0
41836 libterm-readline-perl-perl         	       0       19       19        0        0
41837 libterm-readline-ttytter-perl      	       0        1        1        0        0
41838 libterm-readpassword-perl          	       0        7        7        0        0
41839 libterm-shellui-perl               	       0       10       10        0        0
41840 libterm-size-any-perl              	       0        3        3        0        0
41841 libterm-size-perl                  	       0       30        0        0       30
41842 libterm-size-perl-perl             	       0        3        3        0        0
41843 libterm-slang-perl                 	       0        1        0        0        1
41844 libterm-spinner-color-perl         	       0        1        1        0        0
41845 libterm-table-perl                 	       0       11       10        1        0
41846 libterm-termkey-perl               	       0        3        0        0        3
41847 libtermbox-dev                     	       0        2        2        0        0
41848 libtermbox1                        	       0        2        0        0        2
41849 libtermkey-dev                     	       0        3        3        0        0
41850 libtermpaint0a                     	       0        1        0        0        1
41851 libterralib-dev                    	       0        3        3        0        0
41852 libterralib3                       	       0        6        0        0        6
41853 libtess2-tulip-5.4                 	       0        1        0        0        1
41854 libtesseract-data                  	       0       22        0        0       22
41855 libtesseract-dev                   	       0       25       25        0        0
41856 libtesseract3                      	       0       23        0        0       23
41857 libtesseract4                      	       0      116        5        0      111
41858 libtest-assertions-perl            	       0        2        2        0        0
41859 libtest-base-perl                  	       0        1        1        0        0
41860 libtest-block-perl                 	       0        1        1        0        0
41861 libtest-class-most-perl            	       0        1        1        0        0
41862 libtest-class-perl                 	       0        1        1        0        0
41863 libtest-classapi-perl              	       0        1        1        0        0
41864 libtest-command-perl               	       0        1        1        0        0
41865 libtest-cpan-meta-perl             	       0        1        1        0        0
41866 libtest-cpan-meta-yaml-perl        	       0        1        1        0        0
41867 libtest-dbix-class-perl            	       0        1        1        0        0
41868 libtest-deep-fuzzy-perl            	       0        1        1        0        0
41869 libtest-deep-perl                  	       0       80       78        2        0
41870 libtest-differences-perl           	       0        7        7        0        0
41871 libtest-expect-perl                	       0        1        1        0        0
41872 libtest-file-perl                  	       0        1        1        0        0
41873 libtest-file-sharedir-perl         	       0       52       50        2        0
41874 libtest-filename-perl              	       0        1        1        0        0
41875 libtest-files-perl                 	       0        1        1        0        0
41876 libtest-harness-perl               	       0        1        1        0        0
41877 libtest-inline-perl                	       0        1        1        0        0
41878 libtest-leaktrace-perl             	       0        2        0        0        2
41879 libtest-log-dispatch-perl          	       0        1        1        0        0
41880 libtest-longstring-perl            	       0        5        5        0        0
41881 libtest-memory-cycle-perl          	       0        1        1        0        0
41882 libtest-metrics-any-perl           	       0       30       30        0        0
41883 libtest-mock-guard-perl            	       0        1        1        0        0
41884 libtest-mockmodule-perl            	       0        3        3        0        0
41885 libtest-mockobject-perl            	       0       13       13        0        0
41886 libtest-mocktime-datecalc-perl     	       0        1        1        0        0
41887 libtest-mocktime-perl              	       0        2        2        0        0
41888 libtest-more-utf8-perl             	       0        1        1        0        0
41889 libtest-most-perl                  	       0        6        6        0        0
41890 libtest-needs-perl                 	       0        2        2        0        0
41891 libtest-nowarnings-perl            	       0        9        9        0        0
41892 libtest-number-delta-perl          	       0        1        1        0        0
41893 libtest-output-perl                	       0       54       52        2        0
41894 libtest-perl-critic-perl           	       0        1        1        0        0
41895 libtest-pod-content-perl           	       0        1        1        0        0
41896 libtest-pod-coverage-perl          	       0        3        3        0        0
41897 libtest-pod-no404s-perl            	       0        1        1        0        0
41898 libtest-pod-perl                   	       0       28       28        0        0
41899 libtest-refcount-perl              	       0       59       58        1        0
41900 libtest-requires-perl              	       0       11       11        0        0
41901 libtest-requiresinternet-perl      	       0        5        5        0        0
41902 libtest-sharedfork-perl            	       0       17       17        0        0
41903 libtest-simple-perl                	       0        5        5        0        0
41904 libtest-spelling-perl              	       0        1        1        0        0
41905 libtest-strict-perl                	       0        2        2        0        0
41906 libtest-synopsis-perl              	       0        1        1        0        0
41907 libtest-taint-perl                 	       0        3        0        0        3
41908 libtest-tcp-perl                   	       0       17       17        0        0
41909 libtest-use-ok-perl                	       0        1        1        0        0
41910 libtest-utf8-perl                  	       0        1        1        0        0
41911 libtest-warn-perl                  	       0       20       20        0        0
41912 libtest-warnings-perl              	       0        2        2        0        0
41913 libtest-www-mechanize-catalyst-perl	       0        1        1        0        0
41914 libtest-www-mechanize-perl         	       0        4        4        0        0
41915 libtest-yaml-meta-perl             	       0        1        1        0        0
41916 libtest-yaml-perl                  	       0        1        1        0        0
41917 libtest-yaml-valid-perl            	       0        3        3        0        0
41918 libtest2-suite-perl                	       0       12       12        0        0
41919 libtestng7-java                    	       0        1        0        0        1
41920 libtestu01-0                       	       0        1        0        0        1
41921 libtet1.5                          	       0        4        0        0        4
41922 libtevent-dev                      	       0        8        7        1        0
41923 libtexlua-dev                      	       0        3        3        0        0
41924 libtexlua52                        	       0       58        0        0       58
41925 libtexlua53                        	       0      144        0        0      144
41926 libtexlua53-5                      	       0      489        0        0      489
41927 libtexluajit-dev                   	       0        2        2        0        0
41928 libtexluajit2                      	       0      554        0        0      554
41929 libtext-aligner-perl               	       0       60       58        2        0
41930 libtext-ansi-util-perl             	       0        1        1        0        0
41931 libtext-aspell-perl                	       0        2        0        0        2
41932 libtext-balanced-perl              	       0        5        5        0        0
41933 libtext-bibtex-perl                	       0      112        0        0      112
41934 libtext-bidi-perl                  	       0        7        0        0        7
41935 libtext-context-eitherside-perl    	       0        1        1        0        0
41936 libtext-context-perl               	       0        1        1        0        0
41937 libtext-csv-unicode-perl           	       0        1        1        0        0
41938 libtext-engine-0.1-0               	       0        4        0        0        4
41939 libtext-findindent-perl            	       0        1        1        0        0
41940 libtext-flow-perl                  	       0        1        1        0        0
41941 libtext-format-perl                	       0       21       21        0        0
41942 libtext-german-perl                	       0        4        4        0        0
41943 libtext-hogan-perl                 	       0       13       13        0        0
41944 libtext-hogan-perl-build-deps      	       0        1        0        0        1
41945 libtext-hunspell-perl              	       0        2        0        0        2
41946 libtext-kakasi-perl                	       0        1        0        0        1
41947 libtext-levenshtein-perl           	       0       83       83        0        0
41948 libtext-levenshteinxs-perl         	       0      607        0        0      607
41949 libtext-lorem-perl                 	       0        3        3        0        0
41950 libtext-markdown-discount-perl     	       0      609        0        0      609
41951 libtext-microtemplate-perl         	       0        6        6        0        0
41952 libtext-password-pronounceable-perl	       0        1        1        0        0
41953 libtext-patch-perl                 	       0        1        1        0        0
41954 libtext-pdf-perl                   	       0        3        3        0        0
41955 libtext-qrcode-perl                	       0        1        0        0        1
41956 libtext-quoted-perl                	       0        1        1        0        0
41957 libtext-recordparser-perl          	       0        6        6        0        0
41958 libtext-roman-perl                 	       0      114      111        3        0
41959 libtext-simpletable-perl           	       0        4        4        0        0
41960 libtext-soundex-perl               	       0      104        0        0      104
41961 libtext-table-perl                 	       0       60       58        2        0
41962 libtext-tabulardisplay-perl        	       0        7        7        0        0
41963 libtext-textile-perl               	       0        2        2        0        0
41964 libtext-trim-perl                  	       0       37       37        0        0
41965 libtext-trim-perl-build-deps       	       0        1        0        0        1
41966 libtext-unaccent-perl              	       0       24        0        0       24
41967 libtext-vcard-perl                 	       0        2        2        0        0
41968 libtext-vfile-asdata-perl          	       0        9        9        0        0
41969 libtext-wikiformat-perl            	       0        1        1        0        0
41970 libtext-wrapper-perl               	       0        9        9        0        0
41971 libtextcat-data                    	       0        1        0        0        1
41972 libtextcat-data-utf8               	       0        3        0        0        3
41973 libtextcat0                        	       0        1        0        0        1
41974 libtexttools-doc                   	       0        1        0        0        1
41975 libtexttools11                     	       0        1        0        0        1
41976 libtexttools11-dev                 	       0        1        0        0        1
41977 libtextwrap1                       	       0       11        0        0       11
41978 libtf-conversions-dev              	       0        1        1        0        0
41979 libtf-conversions0d                	       0        1        0        0        1
41980 libtf-dev                          	       0        1        1        0        0
41981 libtf1d                            	       0        2        0        0        2
41982 libtf2-2d                          	       0        2        0        0        2
41983 libtf2-bullet-dev                  	       0        1        1        0        0
41984 libtf2-dev                         	       0        2        2        0        0
41985 libtf2-eigen-dev                   	       0        1        1        0        0
41986 libtf2-geometry-msgs-dev           	       0        2        2        0        0
41987 libtf2-kdl-dev                     	       0        1        1        0        0
41988 libtf2-msgs-dev                    	       0        2        2        0        0
41989 libtf2-ros-dev                     	       0        2        2        0        0
41990 libtf2-ros1d                       	       0        2        0        0        2
41991 libtf2-sensor-msgs-dev             	       0        1        1        0        0
41992 libtgl-0.0.0.20160623-0            	       0        7        0        0        7
41993 libtgl-0.0.0.20160623-0t64         	       0        1        0        0        1
41994 libtgowt-dev                       	       0        2        2        0        0
41995 libtgvoip-dev                      	       0        2        2        0        0
41996 libtgvoip1.0                       	       0        1        0        0        1
41997 libthai-data                       	       0     3954        0        0     3954
41998 libthai-doc                        	       0        2        0        0        2
41999 libtheora-doc                      	       0        3        2        0        1
42000 libtheora-ocaml                    	       0        1        1        0        0
42001 libtheora-ocaml-dev                	       0        1        1        0        0
42002 libtheschwartz-perl                	       0        2        2        0        0
42003 libthmap-dev                       	       0        1        1        0        0
42004 libthmap1                          	       0        1        0        0        1
42005 libthread-pool-dev                 	       0        1        0        0        1
42006 libthreadar1000                    	       0        7        0        0        7
42007 libthreeten-extra-java             	       0        2        0        0        2
42008 libthrift-0.13.0                   	       0       13        0        0       13
42009 libthrift-0.17.0                   	       0       34        2        0       32
42010 libthrift-0.19.0t64                	       0        8        0        0        8
42011 libthrift-dev                      	       0       41       40        1        0
42012 libthrift-java                     	       0        2        0        0        2
42013 libthrust-dev                      	       0       21       20        1        0
42014 libthumbnailator-java              	       0       37        0        0       37
42015 libthunar-vfs-1-common             	       0        3        0        0        3
42016 libthunarx-2-0                     	       0       40        0        0       40
42017 libthunarx-3-0-dbgsym              	       0        1        1        0        0
42018 libthunarx-3-dev                   	       0        3        3        0        0
42019 libticables2-7                     	       0        4        4        0        0
42020 libticables2-8                     	       0       19       19        0        0
42021 libticalcs2-12                     	       0        4        0        0        4
42022 libticalcs2-13                     	       0       19        0        0       19
42023 libticcutils5                      	       0        1        0        0        1
42024 libticcutils8                      	       0        5        0        0        5
42025 libticonv8                         	       0        5        0        0        5
42026 libticonv9                         	       0       19        0        0       19
42027 libtidy-0.99-0                     	       0       33        1        0       32
42028 libtidy-dev                        	       0        9        9        0        0
42029 libtidy58                          	       0       21        0        0       21
42030 libtie-array-sorted-perl           	       0        1        1        0        0
42031 libtie-cache-perl                  	       0        1        1        0        0
42032 libtie-cycle-perl                  	       0      113      110        3        0
42033 libtie-handle-offset-perl          	       0        4        4        0        0
42034 libtie-toobject-perl               	       0        6        6        0        0
42035 libtiff-doc                        	       0        4        0        0        4
42036 libtiff4                           	       0       33        0        0       33
42037 libtiff4-dev                       	       0        2        2        0        0
42038 libtiff5-dev                       	       0       94       27        0       67
42039 libtiffxx0c2                       	       0        5        0        0        5
42040 libtiffxx5                         	       0      128        0        0      128
42041 libtiffxx6                         	       0      491        0        0      491
42042 libtifiles2-10                     	       0        5        0        0        5
42043 libtifiles2-11                     	       0       19        0        0       19
42044 libtigris-clojure                  	       0        1        0        0        1
42045 libtiled1                          	       0        7        0        0        7
42046 libtimbl4                          	       0        4        0        0        4
42047 libtimblserver4                    	       0        2        0        0        2
42048 libtime-duration-parse-perl        	       0       11       11        0        0
42049 libtime-fake-perl                  	       0        2        2        0        0
42050 libtime-format-perl                	       0        1        1        0        0
42051 libtime-modules-perl               	       0        4        0        0        4
42052 libtime-moment-perl                	       0      605        0        0      605
42053 libtimezonemap-data                	       0      252        0        0      252
42054 libtimezonemap1                    	       0      252        0        0      252
42055 libtimingframework-java            	       0        2        0        0        2
42056 libtinfo-dev                       	       0      320       44        0      276
42057 libtingea0                         	       0        2        0        0        2
42058 libtins4.0                         	       0        3        0        0        3
42059 libtins4.5                         	       0        1        0        0        1
42060 libtinyexr1d                       	       0        1        0        0        1
42061 libtinyframe-dev                   	       0        1        1        0        0
42062 libtinyframe0t64                   	       0        1        0        0        1
42063 libtinygltf1d                      	       0        1        0        0        1
42064 libtinygltf5d                      	       0        1        0        0        1
42065 libtinysparql-3.0-0                	       0        1        0        0        1
42066 libtinyxml-dev                     	       0       24       24        0        0
42067 libtinyxml-doc                     	       0        1        0        0        1
42068 libtinyxml2-2                      	       0        5        0        0        5
42069 libtinyxml2-4                      	       0       12        0        0       12
42070 libtinyxml2-6a                     	       0       21        0        0       21
42071 libtinyxml2-8                      	       0       65        6        0       59
42072 libtinyxml2-dev                    	       0       12       12        0        0
42073 libtinyxml2.6.2                    	       0        8        0        0        8
42074 libtirpc-common                    	       0     3780        0        0     3780
42075 libtirpc1                          	       0      108        0        0      108
42076 libtitanium-json-ld-java           	       0        2        0        0        2
42077 libtk-codetext-perl                	       0        1        1        0        0
42078 libtk-filedialog-perl              	       0        1        1        0        0
42079 libtk-gbarr-perl                   	       0        1        1        0        0
42080 libtk-img                          	       0       73        0        0       73
42081 libtk-img-dev                      	       0        3        3        0        0
42082 libtk-img-doc                      	       0        5        0        0        5
42083 libtk-objeditor-perl               	       0        1        1        0        0
42084 libtk-objscanner-perl              	       0        1        1        0        0
42085 libtk-splashscreen-perl            	       0        1        1        0        0
42086 libtk-tablematrix-perl             	       0        4        0        0        4
42087 libtk8.4                           	       0        1        0        0        1
42088 libtk8.5                           	       0       31        1        0       30
42089 libtk9.0                           	       0        2        0        0        2
42090 libtlog0                           	       0        1        0        0        1
42091 libtls-dev                         	       0        3        3        0        0
42092 libtls26                           	       0        6        0        0        6
42093 libtls28t64                        	       0        2        0        0        2
42094 libtlsh0                           	       0        3        0        0        3
42095 libtmglib-dev                      	       0        4        0        0        4
42096 libtmglib3                         	       0       14        0        0       14
42097 libtmglib64-3                      	       0        1        0        0        1
42098 libtmglib64-dev                    	       0        1        0        0        1
42099 libtnt-dev                         	       0        1        1        0        0
42100 libtntdb-dev                       	       0        1        1        0        0
42101 libtntdb4                          	       0        1        1        0        0
42102 libtntnet-dev                      	       0        3        3        0        0
42103 libtntnet10                        	       0        1        0        0        1
42104 libtntnet12                        	       0        1        0        0        1
42105 libtntnet12v5                      	       0        3        0        0        3
42106 libtogl-dev                        	       0        3        3        0        0
42107 libtogl1                           	       0        2        0        0        2
42108 libtogl2                           	       0       10       10        0        0
42109 libtokyocabinet-dev                	       0        8        8        0        0
42110 libtokyocabinet8                   	       0       15        0        0       15
42111 libtokyotyrant-dev                 	       0        2        2        0        0
42112 libtokyotyrant3                    	       0        7        1        0        6
42113 libtolua++5.1-dev                  	       0        1        1        0        0
42114 libtolua-dev                       	       0        1        1        0        0
42115 libtomcat10-embed-java             	       0        1        0        0        1
42116 libtomcat10-java                   	       0       11        0        0       11
42117 libtomcat7-java                    	       0        3        0        0        3
42118 libtomcat8-java                    	       0        9        0        0        9
42119 libtomcat9-embed-java              	       0        2        0        0        2
42120 libtomcat9-java                    	       0       41        0        0       41
42121 libtomcrypt-dev                    	       0        6        6        0        0
42122 libtomcrypt0                       	       0       28        0        0       28
42123 libtoml-tiny-perl                  	       0       19       19        0        0
42124 libtomlplusplus-dev                	       0        1        0        1        0
42125 libtommath-doc                     	       0        2        0        0        2
42126 libtommath0                        	       0       13        0        0       13
42127 libtomoyotools3                    	       0       28        2        0       26
42128 libtool-build-deps                 	       0        1        0        0        1
42129 libtool-doc                        	       0       23        0        0       23
42130 libtools-analyzer-clojure          	       0        1        0        0        1
42131 libtools-analyzer-jvm-clojure      	       0        1        0        0        1
42132 libtools-cli-clojure               	       0        1        0        0        1
42133 libtools-logging-clojure           	       0        1        0        0        1
42134 libtools-macro-clojure             	       0        1        0        0        1
42135 libtools-nrepl-clojure             	       0        1        0        0        1
42136 libtools-reader-clojure            	       0        1        0        0        1
42137 libtopcom0                         	       0       10        0        0       10
42138 libtopic-tools-dev                 	       0        1        1        0        0
42139 libtopic-tools2d                   	       0        1        0        0        1
42140 libtopmenu-client-gtk2-0           	       0        3        0        0        3
42141 libtopmenu-client-gtk3-0           	       0        3        0        0        3
42142 libtopmenu-server-gtk2-0           	       0        3        0        0        3
42143 libtopmenu-server-gtk3-0           	       0        3        0        0        3
42144 libtorch-dev                       	       0       12       12        0        0
42145 libtorch-luat                      	       0        1        0        0        1
42146 libtorch-test                      	       0       10       10        0        0
42147 libtorch-th                        	       0        1        0        0        1
42148 libtorch-thnn                      	       0        1        0        0        1
42149 libtorch-thnn-dev                  	       0        1        1        0        0
42150 libtorch1.13                       	       0       10        0        0       10
42151 libtorch1.7                        	       0        3        0        0        3
42152 libtorque2                         	       0        4        0        0        4
42153 libtorrent-build-deps              	       0        1        0        0        1
42154 libtorrent-dev                     	       0        4        4        0        0
42155 libtorrent-rasterbar-build-deps    	       0        1        0        0        1
42156 libtorrent-rasterbar-dbg           	       0        1        1        0        0
42157 libtorrent-rasterbar-dev           	       0        4        4        0        0
42158 libtorrent-rasterbar-doc           	       0        1        0        0        1
42159 libtorrent-rasterbar6              	       0        1        0        0        1
42160 libtorrent-rasterbar7              	       0        8        0        0        8
42161 libtorrent-rasterbar9              	       0       28        0        0       28
42162 libtorrent14                       	       0        1        0        0        1
42163 libtorrent19                       	       0        4        0        0        4
42164 libtorrent20                       	       0        8        0        0        8
42165 libtorrent21                       	       0       76        4        0       72
42166 libtorrent21-dbgsym                	       0        1        1        0        0
42167 libtorrent21t64                    	       0       13        0        0       13
42168 libtotem-pg-dev                    	       0        1        1        0        0
42169 libtotem-pg5                       	       0        6        0        0        6
42170 libtotem-plparser-common           	       0      729        0        0      729
42171 libtotem-plparser-dev              	       0        2        1        1        0
42172 libtotem-plparser17                	       0        1        1        0        0
42173 libtotem0                          	       0      391        5        0      386
42174 libtoxcore-dev                     	       0        1        1        0        0
42175 libtoxcore2                        	       0       26        4        0       22
42176 libtpl-dev                         	       0        1        1        0        0
42177 libtpl0                            	       0        1        0        0        1
42178 libtpm-unseal1                     	       0        8        0        0        8
42179 libtpm-unseal1t64                  	       0        1        0        0        1
42180 libtpm2-pkcs11-1                   	       0        1        0        0        1
42181 libtpm2-pkcs11-tools               	       0        2        2        0        0
42182 libtqca                            	       0       25        0        0       25
42183 libtqsllib1                        	       0        1        0        0        1
42184 libtqt-perl                        	       0        1        1        0        0
42185 libtqt3-apps-dev                   	       0        3        0        0        3
42186 libtqt3-compat-headers             	       0        2        2        0        0
42187 libtqt3-headers                    	       0        3        3        0        0
42188 libtqt3-i18n                       	       0        2        0        0        2
42189 libtqt3-integration-trinity        	       0       32       32        0        0
42190 libtqt3-mt-data                    	       0       34        0        0       34
42191 libtqt3-mt-dev                     	       0        3        3        0        0
42192 libtqt3-mt-mysql                   	       0        1        0        0        1
42193 libtqt3-mt-odbc                    	       0        1        0        0        1
42194 libtqt3-mt-psql                    	       0        1        0        0        1
42195 libtqtinterface-dbg                	       0        2        2        0        0
42196 libtqtinterface-dev                	       0        3        3        0        0
42197 libtqtinterface-doc                	       0        1        0        0        1
42198 libtrace-tools                     	       0        1        1        0        0
42199 libtrace3                          	       0        1        0        0        1
42200 libtracecmd1                       	       0        2        0        0        2
42201 libtraceevent-dev                  	       0       13       13        0        0
42202 libtraceevent-doc                  	       0        1        0        0        1
42203 libtraceevent1                     	       0       38        1        0       37
42204 libtraceevent1-plugin              	       0        5        0        0        5
42205 libtracefs-dev                     	       0       13       13        0        0
42206 libtracefs1                        	       0       18        0        0       18
42207 libtracker-client-0.8-0            	       0        3        3        0        0
42208 libtracker-control-1.0-0           	       0        9        0        0        9
42209 libtracker-control-1.0-dev         	       0        1        1        0        0
42210 libtracker-control-2.0-0           	       0       62        0        0       62
42211 libtracker-control-doc             	       0        1        0        0        1
42212 libtracker-doc                     	       0        1        0        0        1
42213 libtracker-extract-0.14-0          	       0        4        0        0        4
42214 libtracker-miner-0.14-0            	       0        5        0        0        5
42215 libtracker-miner-0.14-dev          	       0        1        1        0        0
42216 libtracker-miner-1.0-0             	       0        8        0        0        8
42217 libtracker-miner-1.0-dev           	       0        3        3        0        0
42218 libtracker-miner-doc               	       0        1        0        0        1
42219 libtracker-sparql-0.12-0           	       0        1        1        0        0
42220 libtracker-sparql-0.14-0           	       0        9        9        0        0
42221 libtracker-sparql-0.14-dev         	       0        1        1        0        0
42222 libtracker-sparql-1.0-0            	       0       28        0        0       28
42223 libtracker-sparql-1.0-dev          	       0        3        3        0        0
42224 libtracker-sparql-3.0-dev          	       0        2        2        0        0
42225 libtracker-sparql-doc              	       0        1        0        0        1
42226 libtrackerclient0                  	       0        1        0        0        1
42227 libtrajectory-msgs-dev             	       0        1        1        0        0
42228 libtrampoline1                     	       0        2        0        0        2
42229 libtrang-java                      	       0        3        0        0        3
42230 libtranscript1                     	       0        4        0        0        4
42231 libtransitioner2                   	       0        4        0        0        4
42232 libtrapperkeeper-authorization-clojure	       0        1        0        0        1
42233 libtrapperkeeper-clojure           	       0        1        0        0        1
42234 libtrapperkeeper-comidi-metrics-clojure	       0        1        0        0        1
42235 libtrapperkeeper-filesystem-watcher-clojure	       0        1        0        0        1
42236 libtrapperkeeper-metrics-clojure   	       0        1        0        0        1
42237 libtrapperkeeper-scheduler-clojure 	       0        1        0        0        1
42238 libtrapperkeeper-status-clojure    	       0        1        0        0        1
42239 libtrapperkeeper-webserver-jetty9-clojure	       0        1        0        0        1
42240 libtravel-routing-de-hafas-perl    	       0        1        1        0        0
42241 libtravel-routing-de-vrr-perl      	       0        1        1        0        0
42242 libtravel-status-de-deutschebahn-perl	       0        1        1        0        0
42243 libtravel-status-de-iris-perl      	       0        1        1        0        0
42244 libtre-dev                         	       0        1        1        0        0
42245 libtre5                            	       0      168        1        0      167
42246 libtree                            	       0        8        8        0        0
42247 libtree-dagnode-perl               	       0        4        4        0        0
42248 libtree-r-perl                     	       0        1        1        0        0
42249 libtree-simple-perl                	       0        4        4        0        0
42250 libtree-simple-visitorfactory-perl 	       0        2        2        0        0
42251 libtree-sitter-dev                 	       0       13       12        1        0
42252 libtree-xpathengine-perl           	       0        1        1        0        0
42253 libtreelayout-java                 	       0       12        0        0       12
42254 libtrident-java                    	       0        4        0        0        4
42255 libtrilead-putty-extension-java    	       0        1        0        0        1
42256 libtrilead-ssh2-java               	       0        7        0        0        7
42257 libtrilinos-amesos-13.2            	       0       57        0        0       57
42258 libtrilinos-aztecoo-13.2           	       0       57        0        0       57
42259 libtrilinos-aztecoo-dev            	       0        2        2        0        0
42260 libtrilinos-epetra-13.2            	       0       57        0        0       57
42261 libtrilinos-epetraext-13.2         	       0       57        0        0       57
42262 libtrilinos-galeri-13.2            	       0       57        0        0       57
42263 libtrilinos-ifpack-13.2            	       0       57        0        0       57
42264 libtrilinos-kokkos-13.2            	       0       58        0        0       58
42265 libtrilinos-kokkos-dev             	       0        1        1        0        0
42266 libtrilinos-ml-13.2                	       0       57        0        0       57
42267 libtrilinos-ml-dev                 	       0        2        2        0        0
42268 libtrilinos-teuchos-13.2           	       0       57        0        0       57
42269 libtrilinos-trilinosss-13.2        	       0       58        0        0       58
42270 libtrilinos-trilinosss-dev         	       0        2        2        0        0
42271 libtrilinos-triutils-13.2          	       0       57        0        0       57
42272 libtrilinos-zoltan-13.2            	       0       57        0        0       57
42273 libtrilinos-zoltan-dev             	       0        2        2        0        0
42274 libtrio-dev                        	       0        1        1        0        0
42275 libtrio2                           	       0       37        0        0       37
42276 libtritonus-java                   	       0        2        0        0        2
42277 libtritonus-jni                    	       0        2        2        0        0
42278 libtrompeloeil-cpp-dev             	       0        1        0        0        1
42279 libtrove-intellij-java             	       0        3        0        0        3
42280 libtry-tiny-byclass-perl           	       0        2        2        0        0
42281 libtry-tiny-smartcatch-perl        	       0        1        1        0        0
42282 libts-0.0-0                        	       0       17        0        0       17
42283 libts-bin                          	       0        1        1        0        0
42284 libts-dev                          	       0        3        3        0        0
42285 libts0                             	       0      441        0        0      441
42286 libts0t64                          	       0      150        0        0      150
42287 libtsan0                           	       0     1237        0        0     1237
42288 libtsan0-arm64-cross               	       0       15        0        0       15
42289 libtsan0-ppc64-cross               	       0        2        0        0        2
42290 libtsan2                           	       0     1864        0        0     1864
42291 libtsan2-arm64-cross               	       0       26        0        0       26
42292 libtsan2-ppc64-cross               	       0        4        0        0        4
42293 libtsan2-ppc64el-cross             	       0        1        0        0        1
42294 libtsan2-riscv64-cross             	       0        2        0        0        2
42295 libtse3-0.3.1t64                   	       0        1        0        0        1
42296 libtse3-dev                        	       0        1        1        0        0
42297 libtsk-dev                         	       0        2        2        0        0
42298 libtsk13                           	       0       22        0        0       22
42299 libtsk19                           	       0      137        0        0      137
42300 libtsk19t64                        	       0       12        0        0       12
42301 libtsk3-3                          	       0        1        0        0        1
42302 libtsm4                            	       0        2        1        0        1
42303 libtss0                            	       0        3        0        0        3
42304 libtss2-dev                        	       0        1        1        0        0
42305 libtss2-esys0                      	       0       24        0        0       24
42306 libtss2-fapi1                      	       0        7        7        0        0
42307 libtss2-fapi1t64                   	       0        3        3        0        0
42308 libtss2-mu-4.0.1-0                 	       0        1        0        0        1
42309 libtss2-policy0t64                 	       0        1        0        0        1
42310 libtss2-rc0                        	       0      295        1        0      294
42311 libtss2-rc0t64                     	       0       23        1        0       22
42312 libtss2-tcti-cmd0                  	       0      977        0        0      977
42313 libtss2-tcti-cmd0t64               	       0      112        0        0      112
42314 libtss2-tcti-device0               	       0      977        2        0      975
42315 libtss2-tcti-device0t64            	       0      112        2        0      110
42316 libtss2-tcti-libtpms0              	       0        6        0        0        6
42317 libtss2-tcti-libtpms0t64           	       0       71        0        0       71
42318 libtss2-tcti-mssim0                	       0      977        0        0      977
42319 libtss2-tcti-mssim0t64             	       0      112        0        0      112
42320 libtss2-tcti-pcap0                 	       0        1        0        0        1
42321 libtss2-tcti-spi-helper0           	       0        6        0        0        6
42322 libtss2-tcti-spi-helper0t64        	       0       71        0        0       71
42323 libtss2-tcti-swtpm0                	       0      977        0        0      977
42324 libtss2-tcti-swtpm0t64             	       0      112        0        0      112
42325 libtss2-tctildr0t64                	       0       70        5        0       65
42326 libttf2                            	       0        2        0        0        2
42327 libttfautohint1                    	       0        4        0        0        4
42328 libttfautohint1t64                 	       0        1        0        0        1
42329 libttspico-data                    	       0        9        0        0        9
42330 libttspico0                        	       0        8        0        0        8
42331 libttspico0t64                     	       0        1        0        0        1
42332 libtty1                            	       0        5        0        0        5
42333 libtuiwidgets0a                    	       0        1        0        0        1
42334 libtulip-core-5.4                  	       0        1        0        0        1
42335 libtulip-gui-5.4                   	       0        1        0        0        1
42336 libtulip-ogdf-5.4                  	       0        1        0        0        1
42337 libtulip-ogl-5.4                   	       0        1        0        0        1
42338 libtulip-python-5.4                	       0        1        0        0        1
42339 libturbojpeg0-dev                  	       0       12       11        1        0
42340 libturbojpeg1                      	       0       21        0        0       21
42341 libturbojpeg1-dev                  	       0        1        1        0        0
42342 libtvcontrol0                      	       0        3        3        0        0
42343 libtwatch-perl                     	       0        3        3        0        0
42344 libtwelvemonkeys-java              	       0       62        0        0       62
42345 libtwitter-ruby1.9.1               	       0        1        0        0        1
42346 libtwolame-dev                     	       0       32       32        0        0
42347 libtxc-dxtn-s2tc                   	       0       99        0        0       99
42348 libtxc-dxtn-s2tc-bin               	       0        1        1        0        0
42349 libtxc-dxtn-s2tc-dev               	       0        1        1        0        0
42350 libtxc-dxtn-s2tc0                  	       0       67        0        0       67
42351 libtxc-dxtn0                       	       0        1        0        0        1
42352 libtxw2-java                       	       0      336        0        0      336
42353 libtype-tiny-xs-perl               	       0      350        1        0      349
42354 libtypes-path-tiny-perl            	       0        7        7        0        0
42355 libtypes-uri-perl                  	       0        2        2        0        0
42356 libtypes-uuid-perl                 	       0        2        2        0        0
42357 libtypesafe-config-clojure         	       0        1        0        0        1
42358 libtypesafe-config-java            	       0        2        0        0        2
42359 libtyxml-ocaml                     	       0        1        1        0        0
42360 libtyxml-ocaml-dev                 	       0        1        1        0        0
42361 libtzinfo-ruby1.9.1                	       0        1        0        0        1
42362 libu2f-host-dev                    	       0        1        1        0        0
42363 libu2f-host-doc                    	       0        1        0        0        1
42364 libu2f-host0                       	       0       11        0        0       11
42365 libu2f-server0                     	       0        5        0        0        5
42366 libu2f-udev                        	       0      615      123        3      489
42367 libubertooth-dev                   	       0        1        1        0        0
42368 libubertooth1                      	       0        5        0        0        5
42369 libubi-dev                         	       0        2        2        0        0
42370 libubootenv0.1                     	       0       52        0        0       52
42371 libubox1                           	       0        1        1        0        0
42372 libubsan0                          	       0      431        0        0      431
42373 libubsan0-arm64-cross              	       0        2        0        0        2
42374 libubsan0-armhf-cross              	       0        1        0        0        1
42375 libubsan0-dbg                      	       0        3        3        0        0
42376 libubsan1                          	       0     2494        0        0     2494
42377 libubsan1-arm64-cross              	       0       38        0        0       38
42378 libubsan1-armel-cross              	       0       23        0        0       23
42379 libubsan1-armhf-cross              	       0       31        0        0       31
42380 libubsan1-i386-cross               	       0       14        0        0       14
42381 libubsan1-powerpc-cross            	       0        5        0        0        5
42382 libubsan1-ppc64-cross              	       0        6        0        0        6
42383 libubsan1-ppc64el-cross            	       0        1        0        0        1
42384 libubsan1-riscv64-cross            	       0        2        0        0        2
42385 libubsan1-s390x-cross              	       0        4        0        0        4
42386 libubsan1-sparc64-cross            	       0        3        0        0        3
42387 libubsan1-x32-cross                	       0        6        0        0        6
42388 libubus1                           	       0        1        1        0        0
42389 libuchardet-dev                    	       0       25       24        1        0
42390 libucimf0                          	       0        1        0        0        1
42391 libucl-dev                         	       0        3        3        0        0
42392 libucl1                            	       0       16        0        0       16
42393 libucommon-dev                     	       0        4        4        0        0
42394 libucommon6                        	       0        1        0        0        1
42395 libucommon7v5                      	       0        1        0        0        1
42396 libucommon8                        	       0       18        1        0       17
42397 libucommon8t64                     	       0        2        1        0        1
42398 libuconv-ruby1.9.1                 	       0        2        0        0        2
42399 libucto3                           	       0        1        0        0        1
42400 libucto5                           	       0        4        0        0        4
42401 libucx-dev                         	       0       12       12        0        0
42402 libucx0                            	       0      317        0        0      317
42403 libucx0-dbgsym                     	       0        1        1        0        0
42404 libudev-compat-dev                 	       0        1        1        0        0
42405 libudev-compat-helpers             	       0        1        1        0        0
42406 libudev0                           	       0       97        0        0       97
42407 libudev1                           	       0      686        2        1      683
42408 libudev1-compat                    	       0        1        1        0        0
42409 libudf0                            	       0       35        0        0       35
42410 libudf0t64                         	       0        3        0        0        3
42411 libudfread-dev                     	       0       36       35        1        0
42412 libudis86-0                        	       0        3        0        0        3
42413 libudisks2-0-dbgsym                	       0        1        1        0        0
42414 libudisks2-dev                     	       0        3        3        0        0
42415 libudisks2-qt5-0                   	       0        7        0        0        7
42416 libudns0                           	       0       23        2        0       21
42417 libudns0t64                        	       0        3        0        0        3
42418 libudp-tcl                         	       0        1        1        0        0
42419 libudunits2-0                      	       0       54        0        0       54
42420 libudunits2-data                   	       0       54        0        0       54
42421 libudunits2-dev                    	       0       12       12        0        0
42422 libuecc0                           	       0        3        0        0        3
42423 libuemf0                           	       0        1        0        0        1
42424 libuev-dev                         	       0        1        1        0        0
42425 libuev2                            	       0        1        0        0        1
42426 libuev3                            	       0        2        0        0        2
42427 libufo-data                        	       0        1        0        0        1
42428 libufo1                            	       0        1        0        0        1
42429 libuhd-dev                         	       0        2        2        0        0
42430 libuhd003                          	       0        1        0        0        1
42431 libuhd3.13.1                       	       0        2        0        0        2
42432 libuhd3.15.0                       	       0        5        0        0        5
42433 libuhd4.3.0                        	       0       38        2        0       36
42434 libuhd4.6.0t64                     	       0        2        0        0        2
42435 libuhd4.7.0                        	       0       10        0        0       10
42436 libui-dialog-perl                  	       0        2        2        0        0
42437 libui-utilcpp-dev                  	       0        1        1        0        0
42438 libui-utilcpp9v5                   	       0        1        1        0        0
42439 libui0                             	       0        1        0        0        1
42440 libuid-wrapper                     	       0        2        0        0        2
42441 libuil4                            	       0       37        0        0       37
42442 libuim-data                        	       0       37        0        0       37
42443 libuim-dev                         	       0        1        1        0        0
42444 libukui-common0                    	       0        3        0        0        3
42445 libukui-gsettings0                 	       0        2        0        0        2
42446 libukui-log4qt1                    	       0       10        0        0       10
42447 libukui-menu2                      	       0        1        0        0        1
42448 libukui-panel-applet-4-1           	       0        1        0        0        1
42449 libukui-print0                     	       0        2        0        0        2
42450 libukui-screensaverclient-dev      	       0        1        1        0        0
42451 libukui-screensaverclient0         	       0        1        0        0        1
42452 libukui-touchpadclient-dev         	       0        1        1        0        0
42453 libukui-touchpadclient0            	       0        1        0        0        1
42454 libukui-usersetting-dev            	       0        1        1        0        0
42455 libukui-usersetting0               	       0        1        0        0        1
42456 libukwm-1-0                        	       0        5        0        0        5
42457 libulfius2.7                       	       0        5        0        0        5
42458 libulfius2.7t64                    	       0        1        0        0        1
42459 libumfpack5.4.0                    	       0        7        0        0        7
42460 libumfpack5.6.2                    	       0       25        0        0       25
42461 libumlib0                          	       0        6        0        0        6
42462 libunac1                           	       0       41        0        0       41
42463 libunarr-dev                       	       0        2        2        0        0
42464 libunarr1                          	       0       10        0        0       10
42465 libunbescape-java-doc              	       0        1        0        0        1
42466 libunbound-dev                     	       0       10       10        0        0
42467 libunbound2                        	       0       31        0        0       31
42468 libunbound8-dbgsym                 	       0        1        1        0        0
42469 libungif-bin                       	       0        1        0        0        1
42470 libungif4-dev                      	       0        2        0        0        2
42471 libungif4g                         	       0        3        0        0        3
42472 libunibilium-dev                   	       0        1        1        0        0
42473 libunibreak-dev                    	       0       12       11        1        0
42474 libunibreak-doc                    	       0        1        0        0        1
42475 libunibreak1                       	       0       92        0        0       92
42476 libunicap2                         	       0        7        1        0        6
42477 libunicap2-dev                     	       0        1        1        0        0
42478 libunicode-collate-perl            	       0       14        0        0       14
42479 libunicode-escape-perl             	       0        1        1        0        0
42480 libunicode-linebreak-perl          	       0      537        2        0      535
42481 libunicode-map-perl                	       0      364        0        0      364
42482 libunicode-string-perl             	       0      116        0        0      116
42483 libunicode-stringprep-perl         	       0        4        4        0        0
42484 libunicode-utf8-perl               	       0      770        0        0      770
42485 libuniconf4.6                      	       0       29        0        0       29
42486 libuniconf4.6t64                   	       0        1        0        0        1
42487 libunicorn-dev                     	       0        1        1        0        0
42488 libunicorn2t64                     	       0        1        0        0        1
42489 libuninameslist-dev                	       0        1        1        0        0
42490 libuninameslist0                   	       0        4        0        0        4
42491 libuninameslist1                   	       0       36        0        0       36
42492 libuninum5                         	       0        8        0        0        8
42493 libunique-1.0-0                    	       0      145        6        0      139
42494 libunique-3.0-0                    	       0       31        0        0       31
42495 libunique-dev                      	       0        3        3        0        0
42496 libunirest-java-java               	       0       23        0        0       23
42497 libunistring-dev                   	       0       26       26        0        0
42498 libunistring0                      	       0      511        0        0      511
42499 libunittest++2                     	       0       15        0        0       15
42500 libunity-java                      	       0        1        0        0        1
42501 libunity-scopes-json-def-desktop   	       0      435        0        0      435
42502 libunivalue-dev                    	       0        2        2        0        0
42503 libunivalue0                       	       0        5        1        0        4
42504 libuniversal-can-perl              	       0       13       13        0        0
42505 libuniversal-isa-perl              	       0       13       13        0        0
42506 libunivocity-parsers-java          	       0        7        0        0        7
42507 libunix-fcntllock-perl             	       0        1        0        0        1
42508 libunix-mad-syslog-perl            	       0        1        0        0        1
42509 libunixsocket-java                 	       0        4        4        0        0
42510 libunrar-headers                   	       0        1        0        0        1
42511 libunrar5                          	       0       10        0        0       10
42512 libunrar5t64                       	       0        1        0        0        1
42513 libunsafe-fences-java              	       0        2        0        0        2
42514 libunsafe-mock-java                	       0        3        0        0        3
42515 libunshield-dev                    	       0        4        4        0        0
42516 libunshield0                       	       0       41        0        0       41
42517 libunwind-14-dev                   	       0        5        5        0        0
42518 libunwind-16-dev                   	       0        3        3        0        0
42519 libunwind-19                       	       0       25       25        0        0
42520 libunwind-19-dev                   	       0        3        3        0        0
42521 libunwind7                         	       0        2        0        0        2
42522 libunwind7-dev                     	       0        1        1        0        0
42523 libupb-dev                         	       0        1        1        0        0
42524 libupb0                            	       0        1        0        0        1
42525 libupnp-dev                        	       0       21       20        0        1
42526 libupnp-doc                        	       0        2        0        0        2
42527 libupnp10                          	       0        1        0        0        1
42528 libupnp17                          	       0       52        2        0       50
42529 libupnp3                           	       0        1        0        0        1
42530 libupnp6                           	       0       98        3        0       95
42531 libupnp6-dev                       	       0        3        3        0        0
42532 libupnp6-doc                       	       0        1        0        0        1
42533 libupnpp16                         	       0        1        0        0        1
42534 libupnpp4                          	       0        1        0        0        1
42535 libupnpp6                          	       0        1        0        0        1
42536 libupower-glib-dev                 	       0       11       11        0        0
42537 libups-nut-perl                    	       0        1        1        0        0
42538 libupsclient-dev                   	       0        1        1        0        0
42539 libupsclient1                      	       0        3        0        0        3
42540 libupsclient4                      	       0       32        5        0       27
42541 libupsclient6t64                   	       0        4        1        0        3
42542 libupse2                           	       0        2        0        0        2
42543 libuptimed0                        	       0        7        0        0        7
42544 liburcu-dev                        	       0        6        6        0        0
42545 liburcu2                           	       0        2        0        0        2
42546 liburcu4                           	       0        6        0        0        6
42547 liburcu6                           	       0       19        1        0       18
42548 liburdf-dev                        	       0        1        1        0        0
42549 liburdf-parser-plugin-dev          	       0        1        1        0        0
42550 liburdf1d                          	       0        1        0        0        1
42551 liburdfdom-dev                     	       0        1        1        0        0
42552 liburdfdom-headers-dev             	       0        1        1        0        0
42553 liburdfdom-model-state3.0          	       0        1        0        0        1
42554 liburdfdom-model3.0                	       0        1        0        0        1
42555 liburdfdom-sensor3.0               	       0        1        0        0        1
42556 liburdfdom-tools                   	       0        1        1        0        0
42557 liburdfdom-world3.0                	       0        1        0        0        1
42558 liburfkill-glib-dev                	       0        1        1        0        0
42559 liburfkill-glib0                   	       0        4        0        0        4
42560 liburfkill-glib0-dbg               	       0        1        1        0        0
42561 liburi-cpan-perl                   	       0        1        1        0        0
42562 liburi-db-perl                     	       0        2        2        0        0
42563 liburi-escape-xs-perl              	       0       82        0        0       82
42564 liburi-fetch-perl                  	       0       12       12        0        0
42565 liburi-find-delimited-perl         	       0        1        1        0        0
42566 liburi-find-perl                   	       0        3        3        0        0
42567 liburi-find-simple-perl            	       0        1        1        0        0
42568 liburi-fromhash-perl               	       0        2        2        0        0
42569 liburi-namespacemap-perl           	       0        1        1        0        0
42570 liburi-nested-perl                 	       0        2        2        0        0
42571 liburi-normalize-perl              	       0        1        1        0        0
42572 liburi-query-perl                  	       0        1        1        0        0
42573 liburi-template-perl               	       0       12       12        0        0
42574 liburing-dev                       	       0        7        7        0        0
42575 liburiparser-dev                   	       0       59       58        1        0
42576 liburl-encode-perl                 	       0        3        3        0        0
42577 liburl-encode-xs-perl              	       0        4        0        0        4
42578 liburweb-cgi0                      	       0        1        0        0        1
42579 liburweb-fastcgi0                  	       0        1        0        0        1
42580 liburweb-http0                     	       0        1        0        0        1
42581 liburweb-static0                   	       0        1        0        0        1
42582 liburweb0                          	       0        1        0        0        1
42583 libusageenvironment1               	       0       26        1        0       25
42584 libusageenvironment2               	       0        1        0        0        1
42585 libusageenvironment3               	       0      237        1        0      236
42586 libusb-1.0-doc                     	       0      197        0        0      197
42587 libusb-java                        	       0        3        0        0        3
42588 libusb-java-doc                    	       0        3        0        0        3
42589 libusb-java-lib                    	       0        3        0        0        3
42590 libusb-libusb-perl                 	       0        1        0        0        1
42591 libusb-ocaml                       	       0        1        1        0        0
42592 libusb-ocaml-dev                   	       0        1        1        0        0
42593 libusb3380-0                       	       0       32        0        0       32
42594 libusb3380-0t64                    	       0        5        0        0        5
42595 libusb3380-dev                     	       0        1        1        0        0
42596 libusbauth-configparser-dev        	       0        1        1        0        0
42597 libusbauth-configparser1           	       0        1        0        0        1
42598 libusbdrdaq                        	       0        1        1        0        0
42599 libusbguard-dev                    	       0        1        0        0        1
42600 libusbgx-dev                       	       0        1        1        0        0
42601 libusbgx-doc                       	       0        1        0        0        1
42602 libusbgx2                          	       0        2        0        0        2
42603 libusbmuxd-dev                     	       0       20       20        0        0
42604 libusbmuxd-tools                   	       0       27       27        0        0
42605 libusbmuxd-tools-dbgsym            	       0        1        1        0        0
42606 libusbmuxd1                        	       0        8        0        0        8
42607 libusbmuxd2                        	       0       31        2        0       29
42608 libusbmuxd6-dbgsym                 	       0        1        1        0        0
42609 libusbprog0v5                      	       0        3        0        0        3
42610 libusbredirhost-dev                	       0        3        3        0        0
42611 libusbredirhost1t64                	       0       30        1        0       29
42612 libusbredirparser-dev              	       0        7        7        0        0
42613 libusbredirparser0                 	       0        4        0        0        4
42614 libusbredirparser1t64              	       0       51        2        0       49
42615 libuser                            	       0        7        7        0        0
42616 libuser-identity-perl              	       0       32       31        1        0
42617 libuser-perl                       	       0        1        1        0        0
42618 libuser1                           	       0      186        0        0      186
42619 libusplash0                        	       0        1        0        0        1
42620 libusrsctp-dev                     	       0        1        1        0        0
42621 libusrsctp1                        	       0        1        0        0        1
42622 libusrsctp2                        	       0        6        2        0        4
42623 libustr-1.0-1                      	       0      766        0        0      766
42624 libustr-doc                        	       0        1        0        0        1
42625 libutempter-dev                    	       0        7        7        0        0
42626 libutf8-locale0                    	       0        2        0        0        2
42627 libutf8.h-dev                      	       0        1        1        0        0
42628 libutf8proc-dev                    	       0        3        3        0        0
42629 libutfcpp-dev                      	       0       17       17        0        0
42630 libutfcpp-doc                      	       0        1        0        0        1
42631 libutvideo15                       	       0       13        0        0       13
42632 libutvideo15.0.2                   	       0        1        0        0        1
42633 libuu-dev                          	       0        2        2        0        0
42634 libuu0                             	       0     1031        0        0     1031
42635 libuuid-tiny-perl                  	       0       15       15        0        0
42636 libuuid-urandom-perl               	       0        4        4        0        0
42637 libuuidtools-ruby1.9.1             	       0        1        0        0        1
42638 libuutf-ocaml                      	       0        1        1        0        0
42639 libuutf-ocaml-dev                  	       0        1        1        0        0
42640 libuutf-ocaml-doc                  	       0        1        0        0        1
42641 libuutil1                          	       0        1        0        0        1
42642 libuutil1linux                     	       0        4        0        0        4
42643 libuutil3                          	       0        1        1        0        0
42644 libuv0.10                          	       0        1        0        0        1
42645 libuv0.10-dev                      	       0        1        1        0        0
42646 libuvc-dev                         	       0        1        1        0        0
42647 libuvc-doc                         	       0        1        0        0        1
42648 libuvc0                            	       0       44        0        0       44
42649 libuwac0-0                         	       0        7        0        0        7
42650 libuwac0-0t64                      	       0        3        0        0        3
42651 libuwac0-dev                       	       0        1        1        0        0
42652 libv2m0                            	       0        1        0        0        1
42653 libv4l2rds0                        	       0      124        0        0      124
42654 libv4l2rds0t64                     	       0       15        0        0       15
42655 libv8-2.2.24                       	       0        1        0        0        1
42656 libv8-3.14.5                       	       0        5        0        0        5
42657 libva-amdgpu-dev                   	       0        1        1        0        0
42658 libva-amdgpu-glx2                  	       0        3        0        0        3
42659 libva-amdgpu-wayland2              	       0        2        0        0        2
42660 libva-drm1                         	       0      362        1        0      361
42661 libva-egl1                         	       0        5        0        0        5
42662 libva-glx1                         	       0       23        0        0       23
42663 libva-intel-vaapi-driver           	       0        1        0        0        1
42664 libva-tpi1                         	       0        3        0        0        3
42665 libva-wayland1                     	       0       71        0        0       71
42666 libva-x11-1                        	       0      369        1        0      368
42667 libva-x11-2-dbgsym                 	       0        1        1        0        0
42668 libva1                             	       0      389        2        0      387
42669 libval14                           	       0        3        0        0        3
42670 libvala-0.34-0                     	       0        3        0        0        3
42671 libvala-0.42-0                     	       0        3        0        0        3
42672 libvala-0.42-dev                   	       0        1        1        0        0
42673 libvala-0.46-0                     	       0        1        0        0        1
42674 libvala-0.46-dev                   	       0        1        1        0        0
42675 libvala-0.48-0                     	       0       12        0        0       12
42676 libvala-0.48-dev                   	       0        3        3        0        0
42677 libvala-0.56-0                     	       0       31        0        0       31
42678 libvala-0.56-dev                   	       0        1        1        0        0
42679 libvala-dev                        	       0        1        1        0        0
42680 libvala0                           	       0        1        0        0        1
42681 libvalacodegen-0.48-0              	       0        9        0        0        9
42682 libvalacodegen-0.56-0              	       0       29        0        0       29
42683 libvaladoc-0.56-0                  	       0        1        0        0        1
42684 libvaladoc-0.56-data               	       0        1        0        0        1
42685 libvalapanel0                      	       0        4        0        0        4
42686 libvalidatable-ruby1.9.1           	       0        1        0        0        1
42687 libvalidate-yubikey-perl           	       0        1        1        0        0
42688 libvamp-hostsdk3                   	       0        3        0        0        3
42689 libvamp-hostsdk3t64                	       0       32        0        0       32
42690 libvamp-hostsdk3v5                 	       0      502        3        0      499
42691 libvamp-sdk2t64                    	       0        2        0        0        2
42692 libvamp-sdk2v5                     	       0       81        1        0       80
42693 libvamsas-client-java              	       0        2        0        0        2
42694 libvarconf-1.0-6                   	       0        1        0        0        1
42695 libvarconf-1.0-8                   	       0        1        0        0        1
42696 libvarnishapi-dev                  	       0        1        1        0        0
42697 libvarnishapi1                     	       0        6        0        0        6
42698 libvarnishapi2                     	       0       10        0        0       10
42699 libvarnishapi3                     	       0        8        0        0        8
42700 libvavr0-java                      	       0        3        0        0        3
42701 libvc-dev                          	       0        2        2        0        0
42702 libvc0                             	       0        6        0        0        6
42703 libvcdinfo-dev                     	       0       10       10        0        0
42704 libvcdinfo0                        	       0      416        1        0      415
42705 libvcflib-tools                    	       0        1        1        0        0
42706 libvcflib1                         	       0        1        0        0        1
42707 libvcflib2                         	       0        1        0        0        1
42708 libvde0                            	       0       72        0        0       72
42709 libvdeplug-dev                     	       0        8        7        1        0
42710 libvdeplug2t64                     	       0       48        2        0       46
42711 libvdpau-doc                       	       0       11        0        0       11
42712 libvecmath-java                    	       0       74        0        0       74
42713 libvelocity-tools-java             	       0       10        0        0       10
42714 libventrilo3-0                     	       0        2        0        0        2
42715 libverbiste-0.1-0v5                	       0        3        0        0        3
42716 libversion-perl                    	       0        5        0        0        5
42717 libversioneer-clojure              	       0        1        0        0        1
42718 libverto-libev1t64                 	       0       13        0        0       13
42719 libverto1t64                       	       0       13        0        0       13
42720 libvformat-dev                     	       0        1        1        0        0
42721 libvformat0                        	       0        5        0        0        5
42722 libvhdi-dev                        	       0        2        2        0        0
42723 libvhdi-utils                      	       0        2        2        0        0
42724 libvhdi1                           	       0      151        0        0      151
42725 libvibrant6b                       	       0        2        0        0        2
42726 libvideo-capture-v4l-perl          	       0        2        0        0        2
42727 libvidstab-dev                     	       0       11       11        0        0
42728 libvidstab0.9                      	       0        2        0        0        2
42729 libvidstab1.0                      	       0       12        0        0       12
42730 libviennacl-dev                    	       0        1        1        0        0
42731 libview-dev                        	       0        1        1        0        0
42732 libview2                           	       0        2        0        0        2
42733 libview2-dbg                       	       0        1        1        0        0
42734 libvigraimpex-dev                  	       0        2        2        0        0
42735 libvigraimpex-doc                  	       0        1        0        0        1
42736 libvigraimpex11                    	       0       43        0        0       43
42737 libvigraimpex4                     	       0        2        0        0        2
42738 libvigraimpex6                     	       0       12        0        0       12
42739 libvips-dev                        	       0        4        3        1        0
42740 libvips-doc                        	       0        5        0        0        5
42741 libvips-tools                      	       0        7        6        1        0
42742 libvips42t64                       	       0        3        0        0        3
42743 libvirglrenderer-dev               	       0        4        4        0        0
42744 libvirglrenderer0                  	       0       53        1        0       52
42745 libvirt-bin                        	       0       19        0        0       19
42746 libvirt-clients-qemu               	       0        9        8        1        0
42747 libvirt-common                     	       0       28        0        0       28
42748 libvirt-daemon-config-network      	       0      343        0        0      343
42749 libvirt-daemon-config-nwfilter     	       0      342        0        0      342
42750 libvirt-daemon-driver-storage-gluster	       0        6        4        0        2
42751 libvirt-daemon-driver-storage-rbd  	       0        6        4        0        2
42752 libvirt-daemon-lock                	       0       18       16        2        0
42753 libvirt-daemon-plugin-lockd        	       0       18        0        0       18
42754 libvirt-daemon-plugin-sanlock      	       0       18       16        2        0
42755 libvirt-daemon-system-sysv         	       0      328        0        0      328
42756 libvirt-dbus                       	       0        9        9        0        0
42757 libvirt-doc                        	       0        8        0        0        8
42758 libvirt-glib-1.0-data              	       0      272        0        0      272
42759 libvirt-glib-1.0-dev               	       0        1        1        0        0
42760 libvirt-ocaml                      	       0        3        3        0        0
42761 libvirt-ocaml-dev                  	       0        1        1        0        0
42762 libvirt-sandbox-1.0-5              	       0        2        0        0        2
42763 libvirt-sanlock                    	       0        3        3        0        0
42764 libvirt-wireshark                  	       0        6        0        0        6
42765 libvirtodbc0                       	       0       14        0        0       14
42766 libvirtualpg-dev                   	       0        1        1        0        0
42767 libvirtualpg0                      	       0        8        0        0        8
42768 libvisa0                           	       0        1        0        0        1
42769 libvisio-0.0-0                     	       0        5        0        0        5
42770 libvisio-dev                       	       0        3        3        0        0
42771 libvistaio14                       	       0        2        0        0        2
42772 libvisual-0.4-0                    	       0     3265        0        0     3265
42773 libvisual-0.4-dev                  	       0        5        5        0        0
42774 libvisual-0.4-plugins              	       0      168        0        0      168
42775 libvisual-projectm                 	       0        1        0        0        1
42776 libvisualization-msgs-dev          	       0        1        1        0        0
42777 libvisualvm-jni                    	       0       15       13        2        0
42778 libvkd3d-dev                       	       0        6        0        0        6
42779 libvkd3d-doc                       	       0        1        0        0        1
42780 libvkd3d-headers                   	       0        7        7        0        0
42781 libvkd3d-shader1                   	       0       67        0        0       67
42782 libvkd3d-utils1                    	       0       14        0        0       14
42783 libvkd3d1                          	       0      171        0        0      171
42784 libvkfft-dev                       	       0        1        1        0        0
42785 libvlc-bin                         	       0     1641        0        0     1641
42786 libvlc-bin-dbgsym                  	       0        1        1        0        0
42787 libvlc-dev                         	       0      108      104        4        0
42788 libvlc5-dbgsym                     	       0        1        1        0        0
42789 libvlccore-dev                     	       0        8        8        0        0
42790 libvlccore5                        	       0        4        0        0        4
42791 libvlccore8                        	       0       38        1        0       37
42792 libvlccore9-dbgsym                 	       0        1        1        0        0
42793 libvldocking-java                  	       0        6        0        0        6
42794 libvlfeat-dev                      	       0        4        4        0        0
42795 libvlfeat-doc                      	       0        4        3        0        1
42796 libvlfeat1                         	       0        4        0        0        4
42797 libvm-ec2-perl                     	       0        1        1        0        0
42798 libvmaf-dev                        	       0        7        7        0        0
42799 libvmdk-utils                      	       0        1        1        0        0
42800 libvmdk1                           	       0      151        0        0      151
42801 libvncauth0                        	       0        1        0        0        1
42802 libvncclient0                      	       0       25        0        0       25
42803 libvncserver-config                	       0        2        2        0        0
42804 libvncserver-dev                   	       0       24       24        0        0
42805 libvncserver0                      	       0       13        0        0       13
42806 libvnlog-perl                      	       0        1        1        0        0
42807 libvo-aacenc-dev                   	       0        2        2        0        0
42808 libvo-amrwbenc-dev                 	       0       13       13        0        0
42809 libvolk-bin                        	       0        9        9        0        0
42810 libvolk-dev                        	       0        8        8        0        0
42811 libvolk-doc                        	       0        1        0        0        1
42812 libvolk1-bin                       	       0        2        2        0        0
42813 libvolk1-dev                       	       0        2        2        0        0
42814 libvolk1.3                         	       0        2        0        0        2
42815 libvolk1.4                         	       0        5        0        0        5
42816 libvolk2-bin                       	       0       39       39        0        0
42817 libvolk2-dev                       	       0       37       37        0        0
42818 libvolk2.4                         	       0       12        0        0       12
42819 libvolk2.5                         	       0       36        2        0       34
42820 libvolk3.1t64                      	       0        9        0        0        9
42821 libvolpack1                        	       0       11        0        0       11
42822 libvolume-id0                      	       0        7        0        0        7
42823 libvolume-id1                      	       0        5        0        0        5
42824 libvomsapi1v5                      	       0        4        0        0        4
42825 libvorbis-dbg                      	       0        1        1        0        0
42826 libvorbis-ocaml                    	       0        2        2        0        0
42827 libvorbis-ocaml-dev                	       0        2        2        0        0
42828 libvorbisspi-java                  	       0        1        0        0        1
42829 libvoro++1                         	       0       12        0        0       12
42830 libvotequorum-dev                  	       0        2        2        0        0
42831 libvotequorum8                     	       0       13        0        0       13
42832 libvpb-dev                         	       0       10       10        0        0
42833 libvpl-dev                         	       0        7        7        0        0
42834 libvpx-dev                         	       0      174      170        4        0
42835 libvpx-doc                         	       0        5        0        0        5
42836 libvpx0                            	       0        9        0        0        9
42837 libvpx2                            	       0        3        0        0        3
42838 libvpx3                            	       0        2        0        0        2
42839 libvpx4                            	       0      352        1        0      351
42840 libvserver0                        	       0       21        0        0       21
42841 libvshadow1                        	       0       15        0        0       15
42842 libvslvm1                          	       0       15        0        0       15
42843 libvsqlitepp-dev                   	       0        2        0        0        2
42844 libvsqlitepp-doc                   	       0        1        0        0        1
42845 libvsqlitepp3v5                    	       0        4        1        0        3
42846 libvte-2.90-9                      	       0       43        0        0       43
42847 libvte-2.90-common                 	       0       45        0        0       45
42848 libvte-2.91-common                 	       0     2961        1        0     2960
42849 libvte-2.91-dev                    	       0       15       14        1        0
42850 libvte-2.91-doc                    	       0       11        0        0       11
42851 libvte-2.91-gtk4-0                 	       0        6        0        0        6
42852 libvte-2.91-gtk4-doc               	       0        1        0        0        1
42853 libvte-common                      	       0       81        2        0       79
42854 libvte-dev                         	       0       10       10        0        0
42855 libvte-doc                         	       0        3        0        0        3
42856 libvte0.16-cil                     	       0        1        0        0        1
42857 libvte9t64                         	       0        1        0        0        1
42858 libvted-3-0                        	       0       23        4        1       18
42859 libvted-3-dev                      	       0        1        0        0        1
42860 libvterm-bin                       	       0        5        5        0        0
42861 libvterm-dev                       	       0        6        6        0        0
42862 libvtk-dicom-dev                   	       0        1        1        0        0
42863 libvtk6-dev                        	       0        1        1        0        0
42864 libvtk6-java                       	       0        1        1        0        0
42865 libvtk6-jni                        	       0        1        0        0        1
42866 libvtk6.3                          	       0       29        0        0       29
42867 libvtk6.3-qt                       	       0        4        0        0        4
42868 libvtk7.1                          	       0        8        0        0        8
42869 libvtk7.1-qt                       	       0        1        0        0        1
42870 libvtk7.1p                         	       0       28        1        0       27
42871 libvtk7.1p-qt                      	       0        2        0        0        2
42872 libvtk9                            	       0       10        0        0       10
42873 libvtk9-dev                        	       0       10       10        0        0
42874 libvtk9-java                       	       0       11       11        0        0
42875 libvtk9-qt                         	       0        3        0        0        3
42876 libvtk9-qt-dev                     	       0        3        3        0        0
42877 libvtk9.1                          	       0      131        0        0      131
42878 libvtk9.1-qt                       	       0       15        0        0       15
42879 libvtk9.1t64                       	       0        3        0        0        3
42880 libvtk9.3                          	       0       20        0        0       20
42881 libvtk9.3-qt                       	       0        1        0        0        1
42882 libvtkdicom0.8                     	       0        3        0        0        3
42883 libvtkgdcm-9.1                     	       0        1        0        0        1
42884 libvtkgdcm2.8a                     	       0        1        0        0        1
42885 libvulkan-memory-allocator-dev     	       0        1        1        0        0
42886 libvulkan-volk-dev                 	       0        3        3        0        0
42887 libwacom-dev                       	       0       68       67        1        0
42888 libwadseeker2                      	       0        3        0        0        3
42889 libwaei2                           	       0        2        0        0        2
42890 libwaffle-1-0                      	       0        8        0        0        8
42891 libwaffle-dev                      	       0        2        2        0        0
42892 libwagon-file-java                 	       0      130        0        0      130
42893 libwagon-ftp-java                  	       0        7        0        0        7
42894 libwagon-http-java                 	       0      376        0        0      376
42895 libwagon-http-shaded-java          	       0       96        0        0       96
42896 libwagon-java                      	       0        8        0        0        8
42897 libwagon-java-doc                  	       0        2        0        0        2
42898 libwagon-provider-api-java         	       0      468        0        0      468
42899 libwagon2-java                     	       0        2        0        0        2
42900 libwaili1c2                        	       0        5        0        0        5
42901 libwandio1                         	       0        1        0        0        1
42902 libwant-perl                       	       0      203        0        0      203
42903 libwavpack-dev                     	       0       20       20        0        0
42904 libwavpack0                        	       0        1        0        0        1
42905 libwayland-amdgpu-bin              	       0        1        1        0        0
42906 libwayland-amdgpu-dev              	       0        1        1        0        0
42907 libwayland-amdgpu-egl-backend-dev  	       0        1        1        0        0
42908 libwayland-client++0               	       0       18        0        0       18
42909 libwayland-client-extra++0         	       0        1        0        0        1
42910 libwayland-client-extra++1         	       0        4        0        0        4
42911 libwayland-cursor++0               	       0       18        0        0       18
42912 libwayland-doc                     	       0        3        0        0        3
42913 libwayland-egl++0                  	       0       17        0        0       17
42914 libwayland-egl1-mesa               	       0      172        0        0      172
42915 libwayland-server++1               	       0        4        0        0        4
42916 libwbclient-dev                    	       0        5        4        1        0
42917 libwbxml2-0                        	       0        1        0        0        1
42918 libwbxml2-1                        	       0        5        1        0        4
42919 libwbxml2-dev                      	       0        1        1        0        0
42920 libwbxml2-utils                    	       0        3        3        0        0
42921 libwcat1                           	       0        1        1        0        0
42922 libwcs4                            	       0        3        0        0        3
42923 libwcs5                            	       0        6        0        0        6
42924 libwcs6                            	       0        4        0        0        4
42925 libwcs7                            	       0       38        0        0       38
42926 libwcs8                            	       0        8        0        0        8
42927 libwcstools-dev                    	       0        2        2        0        0
42928 libwcstools1                       	       0       14        0        0       14
42929 libwcstools1t64                    	       0        2        0        0        2
42930 libweather-ion6                    	       0        7        1        0        6
42931 libwebcam0                         	       0       79        0        0       79
42932 libwebcam0-dev                     	       0        2        2        0        0
42933 libwebkit-1.0-common               	       0        4        0        0        4
42934 libwebkit1.1-cil                   	       0        9        0        0        9
42935 libwebkit2-sharp-4.0-cil           	       0        1        0        0        1
42936 libwebkit2gtk-4.0-37-dbgsym        	       0        1        1        0        0
42937 libwebkit2gtk-4.0-37-gtk2          	       0        7        0        0        7
42938 libwebkit2gtk-4.0-dev              	       0       42       39        3        0
42939 libwebkit2gtk-4.0-doc              	       0        7        0        0        7
42940 libwebkit2gtk-4.1-dev              	       0       10        9        1        0
42941 libwebkit2gtk-5.0-0                	       0        1        0        0        1
42942 libwebkitgtk-1.0-0                 	       0       48        0        0       48
42943 libwebkitgtk-1.0-common            	       0        6        0        0        6
42944 libwebkitgtk-3.0-0                 	       0       45        0        0       45
42945 libwebkitgtk-3.0-common            	       0       12        0        0       12
42946 libwebkitgtk-3.0-dev               	       0        1        1        0        0
42947 libwebkitgtk-6.0-4                 	       0      143       10        7      126
42948 libwebkitgtk-6.0-dev               	       0        4        4        0        0
42949 libwebkitgtk-dev                   	       0        3        3        0        0
42950 libwebkitgtk-doc                   	       0        2        0        0        2
42951 libwebm-tools                      	       0        1        1        0        0
42952 libwebm1                           	       0       22        0        0       22
42953 libwebp2                           	       0       11        0        0       11
42954 libwebp4                           	       0        1        0        0        1
42955 libwebp5                           	       0       83        0        0       83
42956 libwebpdemux1                      	       0       76        0        0       76
42957 libwebpmux1                        	       0       74        0        0       74
42958 libwebpmux2                        	       0      354        2        0      352
42959 libwebrtc-audio-processing-0       	       0       28        0        0       28
42960 libwebrtc-audio-processing-1-3     	       0       34        0        0       34
42961 libwebrtc-audio-processing-dev     	       0        6        6        0        0
42962 libwebservice-ils-perl             	       0        2        2        0        0
42963 libwebservice-musicbrainz-perl     	       0      101       99        2        0
42964 libwebservice-youtube-perl         	       0        2        1        1        0
42965 libwebsocket-api-java              	       0      797        0        0      797
42966 libwebsocketpp-dev                 	       0       15        0        0       15
42967 libwebsockets-dev                  	       0        9        9        0        0
42968 libwebsockets-evlib-ev             	       0        8        0        0        8
42969 libwebsockets-evlib-glib           	       0        8        0        0        8
42970 libwebsockets-evlib-uv             	       0        8        0        0        8
42971 libwebsockets17                    	       0       77        3        0       74
42972 libwebsockets18                    	       0        1        0        0        1
42973 libwebsockets19                    	       0        3        0        0        3
42974 libwebsockets19t64                 	       0        7        1        0        6
42975 libweed0                           	       0        6        0        0        6
42976 libwerken.xpath-java               	       0        3        0        0        3
42977 libweston-10-0                     	       0       18        0        0       18
42978 libweston-12-0                     	       0        1        0        0        1
42979 libweston-13-0                     	       0        3        0        0        3
42980 libweston-14-0                     	       0        3        0        0        3
42981 libweston-9-0                      	       0        3        0        0        3
42982 libwf-config1                      	       0        8        0        0        8
42983 libwf-utils0                       	       0        4        0        0        4
42984 libwf-utils0t64                    	       0        4        0        0        4
42985 libwfa2-0                          	       0        1        0        0        1
42986 libwfmath-0.3-4                    	       0        1        0        0        1
42987 libwfmath-1.0-1                    	       0        1        0        0        1
42988 libwfut-0.2-1                      	       0        1        0        0        1
42989 libwget0                           	       0       14        0        0       14
42990 libwget2                           	       0        2        0        0        2
42991 libwget2t64                        	       0        2        0        0        2
42992 libwhereami0                       	       0       18        0        0       18
42993 libwhisker2-perl                   	       0        6        6        0        0
42994 libwikidata-toolkit-java           	       0        2        0        0        2
42995 libwildmidi-config                 	       0      201        0        0      201
42996 libwildmidi-dev                    	       0        2        2        0        0
42997 libwildmidi0                       	       0        1        0        0        1
42998 libwildmidi1                       	       0       26        0        0       26
42999 libwim-dev                         	       0        4        4        0        0
43000 libwim15                           	       0       32        0        0       32
43001 libwim15t64                        	       0        2        0        0        2
43002 libwind0t64-heimdal                	       0        2        0        0        2
43003 libwine-development                	       0       32       10        0       22
43004 libwine-gecko-1.4                  	       0        1        0        0        1
43005 libwine-gecko-2.21                 	       0        9        0        0        9
43006 libwine-gecko-2.24                 	       0        1        0        0        1
43007 libwings2                          	       0        3        0        0        3
43008 libwinpr-asn1-0.1                  	       0        2        0        0        2
43009 libwinpr-bcrypt0.1                 	       0        2        0        0        2
43010 libwinpr-credentials0.1            	       0        2        0        0        2
43011 libwinpr-credui0.1                 	       0        2        0        0        2
43012 libwinpr-crt0.1                    	       0       62        0        0       62
43013 libwinpr-crypto0.1                 	       0       58        0        0       58
43014 libwinpr-dev                       	       0        2        2        0        0
43015 libwinpr-dsparse0.1                	       0       57        0        0       57
43016 libwinpr-environment0.1            	       0       62        0        0       62
43017 libwinpr-error0.1                  	       0       43        0        0       43
43018 libwinpr-file0.1                   	       0       61        0        0       61
43019 libwinpr-handle0.1                 	       0       62        0        0       62
43020 libwinpr-heap0.1                   	       0       62        0        0       62
43021 libwinpr-input0.1                  	       0       57        0        0       57
43022 libwinpr-interlocked0.1            	       0       62        0        0       62
43023 libwinpr-io0.1                     	       0        2        0        0        2
43024 libwinpr-library0.1                	       0       61        0        0       61
43025 libwinpr-path0.1                   	       0       62        0        0       62
43026 libwinpr-pipe0.1                   	       0        2        0        0        2
43027 libwinpr-pool0.1                   	       0       57        0        0       57
43028 libwinpr-registry0.1               	       0       58        0        0       58
43029 libwinpr-rpc0.1                    	       0       57        0        0       57
43030 libwinpr-sspi0.1                   	       0       58        0        0       58
43031 libwinpr-sspicli0.1                	       0        2        0        0        2
43032 libwinpr-synch0.1                  	       0       62        0        0       62
43033 libwinpr-sysinfo0.1                	       0       62        0        0       62
43034 libwinpr-thread0.1                 	       0       62        0        0       62
43035 libwinpr-timezone0.1               	       0        2        0        0        2
43036 libwinpr-tools3-3                  	       0        3        0        0        3
43037 libwinpr-utils0.1                  	       0       62        0        0       62
43038 libwinpr-winhttp0.1                	       0        2        0        0        2
43039 libwinpr-winsock0.1                	       0        2        0        0        2
43040 libwinpr2-2t64                     	       0       15        0        0       15
43041 libwinpr2-dev                      	       0        4        4        0        0
43042 libwirble-ruby1.9.1                	       0        2        0        0        2
43043 libwireplumber-0.5-dev             	       0        1        1        0        0
43044 libwireshark-data                  	       0      375        0        0      375
43045 libwireshark-dev                   	       0        3        3        0        0
43046 libwireshark11                     	       0       47        0        0       47
43047 libwireshark14                     	       0       80        0        0       80
43048 libwireshark15                     	       0        3        0        0        3
43049 libwireshark17                     	       0        3        0        0        3
43050 libwireshark17t64                  	       0        5        0        0        5
43051 libwireshark18                     	       0       21        0        0       21
43052 libwireshark2                      	       0        2        2        0        0
43053 libwireshark5                      	       0        9        0        0        9
43054 libwireshark8                      	       0        5        0        0        5
43055 libwiretap-dev                     	       0        3        3        0        0
43056 libwiretap11                       	       0       82        0        0       82
43057 libwiretap12                       	       0        3        0        0        3
43058 libwiretap14                       	       0        3        0        0        3
43059 libwiretap14t64                    	       0        5        0        0        5
43060 libwiretap15                       	       0       21        0        0       21
43061 libwiretap2                        	       0        2        0        0        2
43062 libwiretap3                        	       0        2        0        0        2
43063 libwiretap4                        	       0       11        0        0       11
43064 libwiretap6                        	       0        5        0        0        5
43065 libwiretap8                        	       0       49        0        0       49
43066 libwlroots-0.18                    	       0       14        0        0       14
43067 libwlroots-dev                     	       0        1        1        0        0
43068 libwlroots-examples                	       0        1        1        0        0
43069 libwlroots11                       	       0        2        0        0        2
43070 libwlroots12t64                    	       0        8        1        0        7
43071 libwlroots6                        	       0        2        1        0        1
43072 libwlroots7                        	       0        1        0        0        1
43073 libwmaker-dev                      	       0        1        1        0        0
43074 libwmaker1                         	       0        2        1        0        1
43075 libwmf-0.2-7                       	       0     1377        1        0     1376
43076 libwmf-0.2-7-gtk                   	       0       43        0        0       43
43077 libwmf-doc                         	       0        2        0        0        2
43078 libwmf0.2-7                        	       0      765        0        0      765
43079 libwmf0.2-7-gtk                    	       0       44        0        0       44
43080 libwnck-3-dev                      	       0       10       10        0        0
43081 libwnck-dev                        	       0        7        7        0        0
43082 libwnck-doc                        	       0        1        0        0        1
43083 libwnck2.20-cil                    	       0        1        0        0        1
43084 libwnn6-1                          	       0        1        0        0        1
43085 libwoff-dev                        	       0        6        6        0        0
43086 libwolfssl-dev                     	       0        4        4        0        0
43087 libwolfssl24                       	       0        1        0        0        1
43088 libwolfssl35                       	       0        3        0        0        3
43089 libwolfssl42t64                    	       0        2        0        0        2
43090 libwoodstox-java                   	       0       18        0        0       18
43091 libwordnet-querydata-perl          	       0        1        1        0        0
43092 libwpa-client-dev                  	       0        2        2        0        0
43093 libwpd-0.9-9                       	       0       15        0        0       15
43094 libwpd-dev                         	       0        5        5        0        0
43095 libwpd-doc                         	       0        2        0        0        2
43096 libwpd-stream8c2a                  	       0        3        0        0        3
43097 libwpd-tools                       	       0       10       10        0        0
43098 libwpd8c2a                         	       0        6        0        0        6
43099 libwpe-1.0-dev                     	       0        3        3        0        0
43100 libwpebackend-fdo-1.0-dev          	       0        1        1        0        0
43101 libwpewebkit-1.0-3                 	       0        1        0        0        1
43102 libwpewebkit-1.0-dev               	       0        1        1        0        0
43103 libwpewebkit-1.1-0                 	       0        3        0        0        3
43104 libwpewebkit-doc                   	       0        1        0        0        1
43105 libwpg-0.1-1                       	       0        5        0        0        5
43106 libwpg-0.2-2                       	       0       13        0        0       13
43107 libwpg-dev                         	       0        4        4        0        0
43108 libwpg-doc                         	       0        1        0        0        1
43109 libwpg-tools                       	       0        1        1        0        0
43110 libwps-0.1-1                       	       0        5        0        0        5
43111 libwps-0.2-2                       	       0       11        0        0       11
43112 libwps-0.3-3                       	       0       25        0        0       25
43113 libwps-dev                         	       0        3        3        0        0
43114 libwps-doc                         	       0        1        0        0        1
43115 libwps-tools                       	       0        1        1        0        0
43116 libwraster3                        	       0        6        0        0        6
43117 libwraster5                        	       0        8        0        0        8
43118 libwreport-dev                     	       0        1        1        0        0
43119 libwreport3                        	       0        2        0        0        2
43120 libwriter2latex-java               	       0       19        0        0       19
43121 libwriter2latex-java-doc           	       0        2        0        0        2
43122 libws-commons-util-java            	       0        3        0        0        3
43123 libwsclean2                        	       0        4        0        0        4
43124 libwscodecs1                       	       0        5        0        0        5
43125 libwscodecs2                       	       0       50        0        0       50
43126 libwsdl4j-java                     	       0        3        0        0        3
43127 libwsdl4j-java-doc                 	       0        1        0        0        1
43128 libwslay-dev                       	       0        1        1        0        0
43129 libwsman-client2                   	       0        1        0        0        1
43130 libwsman-curl-client-transport1    	       0        1        0        0        1
43131 libwsman-server1                   	       0        1        0        0        1
43132 libwsman1                          	       0        1        0        0        1
43133 libwsutil-dev                      	       0        3        3        0        0
43134 libwsutil10                        	       0        1        0        0        1
43135 libwsutil12                        	       0       83        0        0       83
43136 libwsutil13                        	       0        3        0        0        3
43137 libwsutil15                        	       0        3        0        0        3
43138 libwsutil15t64                     	       0        5        0        0        5
43139 libwsutil16                        	       0       21        0        0       21
43140 libwsutil2                         	       0        2        0        0        2
43141 libwsutil3                         	       0        2        0        0        2
43142 libwsutil4                         	       0       11        0        0       11
43143 libwsutil7                         	       0        5        0        0        5
43144 libwsutil9                         	       0       50        0        0       50
43145 libwutil2                          	       0        2        0        0        2
43146 libwutil3                          	       0        4        0        0        4
43147 libwv-1.2-4                        	       0      113        1        0      112
43148 libwv-1.2-4t64                     	       0        8        0        0        8
43149 libwv-dev                          	       0        1        1        0        0
43150 libwv2-1c2                         	       0        1        0        0        1
43151 libwv2-4                           	       0        5        0        0        5
43152 libwv2-dev                         	       0        1        1        0        0
43153 libwvstreams4.6-base               	       0       29        0        0       29
43154 libwvstreams4.6-extras             	       0       29        0        0       29
43155 libwvstreams4.6t64-base            	       0        1        0        0        1
43156 libwvstreams4.6t64-extras          	       0        1        0        0        1
43157 libwww-curl-perl                   	       0       32        0        0       32
43158 libwww-curl-simple-perl            	       0        2        2        0        0
43159 libwww-dev                         	       0        1        1        0        0
43160 libwww-dict-leo-org-perl           	       0        1        1        0        0
43161 libwww-finger-perl                 	       0        1        1        0        0
43162 libwww-indexparser-perl            	       0        1        1        0        0
43163 libwww-mechanize-autopager-perl    	       0        1        1        0        0
43164 libwww-mechanize-formfiller-perl   	       0        2        2        0        0
43165 libwww-mechanize-gzip-perl         	       0        1        1        0        0
43166 libwww-mechanize-ruby1.9.1         	       0        1        0        0        1
43167 libwww-mechanize-shell-perl        	       0        1        1        0        0
43168 libwww-mechanize-treebuilder-perl  	       0        2        2        0        0
43169 libwww-opensearch-perl             	       0       10       10        0        0
43170 libwww-search-perl                 	       0        1        1        0        0
43171 libwww-shorten-perl                	       0        1        1        0        0
43172 libwww-youtube-download-perl       	       0        3        2        1        0
43173 libwww0                            	       0        1        1        0        0
43174 libwwwbrowser-perl                 	       0        1        1        0        0
43175 libwx-glcanvas-perl                	       0       27       19        0        8
43176 libwx-perl-datawalker-perl         	       0        4        4        0        0
43177 libwx-perl-processstream-perl      	       0        5        5        0        0
43178 libwx-scintilla-perl               	       0        5        0        0        5
43179 libwxbase2.6-0                     	       0        4        0        0        4
43180 libwxbase2.6-dev                   	       0        1        1        0        0
43181 libwxbase2.8-0                     	       0       12        1        0       11
43182 libwxbase2.8-dbg                   	       0        1        0        0        1
43183 libwxbase2.8-dev                   	       0        5        0        0        5
43184 libwxbase3.0-0                     	       0        6        0        0        6
43185 libwxbase3.0-0-unofficial          	       0        2        0        0        2
43186 libwxbase3.0-dbg                   	       0        1        0        0        1
43187 libwxbase3.0-dev                   	       0       67        0        0       67
43188 libwxbase3.1-0-unofficial          	       0        1        0        0        1
43189 libwxbase3.1-0-unofficial3         	       0        1        0        0        1
43190 libwxbase3.1-dev                   	       0        1        1        0        0
43191 libwxbase3.1unofficial3-dev        	       0        1        1        0        0
43192 libwxbase3.2-0                     	       0        1        0        0        1
43193 libwxgtk-gl3.2-1t64                	       0       44        0        0       44
43194 libwxgtk-media3.0-0v5              	       0        9        0        0        9
43195 libwxgtk-media3.0-dev              	       0        4        0        0        4
43196 libwxgtk-media3.0-gtk3-0v5         	       0       27        0        0       27
43197 libwxgtk-media3.0-gtk3-dev         	       0       24        0        0       24
43198 libwxgtk-media3.2-1                	       0       41        0        0       41
43199 libwxgtk-media3.2-1t64             	       0        5        0        0        5
43200 libwxgtk-media3.2-dev              	       0       42        0        0       42
43201 libwxgtk-webview3.0-0v5            	       0        3        0        0        3
43202 libwxgtk-webview3.0-dev            	       0        1        0        0        1
43203 libwxgtk-webview3.0-gtk3-0v5       	       0       13        1        0       12
43204 libwxgtk-webview3.0-gtk3-dev       	       0        7        0        0        7
43205 libwxgtk-webview3.2-0              	       0        1        0        0        1
43206 libwxgtk-webview3.2-1              	       0       73        1        0       72
43207 libwxgtk-webview3.2-1t64           	       0        8        0        0        8
43208 libwxgtk-webview3.2-dev            	       0        6        0        0        6
43209 libwxgtk2.6-0                      	       0        1        0        0        1
43210 libwxgtk2.8-0                      	       0       11        1        0       10
43211 libwxgtk2.8-dbg                    	       0        1        0        0        1
43212 libwxgtk2.8-dev                    	       0        5        0        0        5
43213 libwxgtk3.0-0                      	       0        5        0        0        5
43214 libwxgtk3.0-0-unofficial           	       0        2        0        0        2
43215 libwxgtk3.0-0v5                    	       0      146        0        0      146
43216 libwxgtk3.0-dbg                    	       0        1        0        0        1
43217 libwxgtk3.0-dev                    	       0        9        0        0        9
43218 libwxgtk3.0-gtk3-dev               	       0       50        0        0       50
43219 libwxgtk3.1-0-unofficial3          	       0        1        0        0        1
43220 libwxgtk3.1unofficial3-dev         	       0        1        1        0        0
43221 libwxgtk3.2-0                      	       0        1        0        0        1
43222 libwxgtk3.2-dev                    	       0       64        0        0       64
43223 libwxsmithlib-dev                  	       0        4        4        0        0
43224 libwxsmithlib0                     	       0       17        1        0       16
43225 libwxsmithlib0t64                  	       0        2        0        0        2
43226 libwxsqlite3-3.0-0                 	       0        9        0        0        9
43227 libwxsqlite3-3.0-dev               	       0        5        1        0        4
43228 libwxsqlite3-3.2-0                 	       0       21        0        0       21
43229 libwxsqlite3-3.2-0t64              	       0        4        0        0        4
43230 libwxsqlite3-3.2-dev               	       0        4        4        0        0
43231 libwxsvg-dev                       	       0        5        5        0        0
43232 libwxsvg-tools                     	       0        6        6        0        0
43233 libwxsvg3                          	       0       14        0        0       14
43234 libx11-data                        	       0     4114        0        0     4114
43235 libx11-doc                         	       0      229        0        0      229
43236 libx11-freedesktop-desktopentry-perl	       0        3        3        0        0
43237 libx11-guitest-perl                	       0        3        0        0        3
43238 libx11-keyboard-perl               	       0        4        4        0        0
43239 libx11-protocol-other-perl         	       0       39       38        1        0
43240 libx11-windowhierarchy-perl        	       0        4        4        0        0
43241 libx11-xcb-perl                    	       0        6        0        0        6
43242 libx264-112                        	       0        3        0        0        3
43243 libx264-118                        	       0        5        0        0        5
43244 libx264-120                        	       0        4        1        0        3
43245 libx264-123                        	       0       23        0        0       23
43246 libx264-124                        	       0        7        0        0        7
43247 libx264-125                        	       0        1        0        0        1
43248 libx264-129                        	       0        1        0        0        1
43249 libx264-130                        	       0        2        0        0        2
43250 libx264-132                        	       0        8        0        0        8
43251 libx264-138                        	       0        1        0        0        1
43252 libx264-140                        	       0        1        0        0        1
43253 libx264-142                        	       0       82        2        0       80
43254 libx264-146                        	       0       13        0        0       13
43255 libx264-148                        	       0      338        2        0      336
43256 libx264-150                        	       0        8        0        0        8
43257 libx264-152                        	       0        7        0        0        7
43258 libx264-157                        	       0       12        1        0       11
43259 libx264-161                        	       0        1        0        0        1
43260 libx264-163                        	       0       11        0        0       11
43261 libx264-54                         	       0        1        0        0        1
43262 libx264-93                         	       0        1        0        0        1
43263 libx264-dev                        	       0       52       52        0        0
43264 libx265-102                        	       0        1        0        0        1
43265 libx265-116                        	       0        8        0        0        8
43266 libx265-130                        	       0        2        0        0        2
43267 libx265-146                        	       0        2        0        0        2
43268 libx265-151                        	       0        1        0        0        1
43269 libx265-160                        	       0        4        0        0        4
43270 libx265-169                        	       0        3        0        0        3
43271 libx265-176                        	       0       10        1        0        9
43272 libx265-179                        	       0        2        1        0        1
43273 libx265-212                        	       0        5        2        0        3
43274 libx265-31                         	       0        2        0        0        2
43275 libx265-43                         	       0        1        0        0        1
43276 libx265-51                         	       0       12        0        0       12
43277 libx265-59                         	       0        4        0        0        4
43278 libx265-79                         	       0        5        0        0        5
43279 libx265-87                         	       0        7        0        0        7
43280 libx265-95                         	       0      336        2        0      334
43281 libx265-dev                        	       0      181      176        5        0
43282 libx265-doc                        	       0        4        0        0        4
43283 libx32asan1                        	       0        5        0        0        5
43284 libx32asan3                        	       0        8        0        0        8
43285 libx32asan5                        	       0       17        0        0       17
43286 libx32asan5-i386-cross             	       0        1        0        0        1
43287 libx32asan6                        	       0       93        0        0       93
43288 libx32asan6-i386-cross             	       0        1        0        0        1
43289 libx32asan8                        	       0      137        0        0      137
43290 libx32asan8-i386-cross             	       0        3        0        0        3
43291 libx32atomic1                      	       0      187        0        0      187
43292 libx32atomic1-dbgsym               	       0        1        1        0        0
43293 libx32atomic1-i386-cross           	       0        4        0        0        4
43294 libx32cilkrts5                     	       0       10        0        0       10
43295 libx32gcc-10-dev                   	       0       88        0        0       88
43296 libx32gcc-11-dev                   	       0        8        0        0        8
43297 libx32gcc-11-dev-i386-cross        	       0        1        0        0        1
43298 libx32gcc-12-dev                   	       0      126        0        0      126
43299 libx32gcc-12-dev-i386-cross        	       0        2        0        0        2
43300 libx32gcc-13-dev                   	       0       19        0        0       19
43301 libx32gcc-14-dev                   	       0       21        0        0       21
43302 libx32gcc-14-dev-i386-cross        	       0        1        0        0        1
43303 libx32gcc-4.7-dev                  	       0        1        0        0        1
43304 libx32gcc-4.9-dev                  	       0        5        0        0        5
43305 libx32gcc-6-dev                    	       0        8        0        0        8
43306 libx32gcc-8-dev                    	       0       10        0        0       10
43307 libx32gcc-8-dev-i386-cross         	       0        1        0        0        1
43308 libx32gcc-9-dev                    	       0        3        0        0        3
43309 libx32gcc-s1                       	       0      169        0        0      169
43310 libx32gcc-s1-dbgsym                	       0        1        1        0        0
43311 libx32gcc-s1-i386-cross            	       0        3        0        0        3
43312 libx32gcc1                         	       0       18        0        0       18
43313 libx32gcc1-i386-cross              	       0        1        0        0        1
43314 libx32gfortran-10-dev              	       0       13        0        0       13
43315 libx32gfortran-11-dev              	       0        2        0        0        2
43316 libx32gfortran-12-dev              	       0       16        0        0       16
43317 libx32gfortran-13-dev              	       0        3        0        0        3
43318 libx32gfortran-14-dev              	       0        2        0        0        2
43319 libx32gfortran-4.9-dev             	       0        1        0        0        1
43320 libx32gfortran-6-dev               	       0        1        0        0        1
43321 libx32gfortran-8-dev               	       0        1        0        0        1
43322 libx32gfortran3                    	       0        1        0        0        1
43323 libx32gfortran5                    	       0       20        0        0       20
43324 libx32gfortran5-dbgsym             	       0        1        1        0        0
43325 libx32go-11-dev-i386-cross         	       0        1        0        0        1
43326 libx32go-13-dev                    	       0        1        0        0        1
43327 libx32go19-i386-cross              	       0        1        0        0        1
43328 libx32go22                         	       0        1        0        0        1
43329 libx32gomp1                        	       0      188        0        0      188
43330 libx32gomp1-dbgsym                 	       0        1        1        0        0
43331 libx32gomp1-i386-cross             	       0        4        0        0        4
43332 libx32gphobos-13-dev               	       0        1        0        0        1
43333 libx32gphobos4                     	       0        1        0        0        1
43334 libx32gphobos4-dbgsym              	       0        1        1        0        0
43335 libx32itm1                         	       0      188        0        0      188
43336 libx32itm1-dbgsym                  	       0        1        1        0        0
43337 libx32itm1-i386-cross              	       0        4        0        0        4
43338 libx32objc-12-dev                  	       0        1        0        0        1
43339 libx32objc-13-dev                  	       0        1        0        0        1
43340 libx32objc-4.9-dev                 	       0        1        0        0        1
43341 libx32objc-6-dev                   	       0        1        0        0        1
43342 libx32objc4                        	       0        3        0        0        3
43343 libx32objc4-dbgsym                 	       0        1        1        0        0
43344 libx32quadmath0                    	       0      187        0        0      187
43345 libx32quadmath0-dbgsym             	       0        1        1        0        0
43346 libx32quadmath0-i386-cross         	       0        4        0        0        4
43347 libx32stdc++-10-dev                	       0       34       34        0        0
43348 libx32stdc++-11-dev                	       0        5        5        0        0
43349 libx32stdc++-12-dev                	       0       66       63        3        0
43350 libx32stdc++-13-dev                	       0        9        9        0        0
43351 libx32stdc++-14-dev                	       0       13       13        0        0
43352 libx32stdc++-4.9-dev               	       0        2        2        0        0
43353 libx32stdc++-6-dev                 	       0        2        2        0        0
43354 libx32stdc++-8-dev                 	       0        5        5        0        0
43355 libx32stdc++6                      	       0      184        0        0      184
43356 libx32stdc++6-10-dbg               	       0        1        1        0        0
43357 libx32stdc++6-12-dbg               	       0        1        1        0        0
43358 libx32stdc++6-dbgsym               	       0        1        1        0        0
43359 libx32stdc++6-i386-cross           	       0        4        0        0        4
43360 libx32ubsan0                       	       0       10        0        0       10
43361 libx32ubsan1                       	       0      176        0        0      176
43362 libx32ubsan1-i386-cross            	       0        4        0        0        4
43363 libx86-1                           	       0     1596        0        0     1596
43364 libx86-dev                         	       0        1        1        0        0
43365 libx86emu1                         	       0       18        0        0       18
43366 libx86emu2                         	       0       14        0        0       14
43367 libx86emu3                         	       0      307        0        0      307
43368 libxalan-c-doc                     	       0        1        0        0        1
43369 libxalan-c111                      	       0        4        0        0        4
43370 libxalan-c112                      	       0       11        0        0       11
43371 libxalan2-java                     	       0      216        0        0      216
43372 libxalan2-java-doc                 	       0        8        8        0        0
43373 libxalan2-java-gcj                 	       0        1        1        0        0
43374 libxapian15                        	       0        5        0        0        5
43375 libxapp-dev                        	       0        2        2        0        0
43376 libxatracker-amdgpu-dev            	       0        1        1        0        0
43377 libxatracker-dev                   	       0        4        4        0        0
43378 libxatracker2-amdgpu               	       0        9        0        0        9
43379 libxavs2-dev                       	       0        1        1        0        0
43380 libxaw-doc                         	       0        3        0        0        3
43381 libxaw3dxft6                       	       0       57        0        0       57
43382 libxaw3dxft8                       	       0        5        0        0        5
43383 libxaw6                            	       0        2        0        0        2
43384 libxaw7-dev                        	       0       95       94        1        0
43385 libxbae-dev                        	       0        3        3        0        0
43386 libxbae4m                          	       0       25        0        0       25
43387 libxbase2.0-0                      	       0        2        0        0        2
43388 libxbase2.0-bin                    	       0        1        1        0        0
43389 libxbase2.0-dev                    	       0        2        2        0        0
43390 libxbase64-1                       	       0        2        0        0        2
43391 libxbase64-bin                     	       0        1        1        0        0
43392 libxbase64-dev                     	       0        2        2        0        0
43393 libxbase64-doc                     	       0        2        0        0        2
43394 libxbean-java                      	       0        7        0        0        7
43395 libxbean-reflect-java              	       0       70        0        0       70
43396 libxc-dev                          	       0        1        1        0        0
43397 libxc5                             	       0        1        0        0        1
43398 libxc9                             	       0        2        0        0        2
43399 libxcb-atom1                       	       0        6        0        0        6
43400 libxcb-aux0                        	       0        6        0        0        6
43401 libxcb-build-deps-depends          	       0        1        0        0        1
43402 libxcb-composite0-dev              	       0       52       50        2        0
43403 libxcb-cursor-dev                  	       0       43       41        2        0
43404 libxcb-damage0-dev                 	       0       30       29        1        0
43405 libxcb-doc                         	       0       16        0        0       16
43406 libxcb-dpms0-dev                   	       0       18       18        0        0
43407 libxcb-errors-dev                  	       0        1        0        1        0
43408 libxcb-event1                      	       0        6        0        0        6
43409 libxcb-ewmh-dev                    	       0       23       21        2        0
43410 libxcb-icccm4-dev                  	       0       51       48        3        0
43411 libxcb-image0-dev                  	       0       60       58        2        0
43412 libxcb-imdkit-dev                  	       0        7        7        0        0
43413 libxcb-imdkit0                     	       0        3        0        0        3
43414 libxcb-keysyms1-dev                	       0       55       54        1        0
43415 libxcb-record0-dev                 	       0       12       12        0        0
43416 libxcb-render-util0-dev            	       0       60       58        2        0
43417 libxcb-res0-dev                    	       0       19       17        2        0
43418 libxcb-screensaver0-dev            	       0       15       15        0        0
43419 libxcb-sync0                       	       0        1        0        0        1
43420 libxcb-util-dev                    	       0       58       56        2        0
43421 libxcb-util0-dev                   	       0       37        4        0       33
43422 libxcb-util1-dbgsym                	       0        1        1        0        0
43423 libxcb-xf86dri0                    	       0       49        0        0       49
43424 libxcb-xf86dri0-dev                	       0       15       15        0        0
43425 libxcb-xinerama0-dev               	       0       55       53        2        0
43426 libxcb-xinput-dev                  	       0       25       25        0        0
43427 libxcb-xinput0-dbgsym              	       0        1        1        0        0
43428 libxcb-xrm-dev                     	       0       20       19        1        0
43429 libxcb-xtest0-dev                  	       0       23       22        1        0
43430 libxcb-xv0                         	       0     1757        9        0     1748
43431 libxcb-xv0-dev                     	       0       23       23        0        0
43432 libxcb-xvmc0                       	       0       10        0        0       10
43433 libxcb-xvmc0-dev                   	       0        9        9        0        0
43434 libxcb1-dbgsym                     	       0        1        1        0        0
43435 libxcomp-dev                       	       0        1        1        0        0
43436 libxcomp3t64                       	       0        9        1        0        8
43437 libxcompext3                       	       0        2        0        0        2
43438 libxcompshad-dev                   	       0        1        1        0        0
43439 libxcompshad3t64                   	       0        4        1        0        3
43440 libxcrypt-dev                      	       0        1        1        0        0
43441 libxcrypt-source                   	       0        3        3        0        0
43442 libxcrypt1                         	       0        4        0        0        4
43443 libxcvt-dev                        	       0       58       56        2        0
43444 libxdelta2                         	       0      186        0        0      186
43445 libxdelta2t64                      	       0       29        0        0       29
43446 libxdf0                            	       0        4        0        0        4
43447 libxdg-basedir-dev                 	       0        3        3        0        0
43448 libxdgutilsbasedir1.0.1            	       0      650        0        0      650
43449 libxdgutilsdesktopentry1.0.1       	       0      650        0        0      650
43450 libxdmf2                           	       0        1        0        0        1
43451 libxdo-dev                         	       0        1        1        0        0
43452 libxdo2                            	       0        1        0        0        1
43453 libxdot4                           	       0      176        0        0      176
43454 libxdp-dev                         	       0        3        3        0        0
43455 libxen-4.1                         	       0        6        0        0        6
43456 libxen-4.3                         	       0        1        0        0        1
43457 libxen-4.4                         	       0       21        0        0       21
43458 libxen-4.8                         	       0       35        0        0       35
43459 libxen-dev                         	       0       13       13        0        0
43460 libxenmisc4.11                     	       0       58        1        0       57
43461 libxenmisc4.16                     	       0        5        2        0        3
43462 libxerces-c-dev                    	       0       44        0        0       44
43463 libxerces-c-doc                    	       0        5        0        0        5
43464 libxerces-c-samples                	       0        1        1        0        0
43465 libxerces-c2-dev                   	       0        1        1        0        0
43466 libxerces-c28                      	       0        3        0        0        3
43467 libxerces-c3.1                     	       0       38        0        0       38
43468 libxerces-c3.2t64                  	       0       84        0        0       84
43469 libxerces2-java                    	       0      585        0        0      585
43470 libxerces2-java-doc                	       0        5        0        0        5
43471 libxerces2-java-gcj                	       0        2        2        0        0
43472 libxerces27                        	       0        1        0        0        1
43473 libxerial-sqlite-jdbc-java         	       0        2        0        0        2
43474 libxerial-sqlite-jdbc-jni          	       0        2        0        0        2
43475 libxeus-python0                    	       0        1        0        0        1
43476 libxeus6                           	       0        1        0        0        1
43477 libxevie1                          	       0        1        0        0        1
43478 libxext-doc                        	       0       11        0        0       11
43479 libxfce4mcs-client3                	       0        2        0        0        2
43480 libxfce4mcs-manager3               	       0        2        0        0        2
43481 libxfce4menu-0.1-0                 	       0        1        0        0        1
43482 libxfce4panel-2.0-4-dbgsym         	       0        2        2        0        0
43483 libxfce4panel-2.0-dev              	       0       18       17        1        0
43484 libxfce4ui-1-0                     	       0      441        0        0      441
43485 libxfce4ui-1-dev                   	       0        7        7        0        0
43486 libxfce4ui-2-0-dbgsym              	       0        2        2        0        0
43487 libxfce4ui-2-dev                   	       0       23       22        1        0
43488 libxfce4ui-build-deps              	       0        1        0        0        1
43489 libxfce4ui-glade                   	       0        5        0        0        5
43490 libxfce4ui-glade-dbgsym            	       0        1        1        0        0
43491 libxfce4ui-utils-dbgsym            	       0        2        2        0        0
43492 libxfce4util-bin-dbgsym            	       0        2        2        0        0
43493 libxfce4util-build-deps            	       0        1        0        0        1
43494 libxfce4util-common                	       0     2116        1        0     2115
43495 libxfce4util-dev                   	       0       31       30        1        0
43496 libxfce4util4                      	       0        8        0        0        8
43497 libxfce4util6                      	       0       23        0        0       23
43498 libxfce4util7-dbgsym               	       0        2        2        0        0
43499 libxfcegui4-4                      	       0       24        0        0       24
43500 libxfcegui4-dev                    	       0        2        2        0        0
43501 libxfconf-0-2                      	       0      420        8        0      412
43502 libxfconf-0-3-dbgsym               	       0        1        1        0        0
43503 libxfconf-0-dev                    	       0       28       27        1        0
43504 libxfont-dev                       	       0       82       81        1        0
43505 libxfont1                          	       0      121        0        0      121
43506 libxfont1-dev                      	       0        3        3        0        0
43507 libxfontp1                         	       0        1        0        0        1
43508 libxfreerdp-client1.1              	       0       27        0        0       27
43509 libxgks2                           	       0        1        0        0        1
43510 libxgks2-data                      	       0        1        1        0        0
43511 libxine-dev                        	       0        1        1        0        0
43512 libxine1                           	       0        3        0        0        3
43513 libxine1-bin                       	       0        6        6        0        0
43514 libxine1-console                   	       0        5        5        0        0
43515 libxine1-doc                       	       0        1        0        0        1
43516 libxine1-ffmpeg                    	       0        4        4        0        0
43517 libxine1-gnome                     	       0        2        2        0        0
43518 libxine1-misc-plugins              	       0        3        3        0        0
43519 libxine1-plugins                   	       0        1        0        0        1
43520 libxine1-x                         	       0        4        4        0        0
43521 libxine2                           	       0       95        0        0       95
43522 libxine2-all-plugins               	       0        2        0        0        2
43523 libxine2-bin                       	       0      113      112        1        0
43524 libxine2-console                   	       0       16        1        0       15
43525 libxine2-dev                       	       0        3        3        0        0
43526 libxine2-doc                       	       0       40        0        0       40
43527 libxine2-ffmpeg                    	       0      109        6        0      103
43528 libxine2-gnome                     	       0        5        1        0        4
43529 libxine2-misc-plugins              	       0      104        6        0       98
43530 libxine2-plugins                   	       0       94        0        0       94
43531 libxine2-x                         	       0       95        5        0       90
43532 libxine2-xvdr                      	       0        3        0        0        3
43533 libxineliboutput-sxfe              	       0        2        2        0        0
43534 libxisf0                           	       0        1        0        0        1
43535 libxkbcommon-doc                   	       0        2        0        0        2
43536 libxkbfile-dev                     	       0      109      108        1        0
43537 libxkbui1                          	       0        2        0        0        2
43538 libxklavier-dev                    	       0       13       12        1        0
43539 libxklavier10                      	       0        1        0        0        1
43540 libxklavier12                      	       0        2        0        0        2
43541 libxlsxwriter-dev                  	       0        1        1        0        0
43542 libxlsxwriter4                     	       0       11        0        0       11
43543 libxlsxwriter5                     	       0        1        0        0        1
43544 libxmhtml-dev                      	       0        2        2        0        0
43545 libxmhtml1.1                       	       0       25        0        0       25
43546 libxml++2.6-2                      	       0        1        0        0        1
43547 libxml++2.6-2v5                    	       0      373        1        0      372
43548 libxml++2.6-dev                    	       0       15       15        0        0
43549 libxml-atom-owl-perl               	       0        2        2        0        0
43550 libxml-atom-perl                   	       0       11       11        0        0
43551 libxml-bare-perl                   	       0        1        0        0        1
43552 libxml-catalog-perl                	       0        6        6        0        0
43553 libxml-checker-perl                	       0        2        2        0        0
43554 libxml-commonns-perl               	       0        4        4        0        0
43555 libxml-commons-external-java       	       0      936        0        0      936
43556 libxml-commons-resolver1.1-java    	       0      742        0        0      742
43557 libxml-commons-resolver1.1-java-doc	       0        5        0        0        5
43558 libxml-compacttree-perl            	       0        1        1        0        0
43559 libxml-compile-perl                	       0        1        1        0        0
43560 libxml-descent-perl                	       0        8        8        0        0
43561 libxml-dom-xpath-perl              	       0        4        4        0        0
43562 libxml-dt-perl                     	       0        2        2        0        0
43563 libxml-dtdparser-perl              	       0        2        2        0        0
43564 libxml-feed-perl                   	       0       11       11        0        0
43565 libxml-feedpp-mediarss-perl        	       0        1        1        0        0
43566 libxml-feedpp-perl                 	       0        1        1        0        0
43567 libxml-filter-buffertext-perl      	       0        7        7        0        0
43568 libxml-generator-perl              	       0        3        3        0        0
43569 libxml-grove-perl                  	       0        3        3        0        0
43570 libxml-handler-trees-perl          	       0        6        6        0        0
43571 libxml-handler-yawriter-perl       	       0        1        1        0        0
43572 libxml-java                        	       0      745        0        0      745
43573 libxml-libxml-lazybuilder-perl     	       0        3        3        0        0
43574 libxml-libxml-simple-perl          	       0      115      112        3        0
43575 libxml-maven-plugin-java           	       0        1        0        0        1
43576 libxml-mini-perl                   	       0        1        1        0        0
43577 libxml-namespace-perl              	       0        3        3        0        0
43578 libxml-namespacefactory-perl       	       0        4        4        0        0
43579 libxml-opml-perl                   	       0        1        1        0        0
43580 libxml-parser-easytree-perl        	       0        1        1        0        0
43581 libxml-parser-lite-perl            	       0        1        1        0        0
43582 libxml-rss-feed-perl               	       0        1        1        0        0
43583 libxml-rss-libxml-perl             	       0        3        3        0        0
43584 libxml-rss-perl                    	       0       24       24        0        0
43585 libxml-rss-simplegen-perl          	       0        1        1        0        0
43586 libxml-rsslite-perl                	       0        1        1        0        0
43587 libxml-ruby                        	       0        1        0        0        1
43588 libxml-ruby1.9.1                   	       0        1        0        0        1
43589 libxml-sax-expatxs-perl            	       0       34        0        0       34
43590 libxml-sax-writer-perl             	       0        7        7        0        0
43591 libxml-security-c17v5              	       0        7        0        0        7
43592 libxml-security-c20                	       0        3        0        0        3
43593 libxml-security-java               	       0        5        0        0        5
43594 libxml-simpleobject-perl           	       0        1        1        0        0
43595 libxml-smart-perl                  	       0        1        1        0        0
43596 libxml-struct-perl                 	       0        1        1        0        0
43597 libxml-structured-perl             	       0        1        1        0        0
43598 libxml-tidy-perl                   	       0        2        2        0        0
43599 libxml-tokeparser-perl             	       0       10       10        0        0
43600 libxml-treebuilder-perl            	       0        6        6        0        0
43601 libxml-treepuller-perl             	       0        1        1        0        0
43602 libxml-writer-simple-perl          	       0        2        2        0        0
43603 libxml-writer-string-perl          	       0        2        2        0        0
43604 libxml-xql-perl                    	       0       15       14        1        0
43605 libxml1                            	       0        1        0        0        1
43606 libxml2-dbg                        	       0        1        1        0        0
43607 libxml2-doc                        	       0        8        0        0        8
43608 libxml2-utils-dbg                  	       0        1        1        0        0
43609 libxmlada-doc                      	       0        1        0        0        1
43610 libxmlada-dom10-dev                	       0        1        0        0        1
43611 libxmlada-dom12-dev                	       0        1        0        0        1
43612 libxmlada-dom4.5.2015              	       0        2        0        0        2
43613 libxmlada-dom5                     	       0        1        0        0        1
43614 libxmlada-dom7                     	       0        2        0        0        2
43615 libxmlada-dom8                     	       0        7        0        0        7
43616 libxmlada-input-sources4.5.2015    	       0        2        0        0        2
43617 libxmlada-input10-dev              	       0        1        0        0        1
43618 libxmlada-input12-dev              	       0        1        0        0        1
43619 libxmlada-input5                   	       0        1        0        0        1
43620 libxmlada-input7                   	       0        9        0        0        9
43621 libxmlada-sax10-dev                	       0        1        0        0        1
43622 libxmlada-sax12-dev                	       0        1        0        0        1
43623 libxmlada-sax4.5.2015              	       0        2        0        0        2
43624 libxmlada-sax5                     	       0        1        0        0        1
43625 libxmlada-sax7                     	       0        9        0        0        9
43626 libxmlada-schema10-dev             	       0        1        0        0        1
43627 libxmlada-schema12-dev             	       0        1        0        0        1
43628 libxmlada-schema4.5.2015           	       0        2        0        0        2
43629 libxmlada-schema5                  	       0        1        0        0        1
43630 libxmlada-schema7                  	       0        9        0        0        9
43631 libxmlada-unicode10-dev            	       0        1        0        0        1
43632 libxmlada-unicode12-dev            	       0        1        0        0        1
43633 libxmlada-unicode4.5.2015          	       0        2        0        0        2
43634 libxmlada-unicode5                 	       0        1        0        0        1
43635 libxmlada-unicode7                 	       0        9        0        0        9
43636 libxmlb-dev                        	       0       16       16        0        0
43637 libxmlb-utils                      	       0        3        3        0        0
43638 libxmlbeans-java                   	       0      366        0        0      366
43639 libxmlbeans-maven-plugin-java      	       0        1        0        0        1
43640 libxmlbird1                        	       0        7        0        0        7
43641 libxmlbird1t64                     	       0        3        0        0        3
43642 libxmlezout7                       	       0        4        0        0        4
43643 libxmlgraphics-commons-java        	       0      607        0        0      607
43644 libxmlgraphics-commons-java-doc    	       0        8        0        0        8
43645 libxmlrpc-c++8-dev                 	       0        1        1        0        0
43646 libxmlrpc-c++8v5                   	       0        2        0        0        2
43647 libxmlrpc-core-c3                  	       0       94        4        0       90
43648 libxmlrpc-core-c3-dbgsym           	       0        1        1        0        0
43649 libxmlrpc-core-c3-dev              	       0        5        5        0        0
43650 libxmlrpc-core-c3-dev-dbgsym       	       0        1        1        0        0
43651 libxmlrpc-core-c3t64               	       0       16        0        0       16
43652 libxmlrpc-epi-dev                  	       0        1        1        0        0
43653 libxmlrpc-util-dev                 	       0        1        0        0        1
43654 libxmlrpc-util4                    	       0       16        0        0       16
43655 libxmlrpc3-common-java             	       0        1        0        0        1
43656 libxmlrpcpp-dev                    	       0        4        4        0        0
43657 libxmlrpcpp3d                      	       0        5        0        0        5
43658 libxmlsec1-dev                     	       0       11       11        0        0
43659 libxmlsec1-doc                     	       0        3        0        0        3
43660 libxmlsec1-gcrypt                  	       0       26        0        0       26
43661 libxmlsec1-gnutls                  	       0       26        0        0       26
43662 libxmlsec1t64-gcrypt               	       0        1        0        0        1
43663 libxmlsec1t64-gnutls               	       0        1        0        0        1
43664 libxmlsec1t64-openssl              	       0       72        4        0       68
43665 libxmltok1                         	       0        7        0        0        7
43666 libxmltok1-dev                     	       0        3        3        0        0
43667 libxmltooling10                    	       0        1        0        0        1
43668 libxmlunit-java                    	       0       28        0        0       28
43669 libxmmsclient++-dev                	       0        1        1        0        0
43670 libxmmsclient++4v5                 	       0        2        0        0        2
43671 libxmmsclient-dev                  	       0        3        3        0        0
43672 libxmmsclient-glib1                	       0       79        3        0       76
43673 libxmp-dev                         	       0        3        3        0        0
43674 libxmp4                            	       0       56        0        0       56
43675 libxmpcore-java                    	       0       68        0        0       68
43676 libxmpi4                           	       0        1        0        0        1
43677 libxmpi4-dev                       	       0        1        1        0        0
43678 libxmu-dev                         	       0      158        0        0      158
43679 libxmuu-dev                        	       0       62        0        0       62
43680 libxnee0                           	       0       10        0        0       10
43681 libxneur                           	       0        1        0        0        1
43682 libxnnpack0                        	       0      106        0        0      106
43683 libxnnpack0.20241108               	       0        5        0        0        5
43684 libxnvctrl-dev                     	       0       13       13        0        0
43685 libxom-java                        	       0      447        0        0      447
43686 libxom-java-doc                    	       0        4        0        0        4
43687 libxosd2                           	       0       61        1        0       60
43688 libxp-dev                          	       0        5        0        0        5
43689 libxp6                             	       0       26        0        0       26
43690 libxp6-dbg                         	       0        1        1        0        0
43691 libxpa-dev                         	       0        1        1        0        0
43692 libxpa1                            	       0       16        0        0       16
43693 libxplc0.3.13                      	       0        3        0        0        3
43694 libxplc0.3.13-dev                  	       0        1        1        0        0
43695 libxpp2-java                       	       0      184        0        0      184
43696 libxpp3-java                       	       0      273        0        0      273
43697 libxpresent-build-deps             	       0        1        0        0        1
43698 libxpresent-dev                    	       0       21       20        1        0
43699 libxpresent1-dbgsym                	       0        1        1        0        0
43700 libxprintapputil1                  	       0        3        0        0        3
43701 libxprintutil1                     	       0        3        0        0        3
43702 libxrd-parser-perl                 	       0        1        1        0        0
43703 libxrdapputils2                    	       0        1        0        0        1
43704 libxrdcl3                          	       0        2        0        0        2
43705 libxrdcrypto2                      	       0        2        0        0        2
43706 libxrdcryptolite2                  	       0        2        0        0        2
43707 libxrdesktop-0.15-0                	       0        2        0        0        2
43708 libxrdffs3                         	       0        2        0        0        2
43709 libxrdhttputils2                   	       0        1        0        0        1
43710 libxrdposix3                       	       0        2        0        0        2
43711 libxrdserver3                      	       0        1        0        0        1
43712 libxrdssilib2                      	       0        1        0        0        1
43713 libxrdssishmap2                    	       0        1        0        0        1
43714 libxrdutils3                       	       0        2        0        0        2
43715 libxrdxml3                         	       0        2        0        0        2
43716 libxres-dev                        	       0       74       73        1        0
43717 libxrootd-client-dev               	       0        1        0        0        1
43718 libxrootd-dev                      	       0        1        1        0        0
43719 libxrootd-private-dev              	       0        1        0        0        1
43720 libxrootd-server-dev               	       0        1        0        0        1
43721 libxs-object-magic-perl            	       0        6        0        0        6
43722 libxs-parse-keyword-perl           	       0      572        0        0      572
43723 libxs-parse-sublike-perl           	       0      250        0        0      250
43724 libxsettings-client-dev            	       0        1        1        0        0
43725 libxsettings-client0               	       0       39        0        0       39
43726 libxsettings-dev                   	       0        1        1        0        0
43727 libxsettings0                      	       0        1        0        0        1
43728 libxsimd-dev                       	       0      406        0        0      406
43729 libxsimd-doc                       	       0        1        0        0        1
43730 libxsltc-java                      	       0        9        0        0        9
43731 libxslthl-java                     	       0        5        0        0        5
43732 libxsom-java                       	       0      341        0        0      341
43733 libxstream-java                    	       0       86        0        0       86
43734 libxt-doc                          	       0        6        0        0        6
43735 libxt-java                         	       0        1        0        0        1
43736 libxtables-dev                     	       0       13       13        0        0
43737 libxtables10                       	       0      227        0        0      227
43738 libxtables11                       	       0        1        0        0        1
43739 libxtc-rats-java                   	       0        2        0        0        2
43740 libxtensor-dev                     	       0        2        0        0        2
43741 libxtrap-dev                       	       0        1        1        0        0
43742 libxtrap6                          	       0        1        0        0        1
43743 libxtrx0                           	       0       36        2        0       34
43744 libxtrxdsp0                        	       0       36        2        0       34
43745 libxtrxll0                         	       0       31       31        0        0
43746 libxtrxll0t64                      	       0        5        5        0        0
43747 libxul-common                      	       0        2        2        0        0
43748 libxul0d                           	       0        1        1        0        0
43749 libxvidcore-dev                    	       0       29       29        0        0
43750 libxvmc-dev                        	       0       73       72        1        0
43751 libxwiimote2                       	       0        4        1        0        3
43752 libxxf86dga-dev                    	       0      148      143        5        0
43753 libxxf86misc-dev                   	       0        1        1        0        0
43754 libxxf86misc1                      	       0        1        0        0        1
43755 libxxf86vm1-dbg                    	       0        1        1        0        0
43756 libxxhash-dev                      	       0       35       32        3        0
43757 libxxhash0-dbgsym                  	       0        1        1        0        0
43758 libxy3v5                           	       0        3        0        0        3
43759 libxz-java                         	       0      629        0        0      629
43760 liby2-14                           	       0        1        0        0        1
43761 libyahoo2-11                       	       0        1        0        0        1
43762 libyajl-dev                        	       0       37       34        3        0
43763 libyajl-doc                        	       0        1        0        0        1
43764 libyajl1                           	       0        2        0        0        2
43765 libyaml-0-2-dbg                    	       0        1        1        0        0
43766 libyaml-cpp-dev                    	       0       24       23        1        0
43767 libyaml-cpp0.3                     	       0        2        0        0        2
43768 libyaml-cpp0.3-dev                 	       0        1        1        0        0
43769 libyaml-cpp0.3v5                   	       0        9        0        0        9
43770 libyaml-cpp0.7                     	       0      259        7        0      252
43771 libyaml-cpp0.8                     	       0       42        1        0       41
43772 libyaml-doc                        	       0        4        0        0        4
43773 libyaml-ruby                       	       0        1        0        0        1
43774 libyaml-shell-perl                 	       0        8        8        0        0
43775 libyaml-snake-java                 	       0      103        0        0      103
43776 libyaml-snake-java-doc             	       0        3        0        0        3
43777 libyaml-syck-perl                  	       0       47        0        0       47
43778 libyanfs-java                      	       0       11        0        0       11
43779 libyang-dev                        	       0        1        1        0        0
43780 libyang-tools                      	       0        1        0        0        1
43781 libyang1                           	       0        2        1        0        1
43782 libyang2-dev                       	       0        1        1        0        0
43783 libyang2-tools                     	       0        1        1        0        0
43784 libyara-dev                        	       0        1        1        0        0
43785 libyara10                          	       0        8        0        0        8
43786 libyara3                           	       0       18        0        0       18
43787 libyara4                           	       0       28        0        0       28
43788 libyara8                           	       0        1        0        0        1
43789 libyara9                           	       0       82        0        0       82
43790 libyascreen-dev                    	       0        4        4        0        0
43791 libyascreen0                       	       0       36        0        0       36
43792 libyascreen1                       	       0        1        0        0        1
43793 libyascreen1-dbgsym                	       0        1        1        0        0
43794 libyaz-dev                         	       0        2        2        0        0
43795 libyaz4                            	       0        4        0        0        4
43796 libyaz4-dev                        	       0        1        1        0        0
43797 libycadgraywolf1                   	       0        6        0        0        6
43798 libyder2.0                         	       0        6        0        0        6
43799 libyder2.0t64                      	       0        1        0        0        1
43800 libyecht-java                      	       0        1        0        0        1
43801 libyelp0                           	       0     1668        0        0     1668
43802 libykclient-dev                    	       0        1        1        0        0
43803 libykclient3                       	       0        4        1        0        3
43804 libykhsmauth2                      	       0        3        0        0        3
43805 libykneomgr0                       	       0        1        0        0        1
43806 libykpers-1-1                      	       0       95       17        0       78
43807 libykpers-1-dev                    	       0        2        2        0        0
43808 libykpiv-dev                       	       0        1        1        0        0
43809 libykpiv1                          	       0        1        0        0        1
43810 libykpiv2                          	       0       18        0        0       18
43811 libyojson-ocaml                    	       0        2        2        0        0
43812 libyojson-ocaml-dev                	       0        2        2        0        0
43813 libytnef0-dev                      	       0        6        6        0        0
43814 libyubihsm-http2                   	       0        3        0        0        3
43815 libyubihsm-usb2                    	       0        2        0        0        2
43816 libyubihsm2                        	       0        3        0        0        3
43817 libyubikey-dev                     	       0        2        2        0        0
43818 libyubikey0                        	       0       95       14        0       81
43819 libyuma-base                       	       0        1        0        0        1
43820 libyuma2t64                        	       0        1        0        0        1
43821 libyuv                             	       0        1        0        0        1
43822 libyuv-dev                         	       0        9        8        1        0
43823 libyyjson0                         	       0       18        0        0       18
43824 libz-mingw-w64                     	       0      415        0        0      415
43825 libz-mingw-w64-dev                 	       0       10       10        0        0
43826 libz3-java                         	       0        1        0        0        1
43827 libz3-jni                          	       0        1        0        0        1
43828 libz80ex-dev                       	       0        2        2        0        0
43829 libz80ex1                          	       0        2        0        0        2
43830 libzadc4                           	       0        2        0        0        2
43831 libzapojit-0.0-0                   	       0       44        0        0       44
43832 libzarchive0.1                     	       0        3        0        0        3
43833 libzarith-ocaml                    	       0        5        4        0        1
43834 libzarith-ocaml-dev                	       0        2        2        0        0
43835 libzbar-dev                        	       0       12       12        0        0
43836 libzbar0                           	       0     2822        0        0     2822
43837 libzbar0t64                        	       0      196        0        0      196
43838 libzbargtk0                        	       0       14        0        0       14
43839 libzbargtk0t64                     	       0        1        0        0        1
43840 libzbarqt0                         	       0        5        0        0        5
43841 libzbarqt0t64                      	       0        1        0        0        1
43842 libzc6                             	       0        2        0        0        2
43843 libzck1                            	       0        4        0        0        4
43844 libze-dev                          	       0        1        1        0        0
43845 libze-intel-gpu-dev                	       0        1        1        0        0
43846 libze-intel-gpu1                   	       0        1        0        0        1
43847 libze1                             	       0        3        0        0        3
43848 libzeitgeist-1.0-1                 	       0        1        0        0        1
43849 libzeitgeist-2.0-dev               	       0        2        2        0        0
43850 libzeitgeist-2.0-doc               	       0        1        0        0        1
43851 libzeitgeist0.8-cil                	       0        2        0        0        2
43852 libzen-dev                         	       0        4        4        0        0
43853 libzen0                            	       0        1        0        0        1
43854 libzephyr-dev                      	       0        5        5        0        0
43855 libzeroc-ice3.6                    	       0        1        0        0        1
43856 libzeus-jscl-java                  	       0        1        0        0        1
43857 libzfp1                            	       0        4        0        0        4
43858 libzfp1t64                         	       0        1        0        0        1
43859 libzfs2linux                       	       0        2        0        0        2
43860 libzfs5                            	       0        1        1        0        0
43861 libzfs5-devel                      	       0        2        2        0        0
43862 libzfsbootenv1linux                	       0        4        0        0        4
43863 libzfslinux-dev                    	       0        3        3        0        0
43864 libzia                             	       0        5        0        0        5
43865 libzim-dev                         	       0        1        1        0        0
43866 libzim-git                         	       0        1        1        0        0
43867 libzim6                            	       0        3        0        0        3
43868 libzim8                            	       0       15        0        0       15
43869 libzim9                            	       0        1        0        0        1
43870 libzimg-dev                        	       0       18       17        1        0
43871 libzinnia0                         	       0        6        0        0        6
43872 libzint-dev                        	       0        1        1        0        0
43873 libzint2.11                        	       0        5        0        0        5
43874 libzint2.13                        	       0        3        0        0        3
43875 libzip-ocaml                       	       0        2        2        0        0
43876 libzip2                            	       0       13        0        0       13
43877 libzipios++-dev                    	       0        7        7        0        0
43878 libzipios++-doc                    	       0        1        0        0        1
43879 libzipios++0c2a                    	       0        1        0        0        1
43880 libzipios++0v5                     	       0      154        2        0      152
43881 libzita-alsa-pcmi-dev              	       0        4        4        0        0
43882 libzita-alsa-pcmi0                 	       0      138        0        0      138
43883 libzita-alsa-pcmi0t64              	       0        7        0        0        7
43884 libzita-convolver-dev              	       0        3        3        0        0
43885 libzita-convolver3                 	       0        4        0        0        4
43886 libzita-resampler-dev              	       0        6        6        0        0
43887 libzita-resampler1                 	       0      139        1        0      138
43888 libzix-dev                         	       0        3        3        0        0
43889 libzlcore-data                     	       0      102        0        0      102
43890 libzlcore-dev                      	       0        4        4        0        0
43891 libzlcore0.10                      	       0        1        0        0        1
43892 libzlcore0.13                      	       0       93        0        0       93
43893 libzlcore0.13t64                   	       0        9        0        0        9
43894 libzltext-data                     	       0       99        0        0       99
43895 libzltext-dev                      	       0        1        1        0        0
43896 libzltext0.10                      	       0        1        0        0        1
43897 libzltext0.13                      	       0       91        0        0       91
43898 libzltext0.13t64                   	       0        8        0        0        8
43899 libzlui-qt4                        	       0        6        6        0        0
43900 libzmq-dev                         	       0        1        1        0        0
43901 libzmq-ffi-perl                    	       0        2        2        0        0
43902 libzmq1                            	       0        2        0        0        2
43903 libzmq3                            	       0       12        0        0       12
43904 libzn-poly-0.9                     	       0       10        0        0       10
43905 libzn-poly-dev                     	       0        9        9        0        0
43906 libznz-dev                         	       0        3        3        0        0
43907 libznz3                            	       0       19        0        0       19
43908 libzookeeper-java                  	       0        3        0        0        3
43909 libzopfli-dev                      	       0        2        2        0        0
43910 libzopfli1                         	       0       40        0        0       40
43911 libzpool2                          	       0        1        0        0        1
43912 libzpool2linux                     	       0        3        0        0        3
43913 libzpool4linux                     	       0        9        0        0        9
43914 libzpool5                          	       0        1        1        0        0
43915 libzpool5linux                     	       0       71        0        0       71
43916 libzrtpcpp-1.4-0                   	       0        2        0        0        2
43917 libzrtpcpp-dev                     	       0        1        1        0        0
43918 libzrtpcpp2                        	       0        2        0        0        2
43919 libzscanner1                       	       0        1        0        0        1
43920 libzscanner2                       	       0        3        0        0        3
43921 libzscanner3                       	       0        4        0        0        4
43922 libzscanner4t64                    	       0        2        2        0        0
43923 libzthread-2.3-2                   	       0        4        0        0        4
43924 libzulucrypt-dev                   	       0        3        3        0        0
43925 libzulucrypt-exe-dev               	       0        2        2        0        0
43926 libzulucrypt-exe1.2.0              	       0       34        0        0       34
43927 libzulucrypt-plugins               	       0        7        0        0        7
43928 libzulucrypt1                      	       0        1        0        0        1
43929 libzulucrypt1.2.0                  	       0       34        0        0       34
43930 libzulucryptpluginmanager-dev      	       0        2        2        0        0
43931 libzulucryptpluginmanager1.0.0     	       0       34        2        0       32
43932 libzvbi-common                     	       0     3392        0        0     3392
43933 libzvbi-dev                        	       0       20       20        0        0
43934 libzvt2                            	       0        1        1        0        0
43935 libzxcvbn-dev                      	       0        1        1        0        0
43936 libzxing-dev                       	       0        1        1        0        0
43937 libzxingcore1                      	       0      105        2        0      103
43938 libzydis-doc                       	       0        1        0        0        1
43939 libzydis4.0                        	       0        4        0        0        4
43940 libzypp-bin                        	       0        2        2        0        0
43941 libzypp-common                     	       0        2        0        0        2
43942 libzypp-config                     	       0        2        0        0        2
43943 libzypp-doc                        	       0        1        0        0        1
43944 libzypp1722                        	       0        3        0        0        3
43945 libzzip-0-12                       	       0        2        0        0        2
43946 libzzip-dev                        	       0       11       11        0        0
43947 license-agpl                       	       0        1        0        0        1
43948 license-apache                     	       0        1        0        0        1
43949 license-artistic                   	       0        1        0        0        1
43950 license-cecill                     	       0        1        0        0        1
43951 license-creative                   	       0        1        0        0        1
43952 license-fdl                        	       0        1        0        0        1
43953 license-gpl                        	       0        1        0        0        1
43954 license-lgpl                       	       0        1        0        0        1
43955 license-mpl                        	       0        1        0        0        1
43956 license-pdm                        	       0        1        0        0        1
43957 license-spl                        	       0        1        0        0        1
43958 license-wtfpl                      	       0        1        0        0        1
43959 license-zlib                       	       0        1        0        0        1
43960 licensecheck                       	       0      189      187        2        0
43961 licenserecon                       	       0        1        1        0        0
43962 licenseutils                       	       0        4        4        0        0
43963 lie                                	       0        2        2        0        0
43964 lieer                              	       0        1        1        0        0
43965 lierolibre                         	       0        3        3        0        0
43966 lierolibre-data                    	       0        3        0        0        3
43967 lifelines                          	       0        2        2        0        0
43968 lifelines-doc                      	       0        1        0        0        1
43969 lifeograph                         	       0        4        4        0        0
43970 liferea-build-deps                 	       0        1        0        0        1
43971 liferea-data                       	       0       48        2        0       46
43972 liferea-dbgsym                     	       0        1        1        0        0
43973 lightdm-autologin-greeter          	       0        5        0        0        5
43974 lightdm-dbgsym                     	       0        1        0        1        0
43975 lightdm-remote-session-freerdp2    	       0        3        0        0        3
43976 lightdm-remote-session-x2go        	       0        4        0        0        4
43977 lightdm-vala                       	       0        3        0        0        3
43978 lightning                          	       0       60        3        0       57
43979 lightning-l10n-de                  	       0        5        0        0        5
43980 lightning-l10n-en-gb               	       0        1        0        0        1
43981 lightning-l10n-fr                  	       0        5        0        0        5
43982 lightning-l10n-it                  	       0        1        0        0        1
43983 lightscribe                        	       0        1        1        0        0
43984 lightscribeapplications            	       0        1        0        0        1
43985 lightspark                         	       0        1        1        0        0
43986 lightspark-common                  	       0        2        2        0        0
43987 lightspeed                         	       0        3        3        0        0
43988 lightsquid                         	       0        1        1        0        0
43989 lighttpd-doc                       	       0       16        0        0       16
43990 lighttpd-mod-authn-gssapi          	       0        2        1        1        0
43991 lighttpd-mod-authn-pam             	       0        1        0        1        0
43992 lighttpd-mod-authn-sasl            	       0        1        0        1        0
43993 lighttpd-mod-maxminddb             	       0        1        0        1        0
43994 lighttpd-mod-mbedtls               	       0        1        1        0        0
43995 lighttpd-mod-vhostdb-pgsql         	       0        1        0        1        0
43996 lighttpd-modules-dbi               	       0        1        0        1        0
43997 lighttpd-modules-ldap              	       0        6        5        1        0
43998 lighttpd-modules-mysql             	       0        6        5        1        0
43999 lightyears                         	       0        6        6        0        0
44000 likwid                             	       0        2        2        0        0
44001 lilo-doc                           	       0        2        0        0        2
44002 lilv-utils                         	       0        8        8        0        0
44003 lilypond                           	       0       67       64        3        0
44004 lilypond-data                      	       0       68        0        0       68
44005 lilypond-doc                       	       0       22        0        0       22
44006 lilypond-doc-html                  	       0       20        0        0       20
44007 lilypond-doc-html-cs               	       0        1        0        0        1
44008 lilypond-doc-html-de               	       0        3        0        0        3
44009 lilypond-doc-html-es               	       0        2        0        0        2
44010 lilypond-doc-html-fr               	       0        2        0        0        2
44011 lilypond-doc-html-hu               	       0        1        0        0        1
44012 lilypond-doc-html-it               	       0        1        0        0        1
44013 lilypond-doc-html-ja               	       0        1        0        0        1
44014 lilypond-doc-html-nl               	       0        2        0        0        2
44015 lilypond-doc-html-zh               	       0        1        0        0        1
44016 lilypond-doc-pdf                   	       0       21        0        0       21
44017 lilypond-doc-pdf-de                	       0        2        0        0        2
44018 lilypond-doc-pdf-es                	       0        2        0        0        2
44019 lilypond-doc-pdf-fr                	       0        1        0        0        1
44020 lilypond-doc-pdf-hu                	       0        1        0        0        1
44021 lilypond-doc-pdf-it                	       0        1        0        0        1
44022 lilypond-doc-pdf-nl                	       0        2        0        0        2
44023 lilypond-fonts                     	       0       64        0        0       64
44024 lilyterm                           	       0        2        2        0        0
44025 limesuite                          	       0        6        6        0        0
44026 lincity                            	       0        7        7        0        0
44027 lincity-ng-data                    	       0       15        0        0       15
44028 lincredits                         	       0        1        1        0        0
44029 lingot                             	       0       16       16        0        0
44030 linguist-qt6                       	       0       69       69        0        0
44031 link-grammar                       	       0        2        2        0        0
44032 link-grammar-dictionaries-all      	       0        1        0        0        1
44033 linkchecker                        	       0        8        8        0        0
44034 linklint                           	       0        3        3        0        0
44035 linneighborhood                    	       0        1        1        0        0
44036 linode-longview                    	       0        1        1        0        0
44037 linpac                             	       0        4        4        0        0
44038 linphone                           	       0       24        1        0       23
44039 linphone-cli                       	       0        3        3        0        0
44040 linphone-common                    	       0       34        0        0       34
44041 linphone-nogtk                     	       0        4        1        0        3
44042 linpopup                           	       0        1        1        0        0
44043 linsmith                           	       0        2        2        0        0
44044 linssid                            	       0       32       32        0        0
44045 lintex                             	       0        2        2        0        0
44046 lintian-brush                      	       0        1        1        0        0
44047 linux-buildinfo-6.11.0-1013-oem    	       0        1        0        0        1
44048 linux-buildinfo-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
44049 linux-compiler-gcc-10-x86          	       0      281        0        0      281
44050 linux-compiler-gcc-11-x86          	       0        9        0        0        9
44051 linux-compiler-gcc-12-x86          	       0      689        0        0      689
44052 linux-compiler-gcc-13-x86          	       0       14        0        0       14
44053 linux-compiler-gcc-4.6-x86         	       0        3        0        0        3
44054 linux-compiler-gcc-4.8-x86         	       0       31        0        0       31
44055 linux-compiler-gcc-4.9-x86         	       0       20        0        0       20
44056 linux-compiler-gcc-5-x86           	       0        1        0        0        1
44057 linux-compiler-gcc-6-x86           	       0       84        0        0       84
44058 linux-compiler-gcc-8-x86           	       0       66        0        0       66
44059 linux-compiler-gcc-9-x86           	       0        4        0        0        4
44060 linux-config-4.19                  	       0       10        0        0       10
44061 linux-config-5.10                  	       0       21        0        0       21
44062 linux-config-5.15                  	       0        1        0        0        1
44063 linux-config-5.16                  	       0        2        0        0        2
44064 linux-config-5.18                  	       0        1        0        0        1
44065 linux-config-5.2                   	       0        1        0        0        1
44066 linux-config-5.5                   	       0        1        0        0        1
44067 linux-config-5.6                   	       0        2        0        0        2
44068 linux-config-5.7                   	       0        1        0        0        1
44069 linux-config-5.8                   	       0        1        0        0        1
44070 linux-config-6.0                   	       0        1        0        0        1
44071 linux-config-6.1                   	       0       41        0        0       41
44072 linux-config-6.10                  	       0        7        0        0        7
44073 linux-config-6.12                  	       0        3        0        0        3
44074 linux-config-6.3                   	       0        1        0        0        1
44075 linux-config-6.4                   	       0        2        0        0        2
44076 linux-config-6.5                   	       0        1        0        0        1
44077 linux-config-6.6                   	       0        1        0        0        1
44078 linux-config-6.8                   	       0        1        0        0        1
44079 linux-config-6.9                   	       0        1        0        0        1
44080 linux-doc                          	       0       21        0        0       21
44081 linux-doc-3.11                     	       0        1        0        0        1
44082 linux-doc-3.12                     	       0        1        0        0        1
44083 linux-doc-3.16                     	       0        1        0        0        1
44084 linux-doc-3.2                      	       0        1        0        0        1
44085 linux-doc-4.1                      	       0        1        0        0        1
44086 linux-doc-4.19                     	       0        5        0        0        5
44087 linux-doc-4.9                      	       0       11       11        0        0
44088 linux-doc-5.10                     	       0       14        0        0       14
44089 linux-doc-5.15                     	       0        2        0        0        2
44090 linux-doc-5.18                     	       0        4        0        0        4
44091 linux-doc-5.4                      	       0        1        0        0        1
44092 linux-doc-6.1                      	       0       18        0        0       18
44093 linux-doc-6.10                     	       0        6        0        0        6
44094 linux-doc-6.11                     	       0        5        0        0        5
44095 linux-doc-6.12                     	       0        3        0        0        3
44096 linux-doc-6.3                      	       0        1        0        0        1
44097 linux-doc-6.5                      	       0        1        0        0        1
44098 linux-doc-6.7                      	       0        2        0        0        2
44099 linux-doc-6.9                      	       0        2        0        0        2
44100 linux-dtb-current-sunxi64          	       0        2        0        0        2
44101 linux-dwarf-pack                   	       0        2        2        0        0
44102 linux-firewire-utils               	       0        2        2        0        0
44103 linux-firmware                     	       0        2        2        0        0
44104 linux-firmware-hauppauge           	       0        1        1        0        0
44105 linux-firmware-image               	       0        1        0        0        1
44106 linux-grsec-source-4.7             	       0        1        0        0        1
44107 linux-grsec-support-4.7.0-1        	       0        1        1        0        0
44108 linux-headers-2.6-amd64            	       0        1        0        0        1
44109 linux-headers-2.6.18-5             	       0        1        0        0        1
44110 linux-headers-2.6.25-2-common      	       0        1        0        0        1
44111 linux-headers-2.6.26-2-common-openvz	       0        1        1        0        0
44112 linux-headers-2.6.32-5-amd64       	       0        1        1        0        0
44113 linux-headers-2.6.32-5-common      	       0        4        3        0        1
44114 linux-headers-2.6.32-5-common-openvz	       0        1        1        0        0
44115 linux-headers-2.6.32-5-openvz-amd64	       0        1        1        0        0
44116 linux-headers-3.10-2-amd64         	       0        1        1        0        0
44117 linux-headers-3.10-2-common        	       0        1        1        0        0
44118 linux-headers-3.10-3-amd64         	       0        1        1        0        0
44119 linux-headers-3.10-3-common        	       0        1        1        0        0
44120 linux-headers-3.10-rc5-common      	       0        1        1        0        0
44121 linux-headers-3.12-rc7-common      	       0        1        1        0        0
44122 linux-headers-3.14-1-common        	       0        1        1        0        0
44123 linux-headers-3.16.0-0.bpo.4-amd64 	       0        1        1        0        0
44124 linux-headers-3.16.0-0.bpo.4-common	       0        1        1        0        0
44125 linux-headers-3.16.0-10-amd64      	       0        2        2        0        0
44126 linux-headers-3.16.0-10-common     	       0        2        2        0        0
44127 linux-headers-3.16.0-11-amd64      	       0        1        1        0        0
44128 linux-headers-3.16.0-11-common     	       0        1        1        0        0
44129 linux-headers-3.16.0-4-686-pae     	       0        2        2        0        0
44130 linux-headers-3.16.0-4-amd64       	       0       19       19        0        0
44131 linux-headers-3.16.0-4-common      	       0       23       23        0        0
44132 linux-headers-3.16.0-5-amd64       	       0        8        8        0        0
44133 linux-headers-3.16.0-5-common      	       0        9        9        0        0
44134 linux-headers-3.16.0-6-686-pae     	       0        1        1        0        0
44135 linux-headers-3.16.0-6-amd64       	       0        9        9        0        0
44136 linux-headers-3.16.0-6-common      	       0        9        9        0        0
44137 linux-headers-3.16.0-7-amd64       	       0        2        2        0        0
44138 linux-headers-3.16.0-7-common      	       0        2        2        0        0
44139 linux-headers-3.16.0-8-common      	       0        1        1        0        0
44140 linux-headers-3.16.0-9-amd64       	       0        1        1        0        0
44141 linux-headers-3.16.0-9-common      	       0        1        1        0        0
44142 linux-headers-3.18.0-trunk-all     	       0        1        0        0        1
44143 linux-headers-3.18.0-trunk-all-amd64	       0        1        0        0        1
44144 linux-headers-3.18.0-trunk-amd64   	       0        1        1        0        0
44145 linux-headers-3.18.0-trunk-common  	       0        1        1        0        0
44146 linux-headers-3.18.91-vs2.3.7.5-beng	       0        2        2        0        0
44147 linux-headers-3.19.0-trunk-common  	       0        1        1        0        0
44148 linux-headers-3.2.0-4-amd64        	       0       14       13        0        1
44149 linux-headers-3.2.0-4-common       	       0       16       15        0        1
44150 linux-headers-3.2.0-4-common-rt    	       0        1        0        0        1
44151 linux-headers-3.2.0-4-rt-amd64     	       0        1        0        0        1
44152 linux-headers-3.2.0-5-amd64        	       0        1        1        0        0
44153 linux-headers-3.2.0-5-common       	       0        1        1        0        0
44154 linux-headers-3.2.0-rc4-common-rt  	       0        1        1        0        0
44155 linux-headers-3.2.0-rc4-rt-amd64   	       0        1        1        0        0
44156 linux-headers-3.6.0-amd64          	       0        1        1        0        0
44157 linux-headers-3.7-trunk-common     	       0        1        1        0        0
44158 linux-headers-4.14.0-0.bpo.3-amd64 	       0        1        1        0        0
44159 linux-headers-4.14.0-0.bpo.3-common	       0        1        1        0        0
44160 linux-headers-4.14.0-3-common      	       0        1        1        0        0
44161 linux-headers-4.15.9.64            	       0        1        1        0        0
44162 linux-headers-4.16.0-0.bpo.2-amd64 	       0        1        1        0        0
44163 linux-headers-4.16.0-0.bpo.2-common	       0        1        1        0        0
44164 linux-headers-4.19-amd64           	       0        3        0        0        3
44165 linux-headers-4.19.0-0.bpo.19-amd64	       0        3        3        0        0
44166 linux-headers-4.19.0-0.bpo.19-common	       0        3        3        0        0
44167 linux-headers-4.19.0-0.bpo.6-amd64 	       0        2        2        0        0
44168 linux-headers-4.19.0-0.bpo.6-common	       0        2        2        0        0
44169 linux-headers-4.19.0-10-common     	       0        2        1        0        1
44170 linux-headers-4.19.0-11-amd64      	       0        1        1        0        0
44171 linux-headers-4.19.0-11-common     	       0        3        2        0        1
44172 linux-headers-4.19.0-12-all        	       0        1        0        0        1
44173 linux-headers-4.19.0-12-all-amd64  	       0        1        0        0        1
44174 linux-headers-4.19.0-12-amd64      	       0        2        2        0        0
44175 linux-headers-4.19.0-12-cloud-amd64	       0        1        1        0        0
44176 linux-headers-4.19.0-12-common     	       0        3        2        0        1
44177 linux-headers-4.19.0-12-common-rt  	       0        1        1        0        0
44178 linux-headers-4.19.0-12-rt-amd64   	       0        1        1        0        0
44179 linux-headers-4.19.0-13-all        	       0        1        0        0        1
44180 linux-headers-4.19.0-13-all-amd64  	       0        1        0        0        1
44181 linux-headers-4.19.0-13-amd64      	       0        2        2        0        0
44182 linux-headers-4.19.0-13-cloud-amd64	       0        1        1        0        0
44183 linux-headers-4.19.0-13-common     	       0        4        3        0        1
44184 linux-headers-4.19.0-13-common-rt  	       0        1        1        0        0
44185 linux-headers-4.19.0-13-rt-amd64   	       0        1        1        0        0
44186 linux-headers-4.19.0-14-amd64      	       0        4        4        0        0
44187 linux-headers-4.19.0-14-common     	       0        8        7        0        1
44188 linux-headers-4.19.0-16-amd64      	       0        7        7        0        0
44189 linux-headers-4.19.0-16-common     	       0       10        9        0        1
44190 linux-headers-4.19.0-17-amd64      	       0        5        5        0        0
44191 linux-headers-4.19.0-17-common     	       0       10        9        0        1
44192 linux-headers-4.19.0-18-amd64      	       0        7        7        0        0
44193 linux-headers-4.19.0-18-common     	       0       13       12        0        1
44194 linux-headers-4.19.0-18-common-rt  	       0        1        1        0        0
44195 linux-headers-4.19.0-18-rt-amd64   	       0        1        1        0        0
44196 linux-headers-4.19.0-19-all        	       0        1        0        0        1
44197 linux-headers-4.19.0-19-all-amd64  	       0        1        0        0        1
44198 linux-headers-4.19.0-19-amd64      	       0        5        5        0        0
44199 linux-headers-4.19.0-19-cloud-amd64	       0        1        1        0        0
44200 linux-headers-4.19.0-19-common     	       0        7        7        0        0
44201 linux-headers-4.19.0-19-common-rt  	       0        1        1        0        0
44202 linux-headers-4.19.0-19-rt-amd64   	       0        1        1        0        0
44203 linux-headers-4.19.0-20-amd64      	       0       12       12        0        0
44204 linux-headers-4.19.0-20-common     	       0       14       14        0        0
44205 linux-headers-4.19.0-20-common-rt  	       0        1        1        0        0
44206 linux-headers-4.19.0-21-amd64      	       0        6        6        0        0
44207 linux-headers-4.19.0-21-common     	       0        6        6        0        0
44208 linux-headers-4.19.0-21-common-rt  	       0        1        1        0        0
44209 linux-headers-4.19.0-21-rt-amd64   	       0        1        1        0        0
44210 linux-headers-4.19.0-22-amd64      	       0        7        7        0        0
44211 linux-headers-4.19.0-22-common     	       0        8        8        0        0
44212 linux-headers-4.19.0-22-common-rt  	       0        1        1        0        0
44213 linux-headers-4.19.0-22-rt-amd64   	       0        1        1        0        0
44214 linux-headers-4.19.0-23-amd64      	       0        4        4        0        0
44215 linux-headers-4.19.0-23-common     	       0        6        6        0        0
44216 linux-headers-4.19.0-24-amd64      	       0        5        5        0        0
44217 linux-headers-4.19.0-24-common     	       0        6        6        0        0
44218 linux-headers-4.19.0-25-amd64      	       0       11       11        0        0
44219 linux-headers-4.19.0-25-common     	       0       12       12        0        0
44220 linux-headers-4.19.0-26-686-pae    	       0        1        1        0        0
44221 linux-headers-4.19.0-26-amd64      	       0       11       11        0        0
44222 linux-headers-4.19.0-26-common     	       0       12       12        0        0
44223 linux-headers-4.19.0-27-686-pae    	       0        1        1        0        0
44224 linux-headers-4.19.0-27-amd64      	       0       13       13        0        0
44225 linux-headers-4.19.0-27-common     	       0       14       14        0        0
44226 linux-headers-4.19.0-4-common      	       0        1        1        0        0
44227 linux-headers-4.19.0-5-amd64       	       0        2        2        0        0
44228 linux-headers-4.19.0-5-common      	       0        2        2        0        0
44229 linux-headers-4.19.0-6-amd64       	       0        1        1        0        0
44230 linux-headers-4.19.0-6-common      	       0        4        4        0        0
44231 linux-headers-4.19.0-6-common-rt   	       0        1        1        0        0
44232 linux-headers-4.19.0-8-all         	       0        1        0        0        1
44233 linux-headers-4.19.0-8-all-amd64   	       0        1        0        0        1
44234 linux-headers-4.19.0-8-amd64       	       0        1        1        0        0
44235 linux-headers-4.19.0-8-cloud-amd64 	       0        1        1        0        0
44236 linux-headers-4.19.0-8-common-rt   	       0        1        1        0        0
44237 linux-headers-4.19.0-8-rt-amd64    	       0        1        1        0        0
44238 linux-headers-4.19.0-9-amd64       	       0        3        3        0        0
44239 linux-headers-4.19.0-9-common      	       0        4        4        0        0
44240 linux-headers-4.19.249             	       0        1        1        0        0
44241 linux-headers-4.19.251-proxima     	       0        1        1        0        0
44242 linux-headers-4.2.0-0.bpo.1-all-amd64	       0        1        0        0        1
44243 linux-headers-4.2.0-0.bpo.1-amd64  	       0        1        1        0        0
44244 linux-headers-4.2.0-0.bpo.1-common 	       0        1        1        0        0
44245 linux-headers-4.4.144-vs2.3.9.7.2-beng	       0        4        4        0        0
44246 linux-headers-4.4.202-vs2.3.9.8-beng	       0        1        1        0        0
44247 linux-headers-4.4.227-vs2.3.9.9-beng	       0        1        1        0        0
44248 linux-headers-4.5.0-2-common       	       0        1        1        0        0
44249 linux-headers-4.7.0-0.bpo.1-amd64  	       0        2        2        0        0
44250 linux-headers-4.7.0-0.bpo.1-common 	       0        2        2        0        0
44251 linux-headers-4.8.0-0.bpo.2-686-pae	       0        1        1        0        0
44252 linux-headers-4.8.0-0.bpo.2-amd64  	       0        2        2        0        0
44253 linux-headers-4.8.0-0.bpo.2-common 	       0        3        3        0        0
44254 linux-headers-4.9.0-0.bpo.1-amd64  	       0        1        1        0        0
44255 linux-headers-4.9.0-0.bpo.1-common 	       0        1        1        0        0
44256 linux-headers-4.9.0-0.bpo.2-common-rt	       0        1        1        0        0
44257 linux-headers-4.9.0-0.bpo.3-amd64  	       0        3        3        0        0
44258 linux-headers-4.9.0-0.bpo.3-common 	       0        3        3        0        0
44259 linux-headers-4.9.0-0.bpo.4-686-pae	       0        1        1        0        0
44260 linux-headers-4.9.0-0.bpo.4-common 	       0        1        1        0        0
44261 linux-headers-4.9.0-0.bpo.5-amd64  	       0        2        2        0        0
44262 linux-headers-4.9.0-0.bpo.5-common 	       0        2        2        0        0
44263 linux-headers-4.9.0-0.bpo.6-amd64  	       0        1        1        0        0
44264 linux-headers-4.9.0-0.bpo.6-common 	       0        1        1        0        0
44265 linux-headers-4.9.0-11-686         	       0        3        3        0        0
44266 linux-headers-4.9.0-11-686-pae     	       0        1        1        0        0
44267 linux-headers-4.9.0-11-all         	       0        2        0        0        2
44268 linux-headers-4.9.0-11-all-amd64   	       0        2        0        0        2
44269 linux-headers-4.9.0-11-all-i386    	       0        1        0        0        1
44270 linux-headers-4.9.0-11-amd64       	       0       17       17        0        0
44271 linux-headers-4.9.0-11-common      	       0       19       19        0        0
44272 linux-headers-4.9.0-11-common-rt   	       0        2        2        0        0
44273 linux-headers-4.9.0-11-rt-686-pae  	       0        1        1        0        0
44274 linux-headers-4.9.0-11-rt-amd64    	       0        2        2        0        0
44275 linux-headers-4.9.0-12-all-amd64   	       0        1        0        0        1
44276 linux-headers-4.9.0-12-common-rt   	       0        1        1        0        0
44277 linux-headers-4.9.0-12-rt-amd64    	       0        1        1        0        0
44278 linux-headers-4.9.0-13-686-pae     	       0        7        7        0        0
44279 linux-headers-4.9.0-13-all         	       0        1        0        0        1
44280 linux-headers-4.9.0-13-all-amd64   	       0        1        0        0        1
44281 linux-headers-4.9.0-13-amd64       	       0        3        2        0        1
44282 linux-headers-4.9.0-13-common      	       0       10        9        0        1
44283 linux-headers-4.9.0-13-common-rt   	       0        1        1        0        0
44284 linux-headers-4.9.0-13-rt-amd64    	       0        1        1        0        0
44285 linux-headers-4.9.0-14-amd64       	       0        5        5        0        0
44286 linux-headers-4.9.0-14-common      	       0        5        5        0        0
44287 linux-headers-4.9.0-15-amd64       	       0        7        6        0        1
44288 linux-headers-4.9.0-15-common      	       0        7        6        0        1
44289 linux-headers-4.9.0-16-all-amd64   	       0        1        0        0        1
44290 linux-headers-4.9.0-16-amd64       	       0        6        6        0        0
44291 linux-headers-4.9.0-16-common      	       0        6        6        0        0
44292 linux-headers-4.9.0-16-common-rt   	       0        1        1        0        0
44293 linux-headers-4.9.0-16-rt-amd64    	       0        1        1        0        0
44294 linux-headers-4.9.0-17-all         	       0        1        0        0        1
44295 linux-headers-4.9.0-17-all-amd64   	       0        1        0        0        1
44296 linux-headers-4.9.0-17-amd64       	       0        7        7        0        0
44297 linux-headers-4.9.0-17-common      	       0        7        7        0        0
44298 linux-headers-4.9.0-17-common-rt   	       0        1        1        0        0
44299 linux-headers-4.9.0-17-rt-amd64    	       0        1        1        0        0
44300 linux-headers-4.9.0-18-amd64       	       0        7        7        0        0
44301 linux-headers-4.9.0-18-common      	       0        7        7        0        0
44302 linux-headers-4.9.0-19-686-pae     	       0        1        1        0        0
44303 linux-headers-4.9.0-19-amd64       	       0       11       11        0        0
44304 linux-headers-4.9.0-19-common      	       0       13       13        0        0
44305 linux-headers-4.9.0-4-amd64        	       0        2        2        0        0
44306 linux-headers-4.9.0-4-common       	       0        2        2        0        0
44307 linux-headers-4.9.0-6-686-pae      	       0        2        2        0        0
44308 linux-headers-4.9.0-6-amd64        	       0        8        8        0        0
44309 linux-headers-4.9.0-6-common       	       0       10       10        0        0
44310 linux-headers-4.9.0-7-amd64        	       0        4        4        0        0
44311 linux-headers-4.9.0-7-common       	       0        4        4        0        0
44312 linux-headers-4.9.0-8-686          	       0        1        1        0        0
44313 linux-headers-4.9.0-8-686-pae      	       0        1        1        0        0
44314 linux-headers-4.9.0-8-all          	       0        1        0        0        1
44315 linux-headers-4.9.0-8-all-amd64    	       0        2        0        0        2
44316 linux-headers-4.9.0-8-amd64        	       0       10       10        0        0
44317 linux-headers-4.9.0-8-common       	       0       12       12        0        0
44318 linux-headers-4.9.0-8-common-rt    	       0        2        2        0        0
44319 linux-headers-4.9.0-8-rt-amd64     	       0        2        2        0        0
44320 linux-headers-4.9.0-9-amd64        	       0       11       11        0        0
44321 linux-headers-4.9.0-9-common       	       0       12       12        0        0
44322 linux-headers-5.0.0                	       0        1        1        0        0
44323 linux-headers-5.1.0+               	       0        1        1        0        0
44324 linux-headers-5.1.0-20.1-liquorix-amd64	       0        1        1        0        0
44325 linux-headers-5.10-amd64           	       0        5        0        0        5
44326 linux-headers-5.10-rt-amd64        	       0        1        0        0        1
44327 linux-headers-5.10.0-0.bpo.11-amd64	       0        2        2        0        0
44328 linux-headers-5.10.0-0.bpo.11-common	       0        2        2        0        0
44329 linux-headers-5.10.0-0.bpo.12-amd64	       0        3        3        0        0
44330 linux-headers-5.10.0-0.bpo.12-common	       0        3        3        0        0
44331 linux-headers-5.10.0-0.bpo.12-common-rt	       0        1        1        0        0
44332 linux-headers-5.10.0-0.bpo.12-rt-amd64	       0        1        1        0        0
44333 linux-headers-5.10.0-0.bpo.15-amd64	       0        5        5        0        0
44334 linux-headers-5.10.0-0.bpo.15-common	       0        5        5        0        0
44335 linux-headers-5.10.0-0.bpo.5-amd64 	       0        2        2        0        0
44336 linux-headers-5.10.0-0.bpo.5-common	       0        3        3        0        0
44337 linux-headers-5.10.0-0.bpo.7-amd64 	       0        2        2        0        0
44338 linux-headers-5.10.0-0.bpo.7-common	       0        3        3        0        0
44339 linux-headers-5.10.0-0.bpo.9-amd64 	       0        2        2        0        0
44340 linux-headers-5.10.0-0.bpo.9-common	       0        2        2        0        0
44341 linux-headers-5.10.0-0.deb10.16-amd64	       0        2        2        0        0
44342 linux-headers-5.10.0-0.deb10.16-common	       0        3        3        0        0
44343 linux-headers-5.10.0-0.deb10.17-amd64	       0        2        2        0        0
44344 linux-headers-5.10.0-0.deb10.17-common	       0        2        2        0        0
44345 linux-headers-5.10.0-0.deb10.19-amd64	       0        2        2        0        0
44346 linux-headers-5.10.0-0.deb10.19-common	       0        2        2        0        0
44347 linux-headers-5.10.0-0.deb10.19-common-rt	       0        1        1        0        0
44348 linux-headers-5.10.0-0.deb10.19-rt-amd64	       0        1        1        0        0
44349 linux-headers-5.10.0-0.deb10.20-amd64	       0        1        1        0        0
44350 linux-headers-5.10.0-0.deb10.20-common	       0        1        1        0        0
44351 linux-headers-5.10.0-0.deb10.20-common-rt	       0        1        1        0        0
44352 linux-headers-5.10.0-0.deb10.20-rt-amd64	       0        1        1        0        0
44353 linux-headers-5.10.0-0.deb10.21-amd64	       0        1        1        0        0
44354 linux-headers-5.10.0-0.deb10.21-common	       0        1        1        0        0
44355 linux-headers-5.10.0-0.deb10.28-amd64	       0        3        3        0        0
44356 linux-headers-5.10.0-0.deb10.28-common	       0        3        3        0        0
44357 linux-headers-5.10.0-0.deb10.28-common-rt	       0        1        1        0        0
44358 linux-headers-5.10.0-0.deb10.28-rt-amd64	       0        1        1        0        0
44359 linux-headers-5.10.0-0.deb10.30-amd64	       0        1        1        0        0
44360 linux-headers-5.10.0-0.deb10.30-common	       0        1        1        0        0
44361 linux-headers-5.10.0-0.deb10.30-common-rt	       0        1        1        0        0
44362 linux-headers-5.10.0-0.deb10.30-rt-amd64	       0        1        1        0        0
44363 linux-headers-5.10.0-10-amd64      	       0       18       17        0        1
44364 linux-headers-5.10.0-10-common     	       0       20       19        0        1
44365 linux-headers-5.10.0-11-686        	       0        1        1        0        0
44366 linux-headers-5.10.0-11-686-pae    	       0        1        1        0        0
44367 linux-headers-5.10.0-11-amd64      	       0       15       15        0        0
44368 linux-headers-5.10.0-11-common     	       0       16       16        0        0
44369 linux-headers-5.10.0-12-amd64      	       0       11       11        0        0
44370 linux-headers-5.10.0-12-common     	       0       12       12        0        0
44371 linux-headers-5.10.0-13-amd64      	       0       11       11        0        0
44372 linux-headers-5.10.0-13-common     	       0       12       12        0        0
44373 linux-headers-5.10.0-14-amd64      	       0       17       17        0        0
44374 linux-headers-5.10.0-14-common     	       0       18       18        0        0
44375 linux-headers-5.10.0-15-amd64      	       0       10       10        0        0
44376 linux-headers-5.10.0-15-common     	       0       10       10        0        0
44377 linux-headers-5.10.0-16-686-pae    	       0        1        1        0        0
44378 linux-headers-5.10.0-16-amd64      	       0       17       17        0        0
44379 linux-headers-5.10.0-16-common     	       0       18       18        0        0
44380 linux-headers-5.10.0-17-686-pae    	       0        1        1        0        0
44381 linux-headers-5.10.0-17-amd64      	       0        8        8        0        0
44382 linux-headers-5.10.0-17-common     	       0       10       10        0        0
44383 linux-headers-5.10.0-18-amd64      	       0       11       10        0        1
44384 linux-headers-5.10.0-18-common     	       0       11       10        0        1
44385 linux-headers-5.10.0-19-686-pae    	       0        1        1        0        0
44386 linux-headers-5.10.0-19-amd64      	       0       18       18        0        0
44387 linux-headers-5.10.0-19-common     	       0       21       21        0        0
44388 linux-headers-5.10.0-20-686        	       0        1        1        0        0
44389 linux-headers-5.10.0-20-amd64      	       0       24       24        0        0
44390 linux-headers-5.10.0-20-common     	       0       25       25        0        0
44391 linux-headers-5.10.0-21-amd64      	       0       27       27        0        0
44392 linux-headers-5.10.0-21-common     	       0       27       27        0        0
44393 linux-headers-5.10.0-22-amd64      	       0       13       13        0        0
44394 linux-headers-5.10.0-22-common     	       0       14       14        0        0
44395 linux-headers-5.10.0-23-686-pae    	       0        1        1        0        0
44396 linux-headers-5.10.0-23-amd64      	       0       34       34        0        0
44397 linux-headers-5.10.0-23-arm64      	       0        1        1        0        0
44398 linux-headers-5.10.0-23-common     	       0       37       37        0        0
44399 linux-headers-5.10.0-24-686-pae    	       0        1        1        0        0
44400 linux-headers-5.10.0-24-amd64      	       0       10       10        0        0
44401 linux-headers-5.10.0-24-common     	       0       11       11        0        0
44402 linux-headers-5.10.0-25-amd64      	       0       25       25        0        0
44403 linux-headers-5.10.0-25-common     	       0       26       26        0        0
44404 linux-headers-5.10.0-26-686-pae    	       0        1        1        0        0
44405 linux-headers-5.10.0-27-686-pae    	       0        1        1        0        0
44406 linux-headers-5.10.0-27-amd64      	       0       21       21        0        0
44407 linux-headers-5.10.0-27-common     	       0       22       22        0        0
44408 linux-headers-5.10.0-28-amd64      	       0       34       34        0        0
44409 linux-headers-5.10.0-28-common     	       0       35       35        0        0
44410 linux-headers-5.10.0-29-686-pae    	       0        1        1        0        0
44411 linux-headers-5.10.0-29-amd64      	       0       14       14        0        0
44412 linux-headers-5.10.0-29-common     	       0       16       16        0        0
44413 linux-headers-5.10.0-3-amd64       	       0        1        1        0        0
44414 linux-headers-5.10.0-3-common      	       0        1        1        0        0
44415 linux-headers-5.10.0-30-686-pae    	       0        2        2        0        0
44416 linux-headers-5.10.0-30-amd64      	       0       23       23        0        0
44417 linux-headers-5.10.0-30-common     	       0       25       25        0        0
44418 linux-headers-5.10.0-31-amd64      	       0       16       16        0        0
44419 linux-headers-5.10.0-31-common     	       0       17       17        0        0
44420 linux-headers-5.10.0-32-686-pae    	       0        1        1        0        0
44421 linux-headers-5.10.0-32-amd64      	       0       40       40        0        0
44422 linux-headers-5.10.0-32-common     	       0       41       41        0        0
44423 linux-headers-5.10.0-33-686        	       0        1        1        0        0
44424 linux-headers-5.10.0-33-686-pae    	       0        2        2        0        0
44425 linux-headers-5.10.0-33-common-rt  	       0        1        1        0        0
44426 linux-headers-5.10.0-33-rt-amd64   	       0        1        1        0        0
44427 linux-headers-5.10.0-4-amd64       	       0        1        1        0        0
44428 linux-headers-5.10.0-4-common      	       0        1        1        0        0
44429 linux-headers-5.10.0-6-amd64       	       0        2        2        0        0
44430 linux-headers-5.10.0-6-common      	       0        3        3        0        0
44431 linux-headers-5.10.0-6-common-rt   	       0        1        1        0        0
44432 linux-headers-5.10.0-7-amd64       	       0        3        3        0        0
44433 linux-headers-5.10.0-7-common      	       0        6        6        0        0
44434 linux-headers-5.10.0-8-amd64       	       0       11       11        0        0
44435 linux-headers-5.10.0-8-common      	       0       12       12        0        0
44436 linux-headers-5.10.0-9-amd64       	       0       26       26        0        0
44437 linux-headers-5.10.0-9-common      	       0       27       27        0        0
44438 linux-headers-5.10.220             	       0        1        1        0        0
44439 linux-headers-5.10.41+             	       0        1        1        0        0
44440 linux-headers-5.14.0-0.bpo.2-amd64 	       0        2        2        0        0
44441 linux-headers-5.14.0-0.bpo.2-common	       0        2        2        0        0
44442 linux-headers-5.14.0-4-common      	       0        1        1        0        0
44443 linux-headers-5.15.0               	       0        1        1        0        0
44444 linux-headers-5.15.0-0.bpo.2-686-pae	       0        1        1        0        0
44445 linux-headers-5.15.0-0.bpo.2-amd64 	       0        1        1        0        0
44446 linux-headers-5.15.0-0.bpo.2-common	       0        2        2        0        0
44447 linux-headers-5.15.0-0.bpo.3-common	       0        1        0        0        1
44448 linux-headers-5.15.0-2-amd64       	       0        1        1        0        0
44449 linux-headers-5.15.0-2-common      	       0        2        2        0        0
44450 linux-headers-5.15.0-3-common      	       0        1        1        0        0
44451 linux-headers-5.15.164             	       0        1        1        0        0
44452 linux-headers-5.15.173-gnu         	       0        1        1        0        0
44453 linux-headers-5.15.25-atom         	       0        1        1        0        0
44454 linux-headers-5.15.29-gnu          	       0        1        1        0        0
44455 linux-headers-5.15.32              	       0        1        1        0        0
44456 linux-headers-5.15.78-xanmod1      	       0        1        1        0        0
44457 linux-headers-5.15.81-xanmod1      	       0        1        1        0        0
44458 linux-headers-5.15.84-xanmod1      	       0        1        1        0        0
44459 linux-headers-5.15.87-xanmod1      	       0        1        1        0        0
44460 linux-headers-5.15.89-xanmod1      	       0        1        1        0        0
44461 linux-headers-5.16.0-0.bpo.3-amd64 	       0        1        1        0        0
44462 linux-headers-5.16.0-0.bpo.3-common	       0        1        1        0        0
44463 linux-headers-5.16.0-0.bpo.4-amd64 	       0        2        2        0        0
44464 linux-headers-5.16.0-0.bpo.4-common	       0        3        2        0        1
44465 linux-headers-5.16.0-1-amd64       	       0        1        1        0        0
44466 linux-headers-5.16.0-1-common      	       0        1        1        0        0
44467 linux-headers-5.16.0-3-amd64       	       0        1        1        0        0
44468 linux-headers-5.16.0-3-common      	       0        1        1        0        0
44469 linux-headers-5.16.0-4-amd64       	       0        1        1        0        0
44470 linux-headers-5.16.0-4-common      	       0        1        1        0        0
44471 linux-headers-5.16.0-5-amd64       	       0        1        1        0        0
44472 linux-headers-5.16.0-5-common      	       0        2        2        0        0
44473 linux-headers-5.16.0-6-amd64       	       0        2        2        0        0
44474 linux-headers-5.16.0-6-common      	       0        2        2        0        0
44475 linux-headers-5.17.0-1-amd64       	       0        1        1        0        0
44476 linux-headers-5.17.0-1-common      	       0        1        1        0        0
44477 linux-headers-5.17.0-3-amd64       	       0        1        1        0        0
44478 linux-headers-5.17.0-3-common      	       0        1        1        0        0
44479 linux-headers-5.17.8               	       0        1        1        0        0
44480 linux-headers-5.18.0-0.bpo.1-amd64 	       0        2        2        0        0
44481 linux-headers-5.18.0-0.bpo.1-common	       0        3        3        0        0
44482 linux-headers-5.18.0-0.deb11.4-amd64	       0        2        2        0        0
44483 linux-headers-5.18.0-0.deb11.4-common	       0        2        2        0        0
44484 linux-headers-5.18.0-2-amd64       	       0        3        3        0        0
44485 linux-headers-5.18.0-2-common      	       0        3        3        0        0
44486 linux-headers-5.18.0-2-common-rt   	       0        1        1        0        0
44487 linux-headers-5.18.0-2-rt-amd64    	       0        1        1        0        0
44488 linux-headers-5.18.0-3-amd64       	       0        1        1        0        0
44489 linux-headers-5.18.0-3-common      	       0        1        1        0        0
44490 linux-headers-5.18.0-4-amd64       	       0        1        1        0        0
44491 linux-headers-5.18.0-4-common      	       0        1        1        0        0
44492 linux-headers-5.18.0-4-common-rt   	       0        1        1        0        0
44493 linux-headers-5.18.0-4-rt-amd64    	       0        1        1        0        0
44494 linux-headers-5.19.0-0.deb11.2-amd64	       0        2        2        0        0
44495 linux-headers-5.19.0-0.deb11.2-common	       0        2        2        0        0
44496 linux-headers-5.19.0-2-amd64       	       0        1        1        0        0
44497 linux-headers-5.19.0-2-common      	       0        1        1        0        0
44498 linux-headers-5.2.0-2-common       	       0        1        1        0        0
44499 linux-headers-5.2.1-diglator.15    	       0        1        1        0        0
44500 linux-headers-5.2.13-19.09.09.amdgpu	       0        1        1        0        0
44501 linux-headers-5.4.0-0.bpo.2-common 	       0        1        1        0        0
44502 linux-headers-5.4.0-0.bpo.3-amd64  	       0        1        1        0        0
44503 linux-headers-5.4.0-0.bpo.3-common 	       0        1        1        0        0
44504 linux-headers-5.4.0-0.bpo.4-common 	       0        1        1        0        0
44505 linux-headers-5.4.0-0.bpo.4-common-rt	       0        1        1        0        0
44506 linux-headers-5.4.0-0.bpo.4-rt-amd64	       0        1        1        0        0
44507 linux-headers-5.4.0-1692312122121  	       0        1        1        0        0
44508 linux-headers-5.4.0-1692312122121-generic	       0        1        1        0        0
44509 linux-headers-5.4.0-1862405280420  	       0        1        1        0        0
44510 linux-headers-5.4.0-1862405280420-generic	       0        1        1        0        0
44511 linux-headers-5.4.0-4-amd64        	       0        2        2        0        0
44512 linux-headers-5.4.0-4-common       	       0        2        2        0        0
44513 linux-headers-5.4.13               	       0        1        1        0        0
44514 linux-headers-5.4.51-v8+           	       0        1        1        0        0
44515 linux-headers-5.6.0-0.bpo.2-common 	       0        1        1        0        0
44516 linux-headers-5.6.0-1-amd64        	       0        1        1        0        0
44517 linux-headers-5.6.0-1-common       	       0        1        1        0        0
44518 linux-headers-5.6.0-2-amd64        	       0        1        1        0        0
44519 linux-headers-5.6.0-2-common       	       0        1        1        0        0
44520 linux-headers-5.7.0-0.bpo.2-amd64  	       0        1        1        0        0
44521 linux-headers-5.7.0-0.bpo.2-common 	       0        2        2        0        0
44522 linux-headers-5.7.0-2-amd64        	       0        1        1        0        0
44523 linux-headers-5.7.0-2-common       	       0        1        1        0        0
44524 linux-headers-5.8.0-0.bpo.2-amd64  	       0        1        1        0        0
44525 linux-headers-5.8.0-0.bpo.2-common 	       0        1        1        0        0
44526 linux-headers-5.8.0-1-amd64        	       0        1        1        0        0
44527 linux-headers-5.8.0-1-common       	       0        1        1        0        0
44528 linux-headers-5.8.0-2-amd64        	       0        1        1        0        0
44529 linux-headers-5.8.0-2-common       	       0        1        1        0        0
44530 linux-headers-5.8.0-21             	       0        1        1        0        0
44531 linux-headers-5.9.0-0.bpo.5-common-rt	       0        1        1        0        0
44532 linux-headers-5.9.0-1-amd64        	       0        1        1        0        0
44533 linux-headers-5.9.0-1-common       	       0        1        1        0        0
44534 linux-headers-5.9.0-3-amd64        	       0        1        1        0        0
44535 linux-headers-5.9.0-3-common       	       0        1        1        0        0
44536 linux-headers-5.9.0-5-amd64        	       0        1        1        0        0
44537 linux-headers-5.9.0-5-common       	       0        1        1        0        0
44538 linux-headers-586                  	       0        1        0        0        1
44539 linux-headers-6.0.0-0.deb11.2-common	       0        1        1        0        0
44540 linux-headers-6.0.0-0.deb11.6-amd64	       0        2        2        0        0
44541 linux-headers-6.0.0-0.deb11.6-common	       0        2        2        0        0
44542 linux-headers-6.0.0-1-amd64        	       0        1        1        0        0
44543 linux-headers-6.0.0-1-common       	       0        1        1        0        0
44544 linux-headers-6.0.0-2-common       	       0        1        1        0        0
44545 linux-headers-6.0.0-3-amd64        	       0        1        1        0        0
44546 linux-headers-6.0.0-3-common       	       0        1        1        0        0
44547 linux-headers-6.0.0-6-amd64        	       0        2        2        0        0
44548 linux-headers-6.0.0-6-common       	       0        2        2        0        0
44549 linux-headers-6.0.11               	       0        1        1        0        0
44550 linux-headers-6.0.9-surface        	       0        1        1        0        0
44551 linux-headers-6.1-amd64            	       0        1        0        0        1
44552 linux-headers-6.1.0-0.deb11.11-amd64	       0        2        2        0        0
44553 linux-headers-6.1.0-0.deb11.11-common	       0        2        2        0        0
44554 linux-headers-6.1.0-0.deb11.13-amd64	       0        4        4        0        0
44555 linux-headers-6.1.0-0.deb11.13-common	       0        4        4        0        0
44556 linux-headers-6.1.0-0.deb11.13-common-rt	       0        1        1        0        0
44557 linux-headers-6.1.0-0.deb11.13-rt-amd64	       0        1        1        0        0
44558 linux-headers-6.1.0-0.deb11.18-amd64	       0        2        2        0        0
44559 linux-headers-6.1.0-0.deb11.18-common	       0        2        2        0        0
44560 linux-headers-6.1.0-0.deb11.22-amd64	       0        1        1        0        0
44561 linux-headers-6.1.0-0.deb11.22-common	       0        1        1        0        0
44562 linux-headers-6.1.0-0.deb11.26-amd64	       0        1        1        0        0
44563 linux-headers-6.1.0-0.deb11.26-common	       0        1        1        0        0
44564 linux-headers-6.1.0-0.deb11.28-amd64	       0        2        2        0        0
44565 linux-headers-6.1.0-0.deb11.28-common	       0        2        2        0        0
44566 linux-headers-6.1.0-0.deb11.5-amd64	       0        2        2        0        0
44567 linux-headers-6.1.0-0.deb11.5-common	       0        3        3        0        0
44568 linux-headers-6.1.0-0.deb11.6-amd64	       0        1        1        0        0
44569 linux-headers-6.1.0-0.deb11.6-common	       0        2        2        0        0
44570 linux-headers-6.1.0-0.deb11.7-amd64	       0        3        3        0        0
44571 linux-headers-6.1.0-0.deb11.7-common	       0        4        4        0        0
44572 linux-headers-6.1.0-1-amd64        	       0        1        1        0        0
44573 linux-headers-6.1.0-1-common       	       0        1        1        0        0
44574 linux-headers-6.1.0-10-amd64       	       0       13       13        0        0
44575 linux-headers-6.1.0-10-common      	       0       14       14        0        0
44576 linux-headers-6.1.0-11-amd64       	       0       23       23        0        0
44577 linux-headers-6.1.0-11-common      	       0       23       23        0        0
44578 linux-headers-6.1.0-12-amd64       	       0       23       23        0        0
44579 linux-headers-6.1.0-12-cloud-amd64 	       0        1        1        0        0
44580 linux-headers-6.1.0-12-common      	       0       24       24        0        0
44581 linux-headers-6.1.0-12-common-rt   	       0        1        1        0        0
44582 linux-headers-6.1.0-12-rt-amd64    	       0        1        1        0        0
44583 linux-headers-6.1.0-13-686         	       0        1        1        0        0
44584 linux-headers-6.1.0-13-686-pae     	       0        1        1        0        0
44585 linux-headers-6.1.0-13-amd64       	       0       39       39        0        0
44586 linux-headers-6.1.0-13-cloud-amd64 	       0        1        1        0        0
44587 linux-headers-6.1.0-13-common      	       0       42       42        0        0
44588 linux-headers-6.1.0-13-common-rt   	       0        1        1        0        0
44589 linux-headers-6.1.0-13-rt-amd64    	       0        1        1        0        0
44590 linux-headers-6.1.0-14-amd64       	       0        3        3        0        0
44591 linux-headers-6.1.0-14-common      	       0        3        3        0        0
44592 linux-headers-6.1.0-15-amd64       	       0        8        8        0        0
44593 linux-headers-6.1.0-15-common      	       0        9        9        0        0
44594 linux-headers-6.1.0-16-amd64       	       0       18       18        0        0
44595 linux-headers-6.1.0-16-common      	       0       21       21        0        0
44596 linux-headers-6.1.0-16-powerpc64le 	       0        1        1        0        0
44597 linux-headers-6.1.0-17-amd64       	       0       50       50        0        0
44598 linux-headers-6.1.0-17-cloud-amd64 	       0        1        1        0        0
44599 linux-headers-6.1.0-17-common      	       0       54       54        0        0
44600 linux-headers-6.1.0-17-common-rt   	       0        2        2        0        0
44601 linux-headers-6.1.0-17-rt-amd64    	       0        2        2        0        0
44602 linux-headers-6.1.0-18-686-pae     	       0        1        1        0        0
44603 linux-headers-6.1.0-18-amd64       	       0       75       75        0        0
44604 linux-headers-6.1.0-18-common      	       0       76       76        0        0
44605 linux-headers-6.1.0-2-amd64        	       0        1        1        0        0
44606 linux-headers-6.1.0-2-common       	       0        1        1        0        0
44607 linux-headers-6.1.0-2.frea-amd64   	       0        1        1        0        0
44608 linux-headers-6.1.0-2.frea-common  	       0        1        1        0        0
44609 linux-headers-6.1.0-21-amd64       	       0       84       84        0        0
44610 linux-headers-6.1.0-21-cloud-amd64 	       0        1        1        0        0
44611 linux-headers-6.1.0-21-common      	       0       86       86        0        0
44612 linux-headers-6.1.0-21-common-rt   	       0        1        1        0        0
44613 linux-headers-6.1.0-21-rt-amd64    	       0        1        1        0        0
44614 linux-headers-6.1.0-22-686         	       0        1        1        0        0
44615 linux-headers-6.1.0-22-amd64       	       0       73       72        0        1
44616 linux-headers-6.1.0-22-cloud-amd64 	       0        1        1        0        0
44617 linux-headers-6.1.0-22-common      	       0       76       75        0        1
44618 linux-headers-6.1.0-22-common-rt   	       0        1        1        0        0
44619 linux-headers-6.1.0-22-rt-amd64    	       0        1        1        0        0
44620 linux-headers-6.1.0-23-686         	       0        1        1        0        0
44621 linux-headers-6.1.0-23-amd64       	       0      144      144        0        0
44622 linux-headers-6.1.0-23-cloud-amd64 	       0        1        1        0        0
44623 linux-headers-6.1.0-23-common      	       0      147      147        0        0
44624 linux-headers-6.1.0-23-common-rt   	       0        2        2        0        0
44625 linux-headers-6.1.0-23-rt-amd64    	       0        2        2        0        0
44626 linux-headers-6.1.0-25-686         	       0        1        1        0        0
44627 linux-headers-6.1.0-25-cloud-amd64 	       0        1        1        0        0
44628 linux-headers-6.1.0-25-common-rt   	       0        1        1        0        0
44629 linux-headers-6.1.0-25-powerpc64le 	       0        1        1        0        0
44630 linux-headers-6.1.0-25-rt-amd64    	       0        1        1        0        0
44631 linux-headers-6.1.0-26-686         	       0        1        1        0        0
44632 linux-headers-6.1.0-26-686-pae     	       0        2        2        0        0
44633 linux-headers-6.1.0-26-amd64       	       0      136      135        0        1
44634 linux-headers-6.1.0-26-cloud-amd64 	       0        1        1        0        0
44635 linux-headers-6.1.0-26-common      	       0      139      139        0        0
44636 linux-headers-6.1.0-26-common-rt   	       0        1        1        0        0
44637 linux-headers-6.1.0-26-rt-amd64    	       0        1        1        0        0
44638 linux-headers-6.1.0-27-686-pae     	       0        1        1        0        0
44639 linux-headers-6.1.0-27-amd64       	       0      115      115        0        0
44640 linux-headers-6.1.0-27-common      	       0      117      117        0        0
44641 linux-headers-6.1.0-28-686         	       0        1        1        0        0
44642 linux-headers-6.1.0-28-686-pae     	       0        3        3        0        0
44643 linux-headers-6.1.0-28-common-rt   	       0        1        1        0        0
44644 linux-headers-6.1.0-29-amd64       	       0       44       41        3        0
44645 linux-headers-6.1.0-3-amd64        	       0        1        1        0        0
44646 linux-headers-6.1.0-3-common       	       0        2        2        0        0
44647 linux-headers-6.1.0-30-686         	       0        1        1        0        0
44648 linux-headers-6.1.0-30-686-pae     	       0        1        1        0        0
44649 linux-headers-6.1.0-31-arm64       	       0        1        0        1        0
44650 linux-headers-6.1.0-4-amd64        	       0        1        1        0        0
44651 linux-headers-6.1.0-4-common       	       0        1        1        0        0
44652 linux-headers-6.1.0-5-amd64        	       0        2        2        0        0
44653 linux-headers-6.1.0-5-common       	       0        2        2        0        0
44654 linux-headers-6.1.0-6-amd64        	       0        3        3        0        0
44655 linux-headers-6.1.0-6-common       	       0        4        4        0        0
44656 linux-headers-6.1.0-7-amd64        	       0        7        7        0        0
44657 linux-headers-6.1.0-7-common       	       0        8        8        0        0
44658 linux-headers-6.1.0-9-amd64        	       0        7        7        0        0
44659 linux-headers-6.1.0-9-common       	       0        8        8        0        0
44660 linux-headers-6.1.0-odroid-arm64   	       0        1        1        0        0
44661 linux-headers-6.1.0-odroid-common  	       0        1        1        0        0
44662 linux-headers-6.1.115-326          	       0        1        1        0        0
44663 linux-headers-6.1.119-327          	       0        1        1        0        0
44664 linux-headers-6.1.20-x64v1-xanmod1 	       0        1        1        0        0
44665 linux-headers-6.1.22-x64v1-xanmod1 	       0        1        1        0        0
44666 linux-headers-6.1.47-x64v1-xanmod1 	       0        1        1        0        0
44667 linux-headers-6.1.62-gnu           	       0        1        1        0        0
44668 linux-headers-6.1.62-x64v1-xanmod1 	       0        1        1        0        0
44669 linux-headers-6.1.63-x64v1-xanmod1 	       0        1        1        0        0
44670 linux-headers-6.1.64-x64v1-xanmod1 	       0        1        1        0        0
44671 linux-headers-6.1.96-319           	       0        1        1        0        0
44672 linux-headers-6.10.10-2-liquorix-amd64	       0        1        1        0        0
44673 linux-headers-6.10.11+bpo-amd64    	       0       10       10        0        0
44674 linux-headers-6.10.11+bpo-common   	       0       11       11        0        0
44675 linux-headers-6.10.11+bpo-common-rt	       0        1        1        0        0
44676 linux-headers-6.10.11+bpo-rt-amd64 	       0        1        1        0        0
44677 linux-headers-6.10.11-amd64        	       0        7        7        0        0
44678 linux-headers-6.10.11-common       	       0        7        7        0        0
44679 linux-headers-6.10.12-amd64        	       0        1        1        0        0
44680 linux-headers-6.10.12-common       	       0        1        1        0        0
44681 linux-headers-6.10.3-amd64         	       0        6        6        0        0
44682 linux-headers-6.10.3-common        	       0        7        7        0        0
44683 linux-headers-6.10.4-2-liquorix-amd64	       0        2        2        0        0
44684 linux-headers-6.10.4-amd64         	       0        3        3        0        0
44685 linux-headers-6.10.4-common        	       0        3        3        0        0
44686 linux-headers-6.10.4-x64v1-xanmod1 	       0        1        1        0        0
44687 linux-headers-6.10.4-x64v1-xanmod2 	       0        1        1        0        0
44688 linux-headers-6.10.6+bpo-amd64     	       0       11       11        0        0
44689 linux-headers-6.10.6+bpo-common    	       0       11       11        0        0
44690 linux-headers-6.10.6-2-liquorix-amd64	       0        1        1        0        0
44691 linux-headers-6.10.6-amd64         	       0        6        6        0        0
44692 linux-headers-6.10.6-common        	       0        7        7        0        0
44693 linux-headers-6.10.6-custom        	       0        1        1        0        0
44694 linux-headers-6.10.6-x64v4-xanmod1 	       0        1        1        0        0
44695 linux-headers-6.10.7-amd64         	       0        1        1        0        0
44696 linux-headers-6.10.7-common        	       0        2        2        0        0
44697 linux-headers-6.10.9-amd64         	       0        9        9        0        0
44698 linux-headers-6.10.9-common        	       0        9        9        0        0
44699 linux-headers-6.10.9-custom        	       0        1        1        0        0
44700 linux-headers-6.11.10+bpo-amd64    	       0       11       11        0        0
44701 linux-headers-6.11.10+bpo-common   	       0       11       11        0        0
44702 linux-headers-6.11.10+bpo-common-rt	       0        1        1        0        0
44703 linux-headers-6.11.10+bpo-rt-amd64 	       0        1        1        0        0
44704 linux-headers-6.11.10-1-liquorix-amd64	       0        1        1        0        0
44705 linux-headers-6.11.10-amd64        	       0       13       13        0        0
44706 linux-headers-6.11.10-common       	       0       13       13        0        0
44707 linux-headers-6.11.2-amd64         	       0        6        6        0        0
44708 linux-headers-6.11.2-common        	       0        7        7        0        0
44709 linux-headers-6.11.4-amd64         	       0        6        6        0        0
44710 linux-headers-6.11.4-common        	       0        6        6        0        0
44711 linux-headers-6.11.5+bpo-amd64     	       0       10       10        0        0
44712 linux-headers-6.11.5+bpo-common    	       0       11       11        0        0
44713 linux-headers-6.11.5-1-liquorix-amd64	       0        1        1        0        0
44714 linux-headers-6.11.5-amd64         	       0        4        4        0        0
44715 linux-headers-6.11.5-common        	       0        4        4        0        0
44716 linux-headers-6.11.7-2-liquorix-amd64	       0        1        1        0        0
44717 linux-headers-6.11.7-amd64         	       0        4        4        0        0
44718 linux-headers-6.11.7-common        	       0        4        4        0        0
44719 linux-headers-6.11.9-amd64         	       0        2        2        0        0
44720 linux-headers-6.11.9-common        	       0        2        2        0        0
44721 linux-headers-6.12.10-amd64        	       0        4        4        0        0
44722 linux-headers-6.12.10-common       	       0        4        4        0        0
44723 linux-headers-6.12.11-2-liquorix-amd64	       0        1        1        0        0
44724 linux-headers-6.12.11-amd64        	       0        7        2        5        0
44725 linux-headers-6.12.11-common       	       0        7        2        5        0
44726 linux-headers-6.12.11-common-rt    	       0        1        0        1        0
44727 linux-headers-6.12.11-rt-amd64     	       0        1        0        1        0
44728 linux-headers-6.12.12-amd64        	       0        4        0        4        0
44729 linux-headers-6.12.12-common       	       0        4        0        4        0
44730 linux-headers-6.12.12-common-rt    	       0        1        0        1        0
44731 linux-headers-6.12.12-rt-amd64     	       0        1        0        1        0
44732 linux-headers-6.12.13-amd64        	       0        1        0        1        0
44733 linux-headers-6.12.13-common       	       0        1        0        1        0
44734 linux-headers-6.12.3-amd64         	       0        3        3        0        0
44735 linux-headers-6.12.3-common        	       0        3        3        0        0
44736 linux-headers-6.12.5-amd64         	       0        4        4        0        0
44737 linux-headers-6.12.5-common        	       0        4        4        0        0
44738 linux-headers-6.12.6-1-liquorix-amd64	       0        1        1        0        0
44739 linux-headers-6.12.6-amd64         	       0       12       12        0        0
44740 linux-headers-6.12.6-cloud-amd64   	       0        1        1        0        0
44741 linux-headers-6.12.6-common        	       0       12       12        0        0
44742 linux-headers-6.12.6-common-rt     	       0        1        1        0        0
44743 linux-headers-6.12.6-rt-amd64      	       0        1        1        0        0
44744 linux-headers-6.12.7-x64v3-xanmod1 	       0        1        1        0        0
44745 linux-headers-6.12.8-x64v3-xanmod1 	       0        1        1        0        0
44746 linux-headers-6.12.9-amd64         	       0        6        6        0        0
44747 linux-headers-6.12.9-common        	       0        6        6        0        0
44748 linux-headers-6.13.1-x64v3-xanmod1 	       0        1        0        1        0
44749 linux-headers-6.13.2-x64v3-xanmod1 	       0        1        0        1        0
44750 linux-headers-6.3.0-1-amd64        	       0        1        1        0        0
44751 linux-headers-6.3.0-1-common       	       0        1        1        0        0
44752 linux-headers-6.3.0-2-amd64        	       0        2        2        0        0
44753 linux-headers-6.3.0-2-common       	       0        2        2        0        0
44754 linux-headers-6.4.0-0.deb12.2-amd64	       0        2        2        0        0
44755 linux-headers-6.4.0-0.deb12.2-common	       0        2        2        0        0
44756 linux-headers-6.4.0-1-amd64        	       0        1        1        0        0
44757 linux-headers-6.4.0-1-common       	       0        2        2        0        0
44758 linux-headers-6.4.0-2-amd64        	       0        1        1        0        0
44759 linux-headers-6.4.0-2-common       	       0        1        1        0        0
44760 linux-headers-6.4.0-3-amd64        	       0        1        1        0        0
44761 linux-headers-6.4.0-3-common       	       0        1        1        0        0
44762 linux-headers-6.4.0-4-amd64        	       0        1        1        0        0
44763 linux-headers-6.4.0-4-common       	       0        1        1        0        0
44764 linux-headers-6.4.4                	       0        1        0        0        1
44765 linux-headers-6.5.0-0.deb12.1-amd64	       0        2        2        0        0
44766 linux-headers-6.5.0-0.deb12.1-common	       0        2        2        0        0
44767 linux-headers-6.5.0-1-amd64        	       0        5        5        0        0
44768 linux-headers-6.5.0-1-common       	       0        5        5        0        0
44769 linux-headers-6.5.0-2-amd64        	       0        1        1        0        0
44770 linux-headers-6.5.0-2-common       	       0        1        1        0        0
44771 linux-headers-6.5.0-4-amd64        	       0        1        1        0        0
44772 linux-headers-6.5.0-4-common       	       0        1        1        0        0
44773 linux-headers-6.5.0-5-amd64        	       0        1        1        0        0
44774 linux-headers-6.5.0-5-common       	       0        2        2        0        0
44775 linux-headers-6.6.0                	       0        1        1        0        0
44776 linux-headers-6.6.0-odroid-arm64   	       0        1        1        0        0
44777 linux-headers-6.6.0-odroid-common  	       0        1        1        0        0
44778 linux-headers-6.6.11-amd64         	       0        1        1        0        0
44779 linux-headers-6.6.11-common        	       0        1        1        0        0
44780 linux-headers-6.6.13+bpo-amd64     	       0        6        6        0        0
44781 linux-headers-6.6.13+bpo-common    	       0        6        6        0        0
44782 linux-headers-6.6.13-amd64         	       0        1        1        0        0
44783 linux-headers-6.6.13-common        	       0        2        2        0        0
44784 linux-headers-6.6.15-amd64         	       0        3        3        0        0
44785 linux-headers-6.6.15-common        	       0        3        3        0        0
44786 linux-headers-6.6.30-rt30-x64v3-xanmod1	       0        1        1        0        0
44787 linux-headers-6.6.30-x64v3-xanmod1 	       0        1        1        0        0
44788 linux-headers-6.6.31-rt31-x64v3-xanmod1	       0        1        1        0        0
44789 linux-headers-6.6.31-x64v3-xanmod1 	       0        1        1        0        0
44790 linux-headers-6.6.40-x64v3-xanmod1 	       0        1        1        0        0
44791 linux-headers-6.6.44-x64v1-xanmod1 	       0        1        1        0        0
44792 linux-headers-6.6.46-x64v1-xanmod1 	       0        1        1        0        0
44793 linux-headers-6.6.49-gnu           	       0        1        1        0        0
44794 linux-headers-6.6.52-rt43-x64v3-xanmod1	       0        1        1        0        0
44795 linux-headers-6.6.58               	       0        1        1        0        0
44796 linux-headers-6.6.58-x64v1-xanmod1 	       0        1        1        0        0
44797 linux-headers-6.6.63-x64v1-xanmod1 	       0        2        2        0        0
44798 linux-headers-6.6.64-x64v1-xanmod1 	       0        1        1        0        0
44799 linux-headers-6.6.65-x64v1-xanmod1 	       0        1        1        0        0
44800 linux-headers-6.6.66-x64v1-xanmod1 	       0        1        1        0        0
44801 linux-headers-6.6.70-x64v1-xanmod1 	       0        1        1        0        0
44802 linux-headers-6.6.8-amd64          	       0        2        2        0        0
44803 linux-headers-6.6.8-common         	       0        2        2        0        0
44804 linux-headers-6.6.8-yogabook1      	       0        1        1        0        0
44805 linux-headers-6.6.9-amd64          	       0        1        1        0        0
44806 linux-headers-6.6.9-common         	       0        1        1        0        0
44807 linux-headers-6.7.12+bpo-amd64     	       0        4        4        0        0
44808 linux-headers-6.7.12+bpo-common    	       0        4        4        0        0
44809 linux-headers-6.7.12-amd64         	       0        1        1        0        0
44810 linux-headers-6.7.12-common        	       0        1        1        0        0
44811 linux-headers-6.7.9-amd64          	       0        2        2        0        0
44812 linux-headers-6.7.9-common         	       0        2        2        0        0
44813 linux-headers-6.8.12-amd64         	       0        5        5        0        0
44814 linux-headers-6.8.12-common        	       0        5        5        0        0
44815 linux-headers-6.8.3                	       0        1        1        0        0
44816 linux-headers-6.8.9-amd64          	       0        1        1        0        0
44817 linux-headers-6.8.9-common         	       0        1        1        0        0
44818 linux-headers-6.8.9-x64v3-xanmod1  	       0        1        1        0        0
44819 linux-headers-6.9.10+bpo-amd64     	       0        5        5        0        0
44820 linux-headers-6.9.10+bpo-common    	       0        5        5        0        0
44821 linux-headers-6.9.10-amd64         	       0        2        2        0        0
44822 linux-headers-6.9.10-common        	       0        2        2        0        0
44823 linux-headers-6.9.10-x64v3-xanmod1 	       0        2        2        0        0
44824 linux-headers-6.9.11-1-liquorix-amd64	       0        2        2        0        0
44825 linux-headers-6.9.12-2-liquorix-amd64	       0        1        1        0        0
44826 linux-headers-6.9.12-amd64         	       0        3        3        0        0
44827 linux-headers-6.9.12-common        	       0        4        4        0        0
44828 linux-headers-6.9.6-kirkwood-tld-1 	       0        1        1        0        0
44829 linux-headers-6.9.7+bpo-amd64      	       0       11       11        0        0
44830 linux-headers-6.9.7+bpo-common     	       0       11       11        0        0
44831 linux-headers-6.9.7-1-liquorix-amd64	       0        1        1        0        0
44832 linux-headers-6.9.7-amd64          	       0        2        2        0        0
44833 linux-headers-6.9.7-common         	       0        2        2        0        0
44834 linux-headers-6.9.8-amd64          	       0        2        2        0        0
44835 linux-headers-6.9.8-common         	       0        2        2        0        0
44836 linux-headers-6.9.9-1-liquorix-amd64	       0        1        1        0        0
44837 linux-headers-6.9.9-x64v3-xanmod1  	       0        1        1        0        0
44838 linux-headers-686                  	       0        4        0        0        4
44839 linux-headers-686-pae              	       0       16        0        0       16
44840 linux-headers-amd64                	       0      860        0        0      860
44841 linux-headers-arm64                	       0        2        0        0        2
44842 linux-headers-bcm2709-rpi-2+3      	       0        1        1        0        0
44843 linux-headers-liquorix-amd64       	       0        6        0        0        6
44844 linux-headers-powerpc64le          	       0        1        0        0        1
44845 linux-headers-rt-amd64             	       0        1        0        0        1
44846 linux-headers-vserver-3.18-beng    	       0        2        0        0        2
44847 linux-headers-vserver-4.4-beng     	       0        6        0        0        6
44848 linux-headers-vserver-4.9-beng     	       0        5        0        0        5
44849 linux-image-2.6-amd64              	       0        8        0        0        8
44850 linux-image-2.6.26-486-voyage      	       0        1        1        0        0
44851 linux-image-2.6.32-5-486           	       0        1        1        0        0
44852 linux-image-3.12-0.bpo.1-amd64     	       0        1        1        0        0
44853 linux-image-3.14-0.bpo.2-amd64     	       0        1        1        0        0
44854 linux-image-3.16-0.bpo.2-686-pae   	       0        1        1        0        0
44855 linux-image-3.16.0-0.bpo.4-586     	       0        1        1        0        0
44856 linux-image-3.16.0-0.bpo.4-amd64   	       0        3        1        0        2
44857 linux-image-3.16.0-10-586          	       0        1        1        0        0
44858 linux-image-3.16.0-10-686-pae      	       0        2        2        0        0
44859 linux-image-3.16.0-10-amd64        	       0        9        9        0        0
44860 linux-image-3.16.0-11-686-pae      	       0        2        2        0        0
44861 linux-image-3.16.0-11-amd64        	       0       10       10        0        0
44862 linux-image-3.16.0-4-586           	       0        1        0        1        0
44863 linux-image-3.16.0-4-686-pae       	       0        6        5        0        1
44864 linux-image-3.16.0-4-amd64-dbg     	       0        1        1        0        0
44865 linux-image-3.16.0-5-586           	       0        1        1        0        0
44866 linux-image-3.16.0-5-686-pae       	       0        1        1        0        0
44867 linux-image-3.16.0-5-amd64         	       0       12       12        0        0
44868 linux-image-3.16.0-6-586           	       0        1        1        0        0
44869 linux-image-3.16.0-6-686-pae       	       0        3        3        0        0
44870 linux-image-3.16.0-6-amd64         	       0       23       23        0        0
44871 linux-image-3.16.0-7-586           	       0        1        1        0        0
44872 linux-image-3.16.0-7-686-pae       	       0        1        1        0        0
44873 linux-image-3.16.0-7-amd64         	       0        8        8        0        0
44874 linux-image-3.16.0-8-586           	       0        1        1        0        0
44875 linux-image-3.16.0-8-amd64         	       0        2        1        0        1
44876 linux-image-3.16.0-9-586           	       0        1        1        0        0
44877 linux-image-3.16.0-9-amd64         	       0        2        2        0        0
44878 linux-image-3.16.43-intel-server-64bit	       0        1        1        0        0
44879 linux-image-3.18.91-vs2.3.7.5-beng 	       0        2        2        0        0
44880 linux-image-3.18.98-vs2.3.7.5-beng 	       0        1        1        0        0
44881 linux-image-3.2.0-4-486            	       0        1        1        0        0
44882 linux-image-3.2.0-4-686-pae        	       0        2        2        0        0
44883 linux-image-3.2.0-5-amd64          	       0        2        2        0        0
44884 linux-image-3.9-1-amd64            	       0        1        1        0        0
44885 linux-image-4.10.0-rc6-amd64       	       0        1        1        0        0
44886 linux-image-4.14.0-0.bpo.2-amd64   	       0        1        1        0        0
44887 linux-image-4.14.0-0.bpo.3-amd64   	       0        1        1        0        0
44888 linux-image-4.14.0-rc4             	       0        1        0        0        1
44889 linux-image-4.14.0-rc6-snow        	       0        1        0        0        1
44890 linux-image-4.15.0-rc1-snow        	       0        1        0        0        1
44891 linux-image-4.15.9.64              	       0        1        1        0        0
44892 linux-image-4.16.0-0.bpo.2-amd64   	       0        1        1        0        0
44893 linux-image-4.16.0-rc5-snow        	       0        1        0        0        1
44894 linux-image-4.18.0-0.bpo.1-amd64   	       0        1        1        0        0
44895 linux-image-4.18.0-0.bpo.1-cloud-amd64	       0        1        1        0        0
44896 linux-image-4.18.0-2-amd64         	       0        2        2        0        0
44897 linux-image-4.19-amd64             	       0        3        0        0        3
44898 linux-image-4.19.0-0.bpo.1-amd64   	       0        1        1        0        0
44899 linux-image-4.19.0-0.bpo.14-amd64  	       0        1        1        0        0
44900 linux-image-4.19.0-0.bpo.19-amd64  	       0        4        4        0        0
44901 linux-image-4.19.0-0.bpo.2-amd64   	       0        1        1        0        0
44902 linux-image-4.19.0-0.bpo.2-amd64-unsigned	       0        1        1        0        0
44903 linux-image-4.19.0-0.bpo.6-amd64   	       0        2        2        0        0
44904 linux-image-4.19.0-0.bpo.9-cloud-amd64	       0        1        1        0        0
44905 linux-image-4.19.0-10-amd64        	       0       18       17        0        1
44906 linux-image-4.19.0-11-amd64        	       0       10        9        0        1
44907 linux-image-4.19.0-11-armmp        	       0        1        1        0        0
44908 linux-image-4.19.0-12-amd64        	       0       14       13        0        1
44909 linux-image-4.19.0-12-armmp        	       0        1        1        0        0
44910 linux-image-4.19.0-12-rt-amd64-unsigned	       0        1        1        0        0
44911 linux-image-4.19.0-14-686          	       0        8        7        1        0
44912 linux-image-4.19.0-14-rt-amd64     	       0        1        0        0        1
44913 linux-image-4.19.0-16-686          	       0        1        1        0        0
44914 linux-image-4.19.0-16-amd64        	       0       37       36        0        1
44915 linux-image-4.19.0-17-686          	       0        2        2        0        0
44916 linux-image-4.19.0-17-686-pae      	       0        1        1        0        0
44917 linux-image-4.19.0-18-686          	       0        3        3        0        0
44918 linux-image-4.19.0-18-amd64        	       0       51       49        0        2
44919 linux-image-4.19.0-19-amd64        	       0        9        9        0        0
44920 linux-image-4.19.0-20-rt-amd64-unsigned	       0        1        1        0        0
44921 linux-image-4.19.0-21-686-pae      	       0        2        2        0        0
44922 linux-image-4.19.0-21-marvell      	       0        1        1        0        0
44923 linux-image-4.19.0-22-686          	       0        1        1        0        0
44924 linux-image-4.19.0-22-686-pae      	       0        1        1        0        0
44925 linux-image-4.19.0-23-686          	       0        1        1        0        0
44926 linux-image-4.19.0-23-686-pae      	       0        2        2        0        0
44927 linux-image-4.19.0-23-amd64        	       0       30       29        0        1
44928 linux-image-4.19.0-24-686          	       0        2        2        0        0
44929 linux-image-4.19.0-24-686-pae      	       0        2        2        0        0
44930 linux-image-4.19.0-25-686          	       0        4        4        0        0
44931 linux-image-4.19.0-25-686-pae      	       0        6        6        0        0
44932 linux-image-4.19.0-26-686          	       0        6        6        0        0
44933 linux-image-4.19.0-26-686-pae      	       0        6        6        0        0
44934 linux-image-4.19.0-27-686          	       0        5        5        0        0
44935 linux-image-4.19.0-27-686-pae      	       0        5        5        0        0
44936 linux-image-4.19.0-3-amd64-unsigned	       0        1        1        0        0
44937 linux-image-4.19.0-4-amd64         	       0        2        2        0        0
44938 linux-image-4.19.0-5-686           	       0        1        1        0        0
44939 linux-image-4.19.0-5-686-pae       	       0        1        1        0        0
44940 linux-image-4.19.0-5-amd64         	       0        4        4        0        0
44941 linux-image-4.19.0-6-686-pae       	       0        1        1        0        0
44942 linux-image-4.19.0-6-amd64         	       0        9        8        0        1
44943 linux-image-4.19.0-6-amd64-dbg     	       0        1        1        0        0
44944 linux-image-4.19.0-8-686-pae       	       0        1        1        0        0
44945 linux-image-4.19.0-8-amd64         	       0        9        9        0        0
44946 linux-image-4.19.0-9-686           	       0        1        1        0        0
44947 linux-image-4.19.0-9-amd64-unsigned	       0        1        1        0        0
44948 linux-image-4.19.0-rc3-snow        	       0        1        0        0        1
44949 linux-image-4.19.0-rc6-moose       	       0        1        0        0        1
44950 linux-image-4.19.0-rc6-moosette    	       0        1        0        0        1
44951 linux-image-4.19.0-rc6-pepper      	       0        1        0        0        1
44952 linux-image-4.19.249               	       0        1        1        0        0
44953 linux-image-4.19.251-proxima       	       0        1        1        0        0
44954 linux-image-4.2.0-0.bpo.1-amd64    	       0        1        1        0        0
44955 linux-image-4.20.0-rc5-snow        	       0        1        0        0        1
44956 linux-image-4.3.0-0.bpo.1-amd64    	       0        2        1        0        1
44957 linux-image-4.4.0-0.bpo.1-amd64    	       0        1        0        0        1
44958 linux-image-4.4.144-vs2.3.9.7.2-beng	       0        4        4        0        0
44959 linux-image-4.4.202-vs2.3.9.8-beng 	       0        5        5        0        0
44960 linux-image-4.4.227-vs2.3.9.9-beng 	       0        1        1        0        0
44961 linux-image-4.5.0-0.bpo.1-amd64    	       0        1        0        0        1
44962 linux-image-4.5.0-0.bpo.2-amd64    	       0        1        0        0        1
44963 linux-image-4.6.0-0.bpo.1-amd64    	       0        1        0        0        1
44964 linux-image-4.7.0-0.bpo.1-amd64    	       0        3        2        0        1
44965 linux-image-4.8.0-0.bpo.2-amd64    	       0        2        1        0        1
44966 linux-image-4.8.0-2-amd64          	       0        1        1        0        0
44967 linux-image-4.9-amd64              	       0        1        0        0        1
44968 linux-image-4.9.0-0.bpo.1-amd64-unsigned	       0        1        0        0        1
44969 linux-image-4.9.0-0.bpo.12-686     	       0        1        1        0        0
44970 linux-image-4.9.0-0.bpo.2-amd64    	       0        2        1        0        1
44971 linux-image-4.9.0-0.bpo.2-rt-amd64 	       0        1        1        0        0
44972 linux-image-4.9.0-0.bpo.3-amd64    	       0        3        2        0        1
44973 linux-image-4.9.0-0.bpo.4-686-pae  	       0        1        0        0        1
44974 linux-image-4.9.0-0.bpo.4-amd64    	       0        2        1        0        1
44975 linux-image-4.9.0-0.bpo.5-amd64    	       0        5        4        0        1
44976 linux-image-4.9.0-0.bpo.6-amd64    	       0        4        4        0        0
44977 linux-image-4.9.0-1-amd64          	       0        1        1        0        0
44978 linux-image-4.9.0-11-686           	       0        3        3        0        0
44979 linux-image-4.9.0-11-686-pae       	       0        6        6        0        0
44980 linux-image-4.9.0-11-amd64-dbg     	       0        1        1        0        0
44981 linux-image-4.9.0-12-686           	       0        2        2        0        0
44982 linux-image-4.9.0-12-686-pae       	       0        3        3        0        0
44983 linux-image-4.9.0-13-686           	       0        2        2        0        0
44984 linux-image-4.9.0-13-686-pae       	       0        7        7        0        0
44985 linux-image-4.9.0-13-rt-amd64      	       0        1        1        0        0
44986 linux-image-4.9.0-14-686           	       0        1        1        0        0
44987 linux-image-4.9.0-14-686-pae       	       0        2        2        0        0
44988 linux-image-4.9.0-14-amd64         	       0       23       21        0        2
44989 linux-image-4.9.0-15-686           	       0        1        1        0        0
44990 linux-image-4.9.0-16-686           	       0        2        2        0        0
44991 linux-image-4.9.0-16-686-pae       	       0        1        1        0        0
44992 linux-image-4.9.0-16-amd64         	       0       25       24        0        1
44993 linux-image-4.9.0-17-686           	       0        2        2        0        0
44994 linux-image-4.9.0-17-amd64         	       0       18       18        0        0
44995 linux-image-4.9.0-18-686           	       0        1        1        0        0
44996 linux-image-4.9.0-18-amd64         	       0       29       28        0        1
44997 linux-image-4.9.0-19-686           	       0        3        3        0        0
44998 linux-image-4.9.0-19-686-pae       	       0        5        5        0        0
44999 linux-image-4.9.0-19-amd64         	       0       52       52        0        0
45000 linux-image-4.9.0-2-amd64          	       0        3        3        0        0
45001 linux-image-4.9.0-3-amd64          	       0        1        1        0        0
45002 linux-image-4.9.0-3-rt-amd64       	       0        1        1        0        0
45003 linux-image-4.9.0-4-686-pae        	       0        1        1        0        0
45004 linux-image-4.9.0-4-amd64          	       0        5        5        0        0
45005 linux-image-4.9.0-5-686-pae        	       0        1        1        0        0
45006 linux-image-4.9.0-5-amd64          	       0        6        6        0        0
45007 linux-image-4.9.0-6-686            	       0        3        3        0        0
45008 linux-image-4.9.0-6-686-pae        	       0       14       13        0        1
45009 linux-image-4.9.0-7-amd64          	       0       14       14        0        0
45010 linux-image-4.9.0-8-686            	       0        1        1        0        0
45011 linux-image-4.9.0-8-686-pae        	       0        4        4        0        0
45012 linux-image-4.9.0-8-amd64          	       0       38       35        0        3
45013 linux-image-4.9.0-9-686            	       0        1        1        0        0
45014 linux-image-4.9.0-9-686-pae        	       0        1        1        0        0
45015 linux-image-4.9.164-vs2.3.9.8-beng 	       0        1        1        0        0
45016 linux-image-4.9.18-phenom          	       0        1        1        0        0
45017 linux-image-4.9.202-vs2.3.9.9-beng 	       0        3        3        0        0
45018 linux-image-486                    	       0        2        0        0        2
45019 linux-image-5.0.0-rc5-moose        	       0        1        0        0        1
45020 linux-image-5.0.0-rc5-pepper       	       0        1        0        0        1
45021 linux-image-5.0.0-rc5-snow         	       0        1        0        0        1
45022 linux-image-5.1.0+                 	       0        1        1        0        0
45023 linux-image-5.1.0+-dbg             	       0        1        1        0        0
45024 linux-image-5.10-amd64             	       0        8        0        0        8
45025 linux-image-5.10-rt-amd64          	       0        1        0        0        1
45026 linux-image-5.10.0-0.bpo.15-amd64  	       0        5        5        0        0
45027 linux-image-5.10.0-0.bpo.3-amd64   	       0        2        2        0        0
45028 linux-image-5.10.0-0.bpo.4-686     	       0        1        1        0        0
45029 linux-image-5.10.0-0.bpo.4-amd64   	       0        1        1        0        0
45030 linux-image-5.10.0-0.bpo.5-amd64   	       0        6        6        0        0
45031 linux-image-5.10.0-0.bpo.7-amd64   	       0        6        6        0        0
45032 linux-image-5.10.0-0.bpo.7-arm64   	       0        1        1        0        0
45033 linux-image-5.10.0-0.bpo.8-amd64   	       0        1        1        0        0
45034 linux-image-5.10.0-0.bpo.9-amd64   	       0        2        2        0        0
45035 linux-image-5.10.0-0.deb10.16-arm64	       0        1        1        0        0
45036 linux-image-5.10.0-0.deb10.17-amd64	       0        2        2        0        0
45037 linux-image-5.10.0-0.deb10.19-amd64	       0        2        2        0        0
45038 linux-image-5.10.0-0.deb10.20-amd64	       0        1        1        0        0
45039 linux-image-5.10.0-0.deb10.20-rt-amd64	       0        1        1        0        0
45040 linux-image-5.10.0-0.deb10.21-amd64	       0        1        1        0        0
45041 linux-image-5.10.0-0.deb10.23-amd64	       0        1        1        0        0
45042 linux-image-5.10.0-0.deb10.24-amd64	       0        1        1        0        0
45043 linux-image-5.10.0-0.deb10.26-amd64	       0        2        2        0        0
45044 linux-image-5.10.0-0.deb10.28-amd64	       0        4        4        0        0
45045 linux-image-5.10.0-0.deb10.30-amd64	       0        1        1        0        0
45046 linux-image-5.10.0-1-amd64         	       0        1        1        0        0
45047 linux-image-5.10.0-10-686          	       0        1        1        0        0
45048 linux-image-5.10.0-10-amd64        	       0       26       24        0        2
45049 linux-image-5.10.0-11-686          	       0        1        1        0        0
45050 linux-image-5.10.0-11-686-pae      	       0        1        1        0        0
45051 linux-image-5.10.0-12-686          	       0        3        3        0        0
45052 linux-image-5.10.0-12-amd64        	       0       16       15        0        1
45053 linux-image-5.10.0-13-686          	       0        4        4        0        0
45054 linux-image-5.10.0-13-686-pae      	       0        1        1        0        0
45055 linux-image-5.10.0-13-amd64        	       0       32       29        0        3
45056 linux-image-5.10.0-14-686          	       0        1        1        0        0
45057 linux-image-5.10.0-14-amd64        	       0       28       27        0        1
45058 linux-image-5.10.0-15-686          	       0        3        3        0        0
45059 linux-image-5.10.0-15-amd64        	       0       26       25        0        1
45060 linux-image-5.10.0-15-cloud-amd64  	       0        1        1        0        0
45061 linux-image-5.10.0-15-cloud-amd64-unsigned	       0        1        1        0        0
45062 linux-image-5.10.0-16-686          	       0        2        2        0        0
45063 linux-image-5.10.0-16-amd64        	       0       28       27        0        1
45064 linux-image-5.10.0-16-amd64-dbg    	       0        1        1        0        0
45065 linux-image-5.10.0-16-powerpc64le  	       0        1        1        0        0
45066 linux-image-5.10.0-17-686          	       0        2        2        0        0
45067 linux-image-5.10.0-17-686-pae      	       0        2        2        0        0
45068 linux-image-5.10.0-17-amd64        	       0       26       25        0        1
45069 linux-image-5.10.0-17-powerpc64le  	       0        1        1        0        0
45070 linux-image-5.10.0-18-686          	       0        2        2        0        0
45071 linux-image-5.10.0-18-amd64        	       0       45       44        0        1
45072 linux-image-5.10.0-18-cloud-amd64  	       0        1        1        0        0
45073 linux-image-5.10.0-18-powerpc64le  	       0        1        1        0        0
45074 linux-image-5.10.0-19-686          	       0        2        2        0        0
45075 linux-image-5.10.0-19-686-pae      	       0        1        1        0        0
45076 linux-image-5.10.0-19-amd64        	       0       58       57        0        1
45077 linux-image-5.10.0-19-powerpc64le  	       0        1        1        0        0
45078 linux-image-5.10.0-2-amd64         	       0        2        2        0        0
45079 linux-image-5.10.0-20-686          	       0        4        4        0        0
45080 linux-image-5.10.0-20-686-pae      	       0        2        2        0        0
45081 linux-image-5.10.0-20-amd64        	       0       58       57        0        1
45082 linux-image-5.10.0-20-cloud-amd64  	       0        1        1        0        0
45083 linux-image-5.10.0-21-686          	       0        4        4        0        0
45084 linux-image-5.10.0-21-686-pae      	       0        1        1        0        0
45085 linux-image-5.10.0-21-amd64        	       0       93       93        0        0
45086 linux-image-5.10.0-21-cloud-amd64  	       0        1        1        0        0
45087 linux-image-5.10.0-22-686          	       0        2        2        0        0
45088 linux-image-5.10.0-22-amd64        	       0       27       27        0        0
45089 linux-image-5.10.0-23-686          	       0        8        7        0        1
45090 linux-image-5.10.0-23-686-pae      	       0        1        1        0        0
45091 linux-image-5.10.0-23-amd64        	       0       93       92        0        1
45092 linux-image-5.10.0-23-amd64-dbg    	       0        1        1        0        0
45093 linux-image-5.10.0-23-rt-amd64     	       0        2        2        0        0
45094 linux-image-5.10.0-24-686          	       0        2        2        0        0
45095 linux-image-5.10.0-25-686          	       0        3        3        0        0
45096 linux-image-5.10.0-25-686-pae      	       0        4        4        0        0
45097 linux-image-5.10.0-25-arm64        	       0        1        1        0        0
45098 linux-image-5.10.0-26-686          	       0        6        6        0        0
45099 linux-image-5.10.0-26-686-pae      	       0        2        2        0        0
45100 linux-image-5.10.0-26-amd64        	       0      126      125        0        1
45101 linux-image-5.10.0-26-amd64-unsigned	       0        1        1        0        0
45102 linux-image-5.10.0-26-arm64        	       0        1        1        0        0
45103 linux-image-5.10.0-26-rt-amd64     	       0        2        2        0        0
45104 linux-image-5.10.0-27-686          	       0        2        2        0        0
45105 linux-image-5.10.0-27-amd64        	       0       69       68        0        1
45106 linux-image-5.10.0-27-rt-amd64     	       0        1        1        0        0
45107 linux-image-5.10.0-28-686          	       0        6        6        0        0
45108 linux-image-5.10.0-28-686-pae      	       0        4        4        0        0
45109 linux-image-5.10.0-28-rt-amd64     	       0        1        1        0        0
45110 linux-image-5.10.0-29-686          	       0        2        2        0        0
45111 linux-image-5.10.0-29-686-pae      	       0        1        1        0        0
45112 linux-image-5.10.0-3-amd64         	       0        3        3        0        0
45113 linux-image-5.10.0-30-686          	       0        2        2        0        0
45114 linux-image-5.10.0-30-686-pae      	       0        2        2        0        0
45115 linux-image-5.10.0-30-cloud-amd64  	       0        1        1        0        0
45116 linux-image-5.10.0-31-686          	       0        2        2        0        0
45117 linux-image-5.10.0-31-686-pae      	       0        2        2        0        0
45118 linux-image-5.10.0-32-686          	       0        7        7        0        0
45119 linux-image-5.10.0-32-686-pae      	       0        4        4        0        0
45120 linux-image-5.10.0-32-amd64        	       0      164      163        0        1
45121 linux-image-5.10.0-33-686          	       0       10        9        1        0
45122 linux-image-5.10.0-33-686-pae      	       0        3        3        0        0
45123 linux-image-5.10.0-33-amd64-dbg    	       0        1        1        0        0
45124 linux-image-5.10.0-33-rt-amd64     	       0        2        2        0        0
45125 linux-image-5.10.0-34-amd64        	       0        1        0        1        0
45126 linux-image-5.10.0-4-amd64         	       0        2        2        0        0
45127 linux-image-5.10.0-5-686           	       0        1        0        0        1
45128 linux-image-5.10.0-5-686-pae-unsigned	       0        1        0        0        1
45129 linux-image-5.10.0-6-686           	       0        1        0        0        1
45130 linux-image-5.10.0-6-amd64         	       0        3        3        0        0
45131 linux-image-5.10.0-6-rt-amd64      	       0        1        1        0        0
45132 linux-image-5.10.0-7-amd64         	       0        1        1        0        0
45133 linux-image-5.10.0-8-686-pae       	       0        1        1        0        0
45134 linux-image-5.10.0-8-amd64         	       0       17       17        0        0
45135 linux-image-5.10.0-9-686           	       0       21       18        2        1
45136 linux-image-5.10.0-9-686-pae       	       0        1        1        0        0
45137 linux-image-5.10.0-9-amd64-unsigned	       0        1        1        0        0
45138 linux-image-5.10.1-gnu             	       0        1        1        0        0
45139 linux-image-5.10.106               	       0        1        1        0        0
45140 linux-image-5.10.180-olimex        	       0        1        1        0        0
45141 linux-image-5.10.191               	       0        1        1        0        0
45142 linux-image-5.10.22                	       0        1        1        0        0
45143 linux-image-5.10.220               	       0        1        1        0        0
45144 linux-image-5.10.30-m              	       0        1        0        0        1
45145 linux-image-5.10.30-moose          	       0        1        0        0        1
45146 linux-image-5.10.36-moose          	       0        1        0        0        1
45147 linux-image-5.10.41+               	       0        1        1        0        0
45148 linux-image-5.10.9-castillo        	       0        1        1        0        0
45149 linux-image-5.11.1-moose           	       0        1        0        0        1
45150 linux-image-5.11.11                	       0        1        0        0        1
45151 linux-image-5.11.15-moose          	       0        1        0        0        1
45152 linux-image-5.12.0-moose           	       0        1        0        0        1
45153 linux-image-5.12.0-pepper          	       0        1        0        0        1
45154 linux-image-5.12.0-rc4             	       0        1        0        0        1
45155 linux-image-5.12.0-rc4-moose       	       0        1        0        0        1
45156 linux-image-5.12.0-rc4-moosette    	       0        1        0        0        1
45157 linux-image-5.12.0-rc5-moose       	       0        1        0        0        1
45158 linux-image-5.12.0-rc6-moose       	       0        1        0        0        1
45159 linux-image-5.12.0-rc8-pepper      	       0        1        0        0        1
45160 linux-image-5.13.0-rc4-pepper      	       0        1        0        0        1
45161 linux-image-5.13.0-rc5-pepper      	       0        1        0        0        1
45162 linux-image-5.14.0-0.bpo.2-amd64   	       0        3        2        0        1
45163 linux-image-5.14.0-rc5-pepper      	       0        1        0        0        1
45164 linux-image-5.15.0-0.bpo.2-686-pae-unsigned	       0        1        1        0        0
45165 linux-image-5.15.0-0.bpo.2-amd64   	       0        4        4        0        0
45166 linux-image-5.15.0-1-amd64         	       0        1        1        0        0
45167 linux-image-5.15.0-2-amd64         	       0        3        3        0        0
45168 linux-image-5.15.0-2-rt-amd64      	       0        1        1        0        0
45169 linux-image-5.15.0-3-amd64         	       0        2        2        0        0
45170 linux-image-5.15.0-rc5-pepper      	       0        1        1        0        0
45171 linux-image-5.15.0-rc6-moose       	       0        1        0        0        1
45172 linux-image-5.15.161               	       0        1        1        0        0
45173 linux-image-5.15.164               	       0        1        1        0        0
45174 linux-image-5.15.173-gnu           	       0        1        1        0        0
45175 linux-image-5.15.25-atom           	       0        1        1        0        0
45176 linux-image-5.15.32                	       0        1        1        0        0
45177 linux-image-5.15.4-moose           	       0        1        0        0        1
45178 linux-image-5.15.4-pepper          	       0        1        1        0        0
45179 linux-image-5.15.4-pogo            	       0        1        0        0        1
45180 linux-image-5.15.5-jae             	       0        1        1        0        0
45181 linux-image-5.15.78-xanmod1        	       0        1        1        0        0
45182 linux-image-5.15.81-xanmod1        	       0        1        1        0        0
45183 linux-image-5.15.84-xanmod1        	       0        1        1        0        0
45184 linux-image-5.15.87-xanmod1        	       0        1        1        0        0
45185 linux-image-5.15.89-xanmod1        	       0        1        1        0        0
45186 linux-image-5.16.0-0.bpo.4-amd64   	       0        1        1        0        0
45187 linux-image-5.16.0-0.bpo.4-amd64-unsigned	       0        1        1        0        0
45188 linux-image-5.16.0-0.bpo.4-rt-amd64	       0        1        1        0        0
45189 linux-image-5.16.0-0.bpo.4-rt-amd64-unsigned	       0        1        1        0        0
45190 linux-image-5.16.0-1-amd64         	       0        2        2        0        0
45191 linux-image-5.16.0-2-amd64         	       0        1        1        0        0
45192 linux-image-5.16.0-3-amd64         	       0        2        2        0        0
45193 linux-image-5.16.0-4-amd64         	       0        3        3        0        0
45194 linux-image-5.16.0-5-amd64         	       0        4        4        0        0
45195 linux-image-5.16.0-6-amd64         	       0        4        4        0        0
45196 linux-image-5.17.0-1-686           	       0        1        1        0        0
45197 linux-image-5.17.0-1-amd64         	       0        3        3        0        0
45198 linux-image-5.17.0-2-amd64         	       0        1        1        0        0
45199 linux-image-5.17.0-3-amd64         	       0        1        1        0        0
45200 linux-image-5.17.0-moose           	       0        1        0        0        1
45201 linux-image-5.17.0-pepper          	       0        1        1        0        0
45202 linux-image-5.17.0-rc6-moose       	       0        1        0        0        1
45203 linux-image-5.17.0-rc6-pepper      	       0        1        1        0        0
45204 linux-image-5.17.8                 	       0        1        1        0        0
45205 linux-image-5.18.0-0.bpo.1-amd64   	       0        3        3        0        0
45206 linux-image-5.18.0-0.deb11.4-amd64 	       0        1        1        0        0
45207 linux-image-5.18.0-1-amd64         	       0        1        1        0        0
45208 linux-image-5.18.0-1-rt-amd64-unsigned	       0        1        1        0        0
45209 linux-image-5.18.0-2-686           	       0        1        1        0        0
45210 linux-image-5.18.0-2-amd64         	       0        4        4        0        0
45211 linux-image-5.18.0-3-amd64         	       0        4        4        0        0
45212 linux-image-5.18.0-4-amd64         	       0        2        2        0        0
45213 linux-image-5.19.0-0.deb11.2-686-pae	       0        1        1        0        0
45214 linux-image-5.19.0-0.deb11.2-amd64 	       0        5        5        0        0
45215 linux-image-5.19.0-1-amd64         	       0        3        3        0        0
45216 linux-image-5.19.0-2-amd64         	       0        6        5        0        1
45217 linux-image-5.2.0-2-amd64          	       0        1        1        0        0
45218 linux-image-5.2.0-3-amd64          	       0        1        1        0        0
45219 linux-image-5.2.1-diglator.15      	       0        1        1        0        0
45220 linux-image-5.2.13-19.09.09.amdgpu 	       0        1        1        0        0
45221 linux-image-5.3.0-2-amd64          	       0        1        1        0        0
45222 linux-image-5.4.0-0.bpo.3-amd64    	       0        1        1        0        0
45223 linux-image-5.4.0-1862405280420-generic	       0        1        0        0        1
45224 linux-image-5.4.0-4-amd64          	       0        1        1        0        0
45225 linux-image-5.4.111-moose          	       0        1        0        0        1
45226 linux-image-5.4.111-mse            	       0        1        0        0        1
45227 linux-image-5.4.13                 	       0        1        1        0        0
45228 linux-image-5.5.0-rc2-snow         	       0        1        0        0        1
45229 linux-image-5.6.0-0.bpo.2-amd64    	       0        1        1        0        0
45230 linux-image-5.6.0-2-amd64          	       0        1        1        0        0
45231 linux-image-5.6.0-2-amd64-unsigned 	       0        1        1        0        0
45232 linux-image-5.6.0-rc4-snow         	       0        1        1        0        0
45233 linux-image-5.6.4-rt3              	       0        1        1        0        0
45234 linux-image-5.7.0-0.bpo.2-amd64    	       0        1        1        0        0
45235 linux-image-5.7.0-1-amd64-unsigned 	       0        1        1        0        0
45236 linux-image-5.7.0-3-amd64          	       0        1        1        0        0
45237 linux-image-5.7.19-moose           	       0        1        0        0        1
45238 linux-image-5.8.0-0.bpo.2-amd64    	       0        2        2        0        0
45239 linux-image-5.9.0-0.bpo.5-amd64-dbg	       0        1        1        0        0
45240 linux-image-5.9.0-0.bpo.5-arm64    	       0        1        1        0        0
45241 linux-image-5.9.0-0.bpo.5-rt-amd64 	       0        1        1        0        0
45242 linux-image-5.9.0-1-amd64          	       0        2        2        0        0
45243 linux-image-5.9.0-4-amd64          	       0        1        1        0        0
45244 linux-image-5.9.0-5-amd64          	       0        2        2        0        0
45245 linux-image-586                    	       0        4        0        0        4
45246 linux-image-6.0.0-0.deb11.2-amd64-unsigned	       0        1        1        0        0
45247 linux-image-6.0.0-0.deb11.6-amd64  	       0        6        6        0        0
45248 linux-image-6.0.0-0.deb11.6-amd64-unsigned	       0        1        1        0        0
45249 linux-image-6.0.0-1-amd64          	       0        1        1        0        0
45250 linux-image-6.0.0-2-amd64          	       0        4        3        1        0
45251 linux-image-6.0.0-3-amd64          	       0        1        1        0        0
45252 linux-image-6.0.0-4-amd64          	       0        1        1        0        0
45253 linux-image-6.0.0-5-amd64          	       0        2        2        0        0
45254 linux-image-6.0.0-6-686            	       0        1        1        0        0
45255 linux-image-6.0.0-6-amd64          	       0       10       10        0        0
45256 linux-image-6.0.0-rc1-moose        	       0        1        0        0        1
45257 linux-image-6.0.0-rc6-moose        	       0        1        0        0        1
45258 linux-image-6.0.11                 	       0        1        1        0        0
45259 linux-image-6.0.7-moose            	       0        1        0        0        1
45260 linux-image-6.0.9-surface          	       0        1        1        0        0
45261 linux-image-6.1-amd64              	       0        1        0        0        1
45262 linux-image-6.1.0-0.deb11.11-amd64 	       0        4        4        0        0
45263 linux-image-6.1.0-0.deb11.13-amd64 	       0        6        6        0        0
45264 linux-image-6.1.0-0.deb11.13-rt-amd64	       0        1        1        0        0
45265 linux-image-6.1.0-0.deb11.17-amd64-unsigned	       0        1        1        0        0
45266 linux-image-6.1.0-0.deb11.18-amd64 	       0        3        3        0        0
45267 linux-image-6.1.0-0.deb11.21-686-pae	       0        1        1        0        0
45268 linux-image-6.1.0-0.deb11.21-rt-amd64	       0        1        1        0        0
45269 linux-image-6.1.0-0.deb11.26-amd64-unsigned	       0        1        1        0        0
45270 linux-image-6.1.0-0.deb11.28-amd64 	       0        2        2        0        0
45271 linux-image-6.1.0-0.deb11.5-amd64  	       0        4        4        0        0
45272 linux-image-6.1.0-0.deb11.6-amd64  	       0        1        1        0        0
45273 linux-image-6.1.0-0.deb11.7-amd64  	       0        6        6        0        0
45274 linux-image-6.1.0-0.deb11.7-amd64-unsigned	       0        2        2        0        0
45275 linux-image-6.1.0-0.deb11.9-amd64  	       0        2        2        0        0
45276 linux-image-6.1.0-1-amd64          	       0        1        1        0        0
45277 linux-image-6.1.0-10-686           	       0       78       66       12        0
45278 linux-image-6.1.0-10-686-pae       	       0        4        4        0        0
45279 linux-image-6.1.0-10-rt-amd64      	       0        1        1        0        0
45280 linux-image-6.1.0-11-686           	       0        1        1        0        0
45281 linux-image-6.1.0-11-amd64         	       0       50       50        0        0
45282 linux-image-6.1.0-11-amd64-dbg     	       0        1        1        0        0
45283 linux-image-6.1.0-12-686           	       0        2        2        0        0
45284 linux-image-6.1.0-12-686-pae       	       0        2        2        0        0
45285 linux-image-6.1.0-12-amd64-dbg     	       0        1        1        0        0
45286 linux-image-6.1.0-12-cloud-amd64   	       0        1        1        0        0
45287 linux-image-6.1.0-12-cloud-amd64-dbg	       0        1        1        0        0
45288 linux-image-6.1.0-12-rt-amd64      	       0        1        1        0        0
45289 linux-image-6.1.0-12-rt-amd64-dbg  	       0        1        1        0        0
45290 linux-image-6.1.0-13-686           	       0        5        5        0        0
45291 linux-image-6.1.0-13-amd64-dbg     	       0        1        1        0        0
45292 linux-image-6.1.0-13-cloud-amd64   	       0        1        1        0        0
45293 linux-image-6.1.0-13-cloud-amd64-dbg	       0        1        1        0        0
45294 linux-image-6.1.0-13-rt-amd64      	       0        2        2        0        0
45295 linux-image-6.1.0-13-rt-amd64-dbg  	       0        1        1        0        0
45296 linux-image-6.1.0-14-amd64         	       0        6        6        0        0
45297 linux-image-6.1.0-15-686-pae       	       0        1        1        0        0
45298 linux-image-6.1.0-15-amd64         	       0       35       35        0        0
45299 linux-image-6.1.0-15-arm64         	       0        1        1        0        0
45300 linux-image-6.1.0-16-amd64         	       0       72       71        0        1
45301 linux-image-6.1.0-16-powerpc64le   	       0        1        1        0        0
45302 linux-image-6.1.0-17-686           	       0        2        2        0        0
45303 linux-image-6.1.0-17-686-pae       	       0        1        1        0        0
45304 linux-image-6.1.0-17-amd64-dbg     	       0        1        1        0        0
45305 linux-image-6.1.0-17-amd64-unsigned	       0        1        1        0        0
45306 linux-image-6.1.0-17-rt-amd64      	       0        1        1        0        0
45307 linux-image-6.1.0-18-686           	       0        5        5        0        0
45308 linux-image-6.1.0-18-686-pae       	       0        6        5        0        1
45309 linux-image-6.1.0-18-amd64-unsigned	       0        5        5        0        0
45310 linux-image-6.1.0-18-arm64         	       0        1        1        0        0
45311 linux-image-6.1.0-18-cloud-amd64   	       0        1        1        0        0
45312 linux-image-6.1.0-18-cloud-amd64-unsigned	       0        5        5        0        0
45313 linux-image-6.1.0-18-powerpc64le   	       0        1        1        0        0
45314 linux-image-6.1.0-18-rpi           	       0        1        1        0        0
45315 linux-image-6.1.0-18-rt-amd64      	       0        1        1        0        0
45316 linux-image-6.1.0-18-rt-amd64-unsigned	       0        5        5        0        0
45317 linux-image-6.1.0-19-amd64         	       0        4        4        0        0
45318 linux-image-6.1.0-2-amd64          	       0        2        2        0        0
45319 linux-image-6.1.0-2.frea-amd64-unsigned	       0        1        1        0        0
45320 linux-image-6.1.0-20-686           	       0        3        3        0        0
45321 linux-image-6.1.0-20-686-pae       	       0        2        2        0        0
45322 linux-image-6.1.0-20-amd64         	       0      125      125        0        0
45323 linux-image-6.1.0-20-amd64-dbg     	       0        1        1        0        0
45324 linux-image-6.1.0-21-686           	       0        7        7        0        0
45325 linux-image-6.1.0-21-686-pae       	       0        2        2        0        0
45326 linux-image-6.1.0-21-amd64-unsigned	       0        1        1        0        0
45327 linux-image-6.1.0-21-arm64         	       0        1        1        0        0
45328 linux-image-6.1.0-21-powerpc64le   	       0        1        1        0        0
45329 linux-image-6.1.0-21-rt-amd64      	       0        2        2        0        0
45330 linux-image-6.1.0-21-rt-amd64-dbg  	       0        1        1        0        0
45331 linux-image-6.1.0-22-686           	       0        5        5        0        0
45332 linux-image-6.1.0-22-686-pae       	       0        2        2        0        0
45333 linux-image-6.1.0-22-cloud-amd64   	       0        2        2        0        0
45334 linux-image-6.1.0-22-rt-amd64      	       0        1        1        0        0
45335 linux-image-6.1.0-23-686           	       0       22       22        0        0
45336 linux-image-6.1.0-23-686-pae       	       0        4        3        0        1
45337 linux-image-6.1.0-23-arm64         	       0        2        2        0        0
45338 linux-image-6.1.0-23-rpi           	       0        1        1        0        0
45339 linux-image-6.1.0-23-rt-amd64      	       0        2        2        0        0
45340 linux-image-6.1.0-24-amd64         	       0        2        2        0        0
45341 linux-image-6.1.0-25-amd64-dbg     	       0        1        1        0        0
45342 linux-image-6.1.0-25-amd64-unsigned	       0        1        1        0        0
45343 linux-image-6.1.0-25-armmp         	       0        1        1        0        0
45344 linux-image-6.1.0-25-cloud-amd64   	       0        1        1        0        0
45345 linux-image-6.1.0-25-cloud-amd64-unsigned	       0        1        1        0        0
45346 linux-image-6.1.0-25-powerpc64le   	       0        2        2        0        0
45347 linux-image-6.1.0-25-rpi           	       0        3        3        0        0
45348 linux-image-6.1.0-25-rt-amd64      	       0        5        4        0        1
45349 linux-image-6.1.0-25-rt-amd64-unsigned	       0        1        1        0        0
45350 linux-image-6.1.0-26-686           	       0       19       19        0        0
45351 linux-image-6.1.0-26-686-pae       	       0        4        4        0        0
45352 linux-image-6.1.0-26-amd64-unsigned	       0        1        1        0        0
45353 linux-image-6.1.0-26-armmp         	       0        1        1        0        0
45354 linux-image-6.1.0-26-armmp-lpae    	       0        1        1        0        0
45355 linux-image-6.1.0-26-rpi           	       0        2        2        0        0
45356 linux-image-6.1.0-26-rt-amd64      	       0        3        3        0        0
45357 linux-image-6.1.0-27-686           	       0       11       11        0        0
45358 linux-image-6.1.0-27-686-pae       	       0        6        6        0        0
45359 linux-image-6.1.0-27-cloud-amd64   	       0        1        1        0        0
45360 linux-image-6.1.0-27-rpi           	       0        2        2        0        0
45361 linux-image-6.1.0-27-rt-amd64      	       0        3        3        0        0
45362 linux-image-6.1.0-28-686           	       0       17       17        0        0
45363 linux-image-6.1.0-28-686-pae       	       0        7        7        0        0
45364 linux-image-6.1.0-28-armmp         	       0        2        2        0        0
45365 linux-image-6.1.0-28-armmp-lpae    	       0        1        1        0        0
45366 linux-image-6.1.0-28-cloud-amd64   	       0        2        2        0        0
45367 linux-image-6.1.0-28-rpi           	       0        2        2        0        0
45368 linux-image-6.1.0-28-rt-amd64      	       0        5        5        0        0
45369 linux-image-6.1.0-29-686           	       0        4        4        0        0
45370 linux-image-6.1.0-29-686-pae       	       0        1        1        0        0
45371 linux-image-6.1.0-3-amd64          	       0        5        5        0        0
45372 linux-image-6.1.0-30-686           	       0       11        8        3        0
45373 linux-image-6.1.0-30-686-pae       	       0        3        3        0        0
45374 linux-image-6.1.0-30-amd64-unsigned	       0        1        1        0        0
45375 linux-image-6.1.0-30-armmp         	       0        1        1        0        0
45376 linux-image-6.1.0-30-armmp-lpae    	       0        1        1        0        0
45377 linux-image-6.1.0-30-rt-amd64      	       0        2        2        0        0
45378 linux-image-6.1.0-31-arm64         	       0        1        0        1        0
45379 linux-image-6.1.0-4-amd64          	       0        2        2        0        0
45380 linux-image-6.1.0-5-amd64          	       0        3        2        0        1
45381 linux-image-6.1.0-6-amd64          	       0        8        8        0        0
45382 linux-image-6.1.0-7-amd64          	       0       11       11        0        0
45383 linux-image-6.1.0-7-arm64          	       0        1        1        0        0
45384 linux-image-6.1.0-8-amd64          	       0        1        1        0        0
45385 linux-image-6.1.0-9-amd64          	       0       18       18        0        0
45386 linux-image-6.1.0-moose            	       0        1        0        0        1
45387 linux-image-6.1.0-odroid-arm64     	       0        1        1        0        0
45388 linux-image-6.1.115-326            	       0        1        1        0        0
45389 linux-image-6.1.20-x64v1-xanmod1   	       0        1        1        0        0
45390 linux-image-6.1.22-x64v1-xanmod1   	       0        1        1        0        0
45391 linux-image-6.1.47-x64v1-xanmod1   	       0        1        1        0        0
45392 linux-image-6.1.62-gnu             	       0        1        1        0        0
45393 linux-image-6.1.62-x64v1-xanmod1   	       0        1        1        0        0
45394 linux-image-6.1.63-x64v1-xanmod1   	       0        1        1        0        0
45395 linux-image-6.1.64-x64v1-xanmod1   	       0        1        1        0        0
45396 linux-image-6.1.69-1-custom-mm41   	       0        1        0        0        1
45397 linux-image-6.1.69-1-custom-ryzen9 	       0        1        1        0        0
45398 linux-image-6.1.8-pepper           	       0        1        1        0        0
45399 linux-image-6.1.96-319             	       0        1        1        0        0
45400 linux-image-6.10.0                 	       0        4        3        0        1
45401 linux-image-6.10.0-rc1             	       0        1        1        0        0
45402 linux-image-6.10.0-rc3             	       0        1        1        0        0
45403 linux-image-6.10.0-rc7             	       0        1        0        0        1
45404 linux-image-6.10.10-2-liquorix-amd64	       0        1        1        0        0
45405 linux-image-6.10.11+bpo-amd64-dbg  	       0        1        1        0        0
45406 linux-image-6.10.11+bpo-rt-amd64   	       0        2        2        0        0
45407 linux-image-6.10.11-686-pae        	       0        2        2        0        0
45408 linux-image-6.10.11-amd64          	       0       22       22        0        0
45409 linux-image-6.10.12-686-pae        	       0        1        1        0        0
45410 linux-image-6.10.12-amd64          	       0        5        5        0        0
45411 linux-image-6.10.2                 	       0        1        1        0        0
45412 linux-image-6.10.3-amd64           	       0       19       19        0        0
45413 linux-image-6.10.3-amd64-dbg       	       0        1        1        0        0
45414 linux-image-6.10.4-2-liquorix-amd64	       0        2        2        0        0
45415 linux-image-6.10.4-686             	       0        1        1        0        0
45416 linux-image-6.10.4-amd64           	       0       15       15        0        0
45417 linux-image-6.10.4-amd64-dbg       	       0        1        1        0        0
45418 linux-image-6.10.4-amd64-unsigned  	       0        1        1        0        0
45419 linux-image-6.10.4-x64v1-xanmod1   	       0        1        1        0        0
45420 linux-image-6.10.4-x64v1-xanmod2   	       0        1        1        0        0
45421 linux-image-6.10.5                 	       0        1        0        0        1
45422 linux-image-6.10.6                 	       0        1        0        0        1
45423 linux-image-6.10.6+bpo-amd64       	       0       20       20        0        0
45424 linux-image-6.10.6+bpo-amd64-dbg   	       0        1        1        0        0
45425 linux-image-6.10.6+bpo-amd64-unsigned	       0        1        1        0        0
45426 linux-image-6.10.6-2-liquorix-amd64	       0        1        1        0        0
45427 linux-image-6.10.6-686             	       0        3        3        0        0
45428 linux-image-6.10.6-amd64           	       0       24       23        0        1
45429 linux-image-6.10.6-custom          	       0        1        1        0        0
45430 linux-image-6.10.6-x64v4-xanmod1   	       0        1        1        0        0
45431 linux-image-6.10.7                 	       0        1        0        0        1
45432 linux-image-6.10.9-686             	       0        1        1        0        0
45433 linux-image-6.10.9-686-pae         	       0        1        1        0        0
45434 linux-image-6.10.9-custom          	       0        1        1        0        0
45435 linux-image-6.11.0                 	       0       10       10        0        0
45436 linux-image-6.11.0-1013-oem        	       0        1        0        0        1
45437 linux-image-6.11.0-rc4             	       0        2        1        0        1
45438 linux-image-6.11.0-rc5             	       0        2        0        0        2
45439 linux-image-6.11.10+bpo-rt-amd64   	       0        2        2        0        0
45440 linux-image-6.11.10-1-liquorix-amd64	       0        1        1        0        0
45441 linux-image-6.11.4-amd64           	       0       15       15        0        0
45442 linux-image-6.11.5+bpo-amd64-unsigned	       0        1        1        0        0
45443 linux-image-6.11.5+bpo-rt-amd64    	       0        2        2        0        0
45444 linux-image-6.11.5-1-liquorix-amd64	       0        1        1        0        0
45445 linux-image-6.11.5-amd64           	       0       20       20        0        0
45446 linux-image-6.11.6-amd64           	       0        4        4        0        0
45447 linux-image-6.11.7-2-liquorix-amd64	       0        1        1        0        0
45448 linux-image-6.11.7-amd64           	       0       11       11        0        0
45449 linux-image-6.11.9-amd64           	       0       11       11        0        0
45450 linux-image-6.12.0                 	       0        5        5        0        0
45451 linux-image-6.12.0-rc2             	       0        1        1        0        0
45452 linux-image-6.12.0-rc3             	       0        2        0        0        2
45453 linux-image-6.12.0-rc4             	       0        1        1        0        0
45454 linux-image-6.12.0-rc5             	       0        1        1        0        0
45455 linux-image-6.12.0-rc6             	       0        2        1        0        1
45456 linux-image-6.12.0-rc7             	       0        1        1        0        0
45457 linux-image-6.12.10-amd64-unsigned 	       0        1        1        0        0
45458 linux-image-6.12.11-2-liquorix-amd64	       0        1        1        0        0
45459 linux-image-6.12.11-rt-amd64       	       0        1        0        1        0
45460 linux-image-6.12.12-rt-amd64       	       0        1        0        1        0
45461 linux-image-6.12.16-amd64          	       0        1        0        1        0
45462 linux-image-6.12.17-amd64          	       0        1        0        1        0
45463 linux-image-6.12.5-amd64           	       0       16       16        0        0
45464 linux-image-6.12.6                 	       0        1        1        0        0
45465 linux-image-6.12.6-1-liquorix-amd64	       0        1        1        0        0
45466 linux-image-6.12.6-amd64-unsigned  	       0        1        0        0        1
45467 linux-image-6.12.6-cloud-amd64     	       0        1        1        0        0
45468 linux-image-6.12.6-rt-amd64        	       0        1        1        0        0
45469 linux-image-6.12.7-x64v3-xanmod1   	       0        1        1        0        0
45470 linux-image-6.12.8-amd64           	       0        5        5        0        0
45471 linux-image-6.12.8-gnu             	       0        1        1        0        0
45472 linux-image-6.12.8-x64v3-xanmod1   	       0        1        1        0        0
45473 linux-image-6.12.9+bpo-rt-amd64    	       0        1        1        0        0
45474 linux-image-6.13-rc7-amd64-unsigned	       0        1        1        0        0
45475 linux-image-6.13.0                 	       0        1        1        0        0
45476 linux-image-6.13.0+                	       0        1        1        0        0
45477 linux-image-6.13.0-dirty           	       0        1        1        0        0
45478 linux-image-6.13.0-rc6             	       0        1        1        0        0
45479 linux-image-6.13.1-x64v3-xanmod1   	       0        1        0        1        0
45480 linux-image-6.13.2-x64v3-xanmod1   	       0        1        0        1        0
45481 linux-image-6.2.0-rc3-moose        	       0        1        0        0        1
45482 linux-image-6.2.0-rc3-pepper       	       0        1        1        0        0
45483 linux-image-6.2.12                 	       0        4        4        0        0
45484 linux-image-6.2.12-8821cu          	       0        1        0        0        1
45485 linux-image-6.2.12-snd             	       0        1        0        0        1
45486 linux-image-6.2.12-snd2            	       0        1        0        0        1
45487 linux-image-6.2.2                  	       0        1        1        0        0
45488 linux-image-6.2.2-moose            	       0        1        0        0        1
45489 linux-image-6.2.2-pepper           	       0        1        1        0        0
45490 linux-image-6.3.0-1-amd64          	       0        2        2        0        0
45491 linux-image-6.3.0-2-amd64          	       0        2        2        0        0
45492 linux-image-6.3.0-2-amd64-unsigned 	       0        1        1        0        0
45493 linux-image-6.3.1                  	       0        1        0        0        1
45494 linux-image-6.3.5                  	       0        1        0        0        1
45495 linux-image-6.3.5-new              	       0        1        0        0        1
45496 linux-image-6.3.5-new-3            	       0        1        0        0        1
45497 linux-image-6.3.5new2              	       0        1        0        0        1
45498 linux-image-6.3.6                  	       0        1        0        0        1
45499 linux-image-6.3.6-snd              	       0        1        0        0        1
45500 linux-image-6.3.8                  	       0        1        0        0        1
45501 linux-image-6.3.8-snd              	       0        1        0        0        1
45502 linux-image-6.3.8snd               	       0        1        0        0        1
45503 linux-image-6.4.0                  	       0        1        0        0        1
45504 linux-image-6.4.0-0.deb12.2-amd64  	       0        2        2        0        0
45505 linux-image-6.4.0-1-amd64          	       0        3        3        0        0
45506 linux-image-6.4.0-2-amd64          	       0        1        1        0        0
45507 linux-image-6.4.0-3-amd64          	       0        1        1        0        0
45508 linux-image-6.4.0-3-rt-amd64       	       0        1        1        0        0
45509 linux-image-6.4.0-4-amd64          	       0        4        4        0        0
45510 linux-image-6.4.0-new              	       0        1        0        0        1
45511 linux-image-6.4.0-rc5              	       0        1        0        0        1
45512 linux-image-6.4.0-rc5-bt           	       0        1        0        0        1
45513 linux-image-6.4.0-rc5-new          	       0        1        0        0        1
45514 linux-image-6.4.0-rc7              	       0        1        0        0        1
45515 linux-image-6.4.0-rc7-new          	       0        1        0        0        1
45516 linux-image-6.4.1                  	       0        1        1        0        0
45517 linux-image-6.4.10                 	       0        1        0        0        1
45518 linux-image-6.4.12                 	       0        1        0        0        1
45519 linux-image-6.4.2                  	       0        1        1        0        0
45520 linux-image-6.4.2-crc32            	       0        1        1        0        0
45521 linux-image-6.4.2-kbd              	       0        1        1        0        0
45522 linux-image-6.4.4                  	       0        1        1        0        0
45523 linux-image-6.5.0-0.deb12.1-amd64  	       0        4        4        0        0
45524 linux-image-6.5.0-0.deb12.1-rt-amd64	       0        1        1        0        0
45525 linux-image-6.5.0-0.deb12.4-amd64  	       0        4        4        0        0
45526 linux-image-6.5.0-1-amd64          	       0        5        5        0        0
45527 linux-image-6.5.0-2-amd64          	       0        3        3        0        0
45528 linux-image-6.5.0-3-amd64          	       0        1        1        0        0
45529 linux-image-6.5.0-4-amd64          	       0        3        3        0        0
45530 linux-image-6.5.0-5-amd64          	       0        5        5        0        0
45531 linux-image-6.5.0-rc5              	       0        1        1        0        0
45532 linux-image-6.5.3                  	       0        1        1        0        0
45533 linux-image-6.5.3-w1               	       0        1        1        0        0
45534 linux-image-6.5.3-w2               	       0        1        1        0        0
45535 linux-image-6.5.5                  	       0        1        0        0        1
45536 linux-image-6.6.0-dbg              	       0        1        1        0        0
45537 linux-image-6.6.0-odroid-arm64     	       0        1        1        0        0
45538 linux-image-6.6.0-rc2              	       0        2        1        0        1
45539 linux-image-6.6.0-rc5              	       0        1        0        0        1
45540 linux-image-6.6.1                  	       0        1        0        0        1
45541 linux-image-6.6.11-amd64           	       0        1        1        0        0
45542 linux-image-6.6.13+bpo-amd64       	       0        6        6        0        0
45543 linux-image-6.6.13+bpo-amd64-unsigned	       0        2        2        0        0
45544 linux-image-6.6.13-amd64           	       0        3        3        0        0
45545 linux-image-6.6.3                  	       0        1        0        0        1
45546 linux-image-6.6.30-rt30-x64v3-xanmod1	       0        1        1        0        0
45547 linux-image-6.6.30-x64v3-xanmod1   	       0        1        1        0        0
45548 linux-image-6.6.31-rt31-x64v3-xanmod1	       0        1        0        0        1
45549 linux-image-6.6.34-gnu             	       0        1        1        0        0
45550 linux-image-6.6.35-gnu             	       0        1        1        0        0
45551 linux-image-6.6.4                  	       0        1        0        0        1
45552 linux-image-6.6.44-gnu             	       0        1        1        0        0
45553 linux-image-6.6.44-x64v1-xanmod1   	       0        1        1        0        0
45554 linux-image-6.6.46-x64v1-xanmod1   	       0        1        1        0        0
45555 linux-image-6.6.49-gnu             	       0        1        1        0        0
45556 linux-image-6.6.52-rt43-x64v3-xanmod1	       0        1        1        0        0
45557 linux-image-6.6.58                 	       0        1        1        0        0
45558 linux-image-6.6.58-x64v1-xanmod1   	       0        1        1        0        0
45559 linux-image-6.6.6                  	       0        1        0        0        1
45560 linux-image-6.6.62-x64v1-xanmod1   	       0        1        1        0        0
45561 linux-image-6.6.63-x64v1-xanmod1   	       0        2        2        0        0
45562 linux-image-6.6.64-x64v1-xanmod1   	       0        1        1        0        0
45563 linux-image-6.6.65-x64v1-xanmod1   	       0        1        1        0        0
45564 linux-image-6.6.66-x64v1-xanmod1   	       0        1        1        0        0
45565 linux-image-6.6.70-x64v1-xanmod1   	       0        1        1        0        0
45566 linux-image-6.6.8                  	       0        1        0        0        1
45567 linux-image-6.6.8-amd64            	       0        2        2        0        0
45568 linux-image-6.6.8-yogabook1        	       0        1        1        0        0
45569 linux-image-6.6.9-amd64            	       0        1        1        0        0
45570 linux-image-6.6.9-amd64-unsigned   	       0        1        1        0        0
45571 linux-image-6.7.0                  	       0        3        2        0        1
45572 linux-image-6.7.0-rc3              	       0        1        0        0        1
45573 linux-image-6.7.10                 	       0        2        1        0        1
45574 linux-image-6.7.11                 	       0        2        1        0        1
45575 linux-image-6.7.12+bpo-amd64       	       0        6        6        0        0
45576 linux-image-6.7.12-1-liquorix-amd64	       0        1        1        0        0
45577 linux-image-6.7.7-amd64            	       0        8        8        0        0
45578 linux-image-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45579 linux-image-6.8.11-amd64           	       0        1        1        0        0
45580 linux-image-6.8.3                  	       0        1        1        0        0
45581 linux-image-6.8.9-amd64            	       0        1        1        0        0
45582 linux-image-6.8.9-x64v3-xanmod1    	       0        1        1        0        0
45583 linux-image-6.9.0                  	       0        3        2        0        1
45584 linux-image-6.9.0-rc2              	       0        1        0        0        1
45585 linux-image-6.9.0-rc3              	       0        4        1        0        3
45586 linux-image-6.9.0-rc4              	       0        1        1        0        0
45587 linux-image-6.9.0-rc5              	       0        1        0        0        1
45588 linux-image-6.9.0-rc6              	       0        2        2        0        0
45589 linux-image-6.9.0-rc7              	       0        1        1        0        0
45590 linux-image-6.9.1                  	       0        1        1        0        0
45591 linux-image-6.9.10+bpo-amd64       	       0       10       10        0        0
45592 linux-image-6.9.10-amd64           	       0       12       12        0        0
45593 linux-image-6.9.10-x64v3-xanmod1   	       0        2        2        0        0
45594 linux-image-6.9.11-1-liquorix-amd64	       0        2        2        0        0
45595 linux-image-6.9.11-amd64           	       0        2        2        0        0
45596 linux-image-6.9.12-2-liquorix-amd64	       0        1        1        0        0
45597 linux-image-6.9.12-amd64           	       0       13       13        0        0
45598 linux-image-6.9.6-kirkwood-tld-1   	       0        1        0        0        1
45599 linux-image-6.9.7                  	       0        1        1        0        0
45600 linux-image-6.9.7+bpo-amd64-dbg    	       0        1        1        0        0
45601 linux-image-6.9.7+bpo-amd64-unsigned	       0        1        1        0        0
45602 linux-image-6.9.7+bpo-rt-amd64     	       0        2        2        0        0
45603 linux-image-6.9.7-1-liquorix-amd64 	       0        1        1        0        0
45604 linux-image-6.9.7-amd64            	       0        8        8        0        0
45605 linux-image-6.9.8-amd64            	       0        7        7        0        0
45606 linux-image-6.9.9-1-liquorix-amd64 	       0        1        1        0        0
45607 linux-image-6.9.9-amd64            	       0        4        4        0        0
45608 linux-image-6.9.9-x64v3-xanmod1    	       0        1        1        0        0
45609 linux-image-686                    	       0      158        0        0      158
45610 linux-image-686-pae                	       0       62        0        0       62
45611 linux-image-amd64                  	       0     3573        0        0     3573
45612 linux-image-amd64-dbg              	       0        3        0        0        3
45613 linux-image-amd64-signed-template  	       0        4        0        0        4
45614 linux-image-arm64                  	       0        8        0        0        8
45615 linux-image-armmp                  	       0        2        0        0        2
45616 linux-image-armmp-lpae             	       0        1        0        0        1
45617 linux-image-bcm2709-rpi-2+3        	       0        1        1        0        0
45618 linux-image-cloud-amd64            	       0       10        0        0       10
45619 linux-image-current-sunxi64        	       0        2        2        0        0
45620 linux-image-i386-signed-template   	       0        1        0        0        1
45621 linux-image-liquorix-amd64         	       0        5        0        0        5
45622 linux-image-marvell                	       0        1        0        0        1
45623 linux-image-odroidc4               	       0        1        0        0        1
45624 linux-image-olimex                 	       0        1        0        0        1
45625 linux-image-powerpc64le            	       0        3        0        0        3
45626 linux-image-rpi                    	       0        4        0        0        4
45627 linux-image-rt-amd64               	       0       15        0        0       15
45628 linux-image-uc-6.11.0-1013-oem     	       0        1        0        0        1
45629 linux-image-uc-6.8.0-1021-nvidia-lowlatency	       0        1        0        0        1
45630 linux-image-vserver-3.18-beng      	       0        3        0        0        3
45631 linux-image-vserver-4.4-beng       	       0       10        0        0       10
45632 linux-image-vserver-4.9-beng       	       0       11        0        0       11
45633 linux-kbuild-2.6.18                	       0        1        0        0        1
45634 linux-kbuild-2.6.25                	       0        1        0        0        1
45635 linux-kbuild-2.6.26                	       0        1        0        0        1
45636 linux-kbuild-2.6.32                	       0        4        0        0        4
45637 linux-kbuild-3.0.0                 	       0        1        0        0        1
45638 linux-kbuild-3.10                  	       0        1        0        0        1
45639 linux-kbuild-3.11                  	       0        1        0        0        1
45640 linux-kbuild-3.14                  	       0        1        0        0        1
45641 linux-kbuild-3.16                  	       0       37        0        0       37
45642 linux-kbuild-3.18                  	       0        1        0        0        1
45643 linux-kbuild-3.2                   	       0       22        0        0       22
45644 linux-kbuild-4.14                  	       0        2        0        0        2
45645 linux-kbuild-4.16                  	       0        1        0        0        1
45646 linux-kbuild-4.19                  	       0       82        0        0       82
45647 linux-kbuild-4.2                   	       0        1        0        0        1
45648 linux-kbuild-4.5                   	       0        1        0        0        1
45649 linux-kbuild-4.6                   	       0        1        0        0        1
45650 linux-kbuild-4.7                   	       0        2        0        0        2
45651 linux-kbuild-4.8                   	       0        3        0        0        3
45652 linux-kbuild-4.9                   	       0       83        0        0       83
45653 linux-kbuild-5.10                  	       0      279        0        0      279
45654 linux-kbuild-5.14                  	       0        4        0        0        4
45655 linux-kbuild-5.15                  	       0        5        0        0        5
45656 linux-kbuild-5.16                  	       0        9        0        0        9
45657 linux-kbuild-5.17                  	       0        3        0        0        3
45658 linux-kbuild-5.18                  	       0       10        0        0       10
45659 linux-kbuild-5.19                  	       0        3        0        0        3
45660 linux-kbuild-5.2                   	       0        2        0        0        2
45661 linux-kbuild-5.4                   	       0        5        0        0        5
45662 linux-kbuild-5.6                   	       0        2        0        0        2
45663 linux-kbuild-5.7                   	       0        3        0        0        3
45664 linux-kbuild-5.8                   	       0        6        0        0        6
45665 linux-kbuild-5.9                   	       0        5        0        0        5
45666 linux-kbuild-6.0                   	       0        7        0        0        7
45667 linux-kbuild-6.1                   	       0      686        0        0      686
45668 linux-kbuild-6.10.11               	       0        7        0        0        7
45669 linux-kbuild-6.10.11+bpo           	       0       12        0        0       12
45670 linux-kbuild-6.10.12               	       0        1        0        0        1
45671 linux-kbuild-6.10.3                	       0        7        0        0        7
45672 linux-kbuild-6.10.4                	       0        3        0        0        3
45673 linux-kbuild-6.10.6                	       0        7        0        0        7
45674 linux-kbuild-6.10.6+bpo            	       0       11        0        0       11
45675 linux-kbuild-6.10.7                	       0        1        0        0        1
45676 linux-kbuild-6.10.9                	       0        9        0        0        9
45677 linux-kbuild-6.11.10               	       0       13        0        0       13
45678 linux-kbuild-6.11.10+bpo           	       0       12        0        0       12
45679 linux-kbuild-6.11.2                	       0        7        0        0        7
45680 linux-kbuild-6.11.4                	       0        6        0        0        6
45681 linux-kbuild-6.11.5                	       0        4        0        0        4
45682 linux-kbuild-6.11.5+bpo            	       0       11        0        0       11
45683 linux-kbuild-6.11.7                	       0        4        0        0        4
45684 linux-kbuild-6.11.9                	       0        2        0        0        2
45685 linux-kbuild-6.12.10               	       0        4        0        0        4
45686 linux-kbuild-6.12.11               	       0        8        0        0        8
45687 linux-kbuild-6.12.12               	       0        5        0        0        5
45688 linux-kbuild-6.12.13               	       0        1        0        0        1
45689 linux-kbuild-6.12.3                	       0        3        0        0        3
45690 linux-kbuild-6.12.5                	       0        4        0        0        4
45691 linux-kbuild-6.12.6                	       0       12        0        0       12
45692 linux-kbuild-6.12.8                	       0        1        0        0        1
45693 linux-kbuild-6.12.9                	       0        6        0        0        6
45694 linux-kbuild-6.12.9+bpo            	       0       14        0        0       14
45695 linux-kbuild-6.3                   	       0        3        0        0        3
45696 linux-kbuild-6.4.0-0.deb12.2       	       0        2        0        0        2
45697 linux-kbuild-6.4.0-1               	       0        2        0        0        2
45698 linux-kbuild-6.4.0-2               	       0        1        0        0        1
45699 linux-kbuild-6.4.0-3               	       0        1        0        0        1
45700 linux-kbuild-6.4.0-4               	       0        1        0        0        1
45701 linux-kbuild-6.5.0-0.deb12.1       	       0        2        0        0        2
45702 linux-kbuild-6.5.0-0.deb12.4       	       0        6        0        0        6
45703 linux-kbuild-6.5.0-1               	       0        5        0        0        5
45704 linux-kbuild-6.5.0-2               	       0        1        0        0        1
45705 linux-kbuild-6.5.0-4               	       0        1        0        0        1
45706 linux-kbuild-6.5.0-5               	       0        2        0        0        2
45707 linux-kbuild-6.6                   	       0        1        0        0        1
45708 linux-kbuild-6.6.11                	       0        1        0        0        1
45709 linux-kbuild-6.6.13                	       0        2        0        0        2
45710 linux-kbuild-6.6.13+bpo            	       0        6        0        0        6
45711 linux-kbuild-6.6.15                	       0        3        0        0        3
45712 linux-kbuild-6.6.8                 	       0        2        0        0        2
45713 linux-kbuild-6.6.9                 	       0        1        0        0        1
45714 linux-kbuild-6.7.12                	       0        1        0        0        1
45715 linux-kbuild-6.7.12+bpo            	       0        4        0        0        4
45716 linux-kbuild-6.7.9                 	       0        2        0        0        2
45717 linux-kbuild-6.8.12                	       0        5        0        0        5
45718 linux-kbuild-6.8.9                 	       0        1        0        0        1
45719 linux-kbuild-6.9.10                	       0        2        0        0        2
45720 linux-kbuild-6.9.10+bpo            	       0        5        0        0        5
45721 linux-kbuild-6.9.12                	       0        4        0        0        4
45722 linux-kbuild-6.9.7                 	       0        2        0        0        2
45723 linux-kbuild-6.9.7+bpo             	       0       11        0        0       11
45724 linux-kbuild-6.9.8                 	       0        2        0        0        2
45725 linux-libc-dev-alpha-cross         	       0        1        1        0        0
45726 linux-libc-dev-amd64-cross         	       0        5        5        0        0
45727 linux-libc-dev-armel-cross         	       0       23       22        1        0
45728 linux-libc-dev-m68k-cross          	       0        1        1        0        0
45729 linux-libc-dev-mips-cross          	       0        5        5        0        0
45730 linux-libc-dev-mips64-cross        	       0        1        1        0        0
45731 linux-libc-dev-mips64el-cross      	       0        1        1        0        0
45732 linux-libc-dev-mips64r6el-cross    	       0        1        1        0        0
45733 linux-libc-dev-mipsel-cross        	       0        5        5        0        0
45734 linux-libc-dev-powerpc-cross       	       0        2        2        0        0
45735 linux-libc-dev-ppc64-cross         	       0        3        3        0        0
45736 linux-libc-dev-ppc64el-cross       	       0        1        1        0        0
45737 linux-libc-dev-s390x-cross         	       0        1        1        0        0
45738 linux-libc-dev-x32-cross           	       0        6        6        0        0
45739 linux-libre                        	       0        1        0        0        1
45740 linux-libre-5.15                   	       0        1        0        0        1
45741 linux-libre-5.15-headers           	       0        1        0        0        1
45742 linux-libre-lts                    	       0        4        0        0        4
45743 linux-libre-lts-headers            	       0        1        0        0        1
45744 linux-manual-2.6.32                	       0        1        0        0        1
45745 linux-manual-4.9                   	       0        2        0        0        2
45746 linux-mediatree                    	       0        1        0        0        1
45747 linux-modules-5.4.0-1862405280420-generic	       0        1        1        0        0
45748 linux-modules-6.8.0-1021-nvidia-lowlatency	       0        2        0        2        0
45749 linux-modules-extra-5.4.0-1862405280420-generic	       0        1        0        0        1
45750 linux-modules-extra-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45751 linux-modules-ipu6-6.11.0-1013-oem 	       0        1        0        0        1
45752 linux-modules-ipu7-6.11.0-1013-oem 	       0        1        0        0        1
45753 linux-modules-iwlwifi-6.11.0-1013-oem	       0        1        0        0        1
45754 linux-modules-nvidia-fs-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45755 linux-modules-usbio-6.11.0-1013-oem	       0        1        0        0        1
45756 linux-modules-vision-6.11.0-1013-oem	       0        1        0        0        1
45757 linux-nvidia-lowlatency-headers-6.8.0-1021	       0        2        0        2        0
45758 linux-objects-nvidia-470-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45759 linux-objects-nvidia-470-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45760 linux-objects-nvidia-535-6.11.0-1013-oem	       0        1        0        0        1
45761 linux-objects-nvidia-535-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45762 linux-objects-nvidia-535-open-6.11.0-1013-oem	       0        1        0        0        1
45763 linux-objects-nvidia-535-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45764 linux-objects-nvidia-535-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45765 linux-objects-nvidia-535-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45766 linux-objects-nvidia-550-6.11.0-1013-oem	       0        1        0        0        1
45767 linux-objects-nvidia-550-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45768 linux-objects-nvidia-550-open-6.11.0-1013-oem	       0        1        0        0        1
45769 linux-objects-nvidia-550-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45770 linux-objects-nvidia-550-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45771 linux-objects-nvidia-550-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45772 linux-objects-nvidia-565-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45773 linux-objects-nvidia-565-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45774 linux-oem-6.11-headers-6.11.0-1013 	       0        1        0        1        0
45775 linux-patch-debian-3.0.0           	       0        1        0        0        1
45776 linux-patch-debianlogo             	       0        1        0        0        1
45777 linux-patch-nfs-ngroups            	       0        1        0        0        1
45778 linux-patch-tuxonice               	       0        1        0        0        1
45779 linux-perf                         	       0       53       39        2       12
45780 linux-perf-4.19                    	       0        5        5        0        0
45781 linux-perf-4.9                     	       0        5        5        0        0
45782 linux-perf-5.10                    	       0       10       10        0        0
45783 linux-perf-5.15                    	       0        1        1        0        0
45784 linux-show-player                  	       0        2        2        0        0
45785 linux-signatures-nvidia-6.11.0-1013-oem	       0        1        0        0        1
45786 linux-signatures-nvidia-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45787 linux-source                       	       0       66        0        0       66
45788 linux-source-2.6.18                	       0        1        0        0        1
45789 linux-source-2.6.32                	       0        1        0        0        1
45790 linux-source-3.0.0                 	       0        1        0        0        1
45791 linux-source-3.10                  	       0        1        0        0        1
45792 linux-source-3.11                  	       0        1        0        0        1
45793 linux-source-3.12                  	       0        1        0        0        1
45794 linux-source-3.13                  	       0        1        0        0        1
45795 linux-source-3.16                  	       0        5        0        0        5
45796 linux-source-3.18.91-vs2.3.7.5-beng	       0        2        0        0        2
45797 linux-source-3.19                  	       0        1        0        0        1
45798 linux-source-3.2                   	       0        1        0        0        1
45799 linux-source-3.4                   	       0        1        0        0        1
45800 linux-source-3.7                   	       0        1        0        0        1
45801 linux-source-4.1                   	       0        1        0        0        1
45802 linux-source-4.16                  	       0        2        0        0        2
45803 linux-source-4.17                  	       0        1        0        0        1
45804 linux-source-4.19                  	       0        9        0        0        9
45805 linux-source-4.3                   	       0        1        0        0        1
45806 linux-source-4.4.202-vs2.3.9.8-beng	       0        1        0        0        1
45807 linux-source-4.8                   	       0        1        0        0        1
45808 linux-source-4.9                   	       0       11        0        0       11
45809 linux-source-5.10                  	       0       21        0        0       21
45810 linux-source-5.14                  	       0        1        0        0        1
45811 linux-source-5.15                  	       0        2        0        0        2
45812 linux-source-5.17                  	       0        1        0        0        1
45813 linux-source-5.18                  	       0        1        0        0        1
45814 linux-source-5.4                   	       0        1        0        0        1
45815 linux-source-5.6                   	       0        1        0        0        1
45816 linux-source-5.7                   	       0        1        0        0        1
45817 linux-source-6.0                   	       0        1        0        0        1
45818 linux-source-6.1                   	       0       44        0        0       44
45819 linux-source-6.10                  	       0        7        0        0        7
45820 linux-source-6.12                  	       0        3        0        0        3
45821 linux-source-6.3                   	       0        1        0        0        1
45822 linux-source-6.4                   	       0        2        0        0        2
45823 linux-source-6.5                   	       0        2        0        0        2
45824 linux-source-6.6                   	       0        1        0        0        1
45825 linux-source-6.8                   	       0        1        0        0        1
45826 linux-source-vserver-3.18-beng     	       0        2        0        0        2
45827 linux-source-vserver-4.4-beng      	       0        1        0        0        1
45828 linux-support-3.0.0-1              	       0        1        1        0        0
45829 linux-support-3.11-2               	       0        1        1        0        0
45830 linux-support-3.12-rc7             	       0        1        1        0        0
45831 linux-support-3.19.0-trunk         	       0        1        1        0        0
45832 linux-support-3.8-1                	       0        1        1        0        0
45833 linux-support-4.17.0-0.bpo.1       	       0        1        1        0        0
45834 linux-support-4.19.0-14            	       0        1        1        0        0
45835 linux-support-4.19.0-18            	       0        1        1        0        0
45836 linux-support-4.9.0-6              	       0        1        1        0        0
45837 linux-support-4.9.0-8              	       0        1        1        0        0
45838 linux-support-5.10.0-11            	       0        2        2        0        0
45839 linux-support-5.10.0-17            	       0        1        1        0        0
45840 linux-support-5.10.0-22            	       0        1        1        0        0
45841 linux-support-5.10.0-23            	       0        1        1        0        0
45842 linux-support-5.10.0-26            	       0        1        1        0        0
45843 linux-support-5.10.0-33            	       0        1        1        0        0
45844 linux-support-5.15.0-0.bpo.2       	       0        1        1        0        0
45845 linux-support-5.18.0-0.deb11.4     	       0        1        1        0        0
45846 linux-support-5.6.0-0.bpo.2        	       0        1        1        0        0
45847 linux-support-5.7.0-0.bpo.2        	       0        1        1        0        0
45848 linux-support-6.1.0-0.deb11.22     	       0        1        1        0        0
45849 linux-support-6.1.0-0.deb11.25     	       0        1        1        0        0
45850 linux-support-6.1.0-0.deb11.26     	       0        1        1        0        0
45851 linux-support-6.1.0-12             	       0        2        2        0        0
45852 linux-support-6.1.0-13             	       0        1        1        0        0
45853 linux-support-6.1.0-18             	       0        5        5        0        0
45854 linux-support-6.1.0-21             	       0        1        1        0        0
45855 linux-support-6.1.0-23             	       0        2        2        0        0
45856 linux-support-6.1.0-25             	       0        2        2        0        0
45857 linux-support-6.1.0-27             	       0        1        1        0        0
45858 linux-support-6.1.0-28             	       0        1        1        0        0
45859 linux-support-6.10.11+bpo          	       0        2        2        0        0
45860 linux-support-6.10.6+bpo           	       0        1        1        0        0
45861 linux-support-6.11.10+bpo          	       0        1        1        0        0
45862 linux-support-6.12.5               	       0        1        1        0        0
45863 linux-support-6.12.8               	       0        1        1        0        0
45864 linux-support-6.4.0-0.deb12.2      	       0        2        2        0        0
45865 linux-support-6.9.12               	       0        1        1        0        0
45866 linux-support-6.9.7                	       0        1        1        0        0
45867 linux-surface-secureboot-mok       	       0        1        0        0        1
45868 linux-tools                        	       0        3        0        0        3
45869 linux-tools-3.16                   	       0        2        2        0        0
45870 linux-tools-common                 	       0        2        0        2        0
45871 linux-u-boot-nanopineo2-current    	       0        1        1        0        0
45872 linux-u-boot-orangepizero2w-current	       0        1        1        0        0
45873 linux-user-chroot                  	       0        1        1        0        0
45874 linux-uvc-source                   	       0        1        0        0        1
45875 linux-uvc-tools                    	       0        2        2        0        0
45876 linux-wifi-hotspot                 	       0        1        1        0        0
45877 linux-xanmod                       	       0        1        0        0        1
45878 linux-xanmod-edge-x64v3            	       0        1        0        0        1
45879 linux-xanmod-edge-x64v4            	       0        1        0        0        1
45880 linux-xanmod-lts                   	       0        1        0        0        1
45881 linux-xanmod-lts-x64v1             	       0        2        0        0        2
45882 linux-xanmod-lts-x64v3             	       0        2        0        0        2
45883 linux-xanmod-rt-x64v3              	       0        2        0        0        2
45884 linux-xanmod-x64v1                 	       0        1        0        0        1
45885 linux-xanmod-x64v3                 	       0        3        0        0        3
45886 linuxbrew-wrapper                  	       0        2        2        0        0
45887 linuxcnc-doc-en                    	       0        2        0        0        2
45888 linuxdcpp                          	       0        1        1        0        0
45889 linuxdoc-tools                     	       0       23       22        1        0
45890 linuxdoc-tools-dbgsym              	       0        1        1        0        0
45891 linuxdoc-tools-info                	       0        7        0        0        7
45892 linuxdoc-tools-latex               	       0        8        0        0        8
45893 linuxdoc-tools-text                	       0        8        0        0        8
45894 linuxmint-keyring                  	       0        5        0        0        5
45895 linuxptp                           	       0       15       15        0        0
45896 linuxqq                            	       0        3        0        0        3
45897 linuxsampler                       	       0        2        2        0        0
45898 linuxsampler-lv2                   	       0        2        2        0        0
45899 lios                               	       0       10       10        0        0
45900 liquidctl                          	       0        3        3        0        0
45901 liquidprompt                       	       0       10       10        0        0
45902 liquidsoap-mode                    	       0        2        2        0        0
45903 liquidsoap-plugin-faad             	       0        1        1        0        0
45904 liquidsoap-plugin-flac             	       0        1        1        0        0
45905 liquidsoap-plugin-gstreamer        	       0        1        1        0        0
45906 liquidsoap-plugin-icecast          	       0        1        1        0        0
45907 liquidsoap-plugin-lame             	       0        1        1        0        0
45908 liquidsoap-plugin-mad              	       0        1        1        0        0
45909 liquidsoap-plugin-ogg              	       0        1        1        0        0
45910 liquidsoap-plugin-pulseaudio       	       0        1        1        0        0
45911 liquidsoap-plugin-taglib           	       0        1        1        0        0
45912 liquidsoap-plugin-voaacenc         	       0        1        1        0        0
45913 liquidsoap-plugin-vorbis           	       0        1        1        0        0
45914 liquidwar-data                     	       0       14        0        0       14
45915 liquorix-archive-keyring           	       0        1        0        0        1
45916 liquorix-keyring                   	       0        1        0        0        1
45917 liquorix-keyrings                  	       0        1        0        0        1
45918 lirc-compat-remotes                	       0       15        0        0       15
45919 lirc-doc                           	       0        8        0        0        8
45920 lirc-drv-irman                     	       0        8        0        0        8
45921 lirc-x                             	       0       10       10        0        0
45922 lire                               	       0        1        1        0        0
45923 lire-doc                           	       0        1        0        0        1
45924 lisaac                             	       0        1        1        0        0
45925 lisaac-common                      	       0        1        1        0        0
45926 lisaac-mode                        	       0        1        1        0        0
45927 lisgd                              	       0        2        1        1        0
45928 listadmin                          	       0        1        1        0        0
45929 listaller                          	       0        1        1        0        0
45930 listserialportsc                   	       0        5        5        0        0
45931 litecli                            	       0        3        3        0        0
45932 litecoin-qt                        	       0        6        6        0        0
45933 litecoind                          	       0        5        5        0        0
45934 literki                            	       0        1        1        0        0
45935 littleinferno                      	       0        1        0        0        1
45936 littler                            	       0       44        0        0       44
45937 littlewizard                       	       0        2        2        0        0
45938 littlewizard-data                  	       0        2        0        0        2
45939 live-boot-doc                      	       0      171        0        0      171
45940 live-boot-initramfs-tools          	       0      162        0        0      162
45941 live-build                         	       0       10       10        0        0
45942 live-clone                         	       0        2        2        0        0
45943 live-config-doc                    	       0      166        0        0      166
45944 live-config-sysvinit               	       0      160      154        6        0
45945 live-manual                        	       0        2        0        0        2
45946 live-manual-epub                   	       0        3        0        0        3
45947 live-manual-html                   	       0       14        0        0       14
45948 live-manual-odf                    	       0        3        0        0        3
45949 live-manual-pdf                    	       0        4        0        0        4
45950 live-manual-txt                    	       0        5        0        0        5
45951 live-task-base                     	       0        3        0        0        3
45952 live-task-localisation             	       0        3        0        0        3
45953 live-task-localisation-desktop     	       0        2        0        0        2
45954 live-task-mate                     	       0        1        0        0        1
45955 live-task-non-free-firmware-pc     	       0        5        0        0        5
45956 live-task-non-free-firmware-server 	       0        2        0        0        2
45957 live-task-recommended              	       0        4        0        0        4
45958 live-usb-maker                     	       0        2        1        1        0
45959 live-wrapper-doc                   	       0        1        0        0        1
45960 lives                              	       0        6        6        0        0
45961 lives-data                         	       0        6        0        0        6
45962 lives-plugins                      	       0        6        6        0        0
45963 livescript                         	       0        2        2        0        0
45964 livestreamer                       	       0        2        2        0        0
45965 liwc                               	       0        2        2        0        0
45966 lix                                	       0        5        5        0        0
45967 lix-data                           	       0        5        0        0        5
45968 ll-scope                           	       0        2        2        0        0
45969 lld                                	       0       30       29        1        0
45970 lld-11                             	       0        7        6        0        1
45971 lld-12                             	       0        1        1        0        0
45972 lld-13                             	       0        6        6        0        0
45973 lld-14                             	       0       24       24        0        0
45974 lld-15                             	       0        7        6        1        0
45975 lld-16                             	       0        9        9        0        0
45976 lld-17                             	       0        4        4        0        0
45977 lld-18                             	       0        2        2        0        0
45978 lld-20                             	       0        1        1        0        0
45979 lld-7                              	       0        1        1        0        0
45980 lldb-11                            	       0        3        3        0        0
45981 lldb-15                            	       0        1        1        0        0
45982 lldb-16                            	       0        5        5        0        0
45983 lldb-19                            	       0        4        3        1        0
45984 lletters                           	       0        1        1        0        0
45985 lletters-media                     	       0        1        0        0        1
45986 llgal                              	       0        2        2        0        0
45987 llm                                	       0        1        1        0        0
45988 llmnrd                             	       0        1        1        0        0
45989 lloconv                            	       0        2        2        0        0
45990 lltag                              	       0       12       12        0        0
45991 llvm-10                            	       0        5        5        0        0
45992 llvm-10-dev                        	       0        4        4        0        0
45993 llvm-10-runtime                    	       0        5        5        0        0
45994 llvm-10-tools                      	       0        4        4        0        0
45995 llvm-11-doc                        	       0        4        0        0        4
45996 llvm-11-examples                   	       0        1        1        0        0
45997 llvm-11-linker-tools               	       0        6        6        0        0
45998 llvm-13                            	       0       32       32        0        0
45999 llvm-13-doc                        	       0        1        0        0        1
46000 llvm-13-examples                   	       0        1        1        0        0
46001 llvm-13-linker-tools               	       0       34       34        0        0
46002 llvm-13-runtime                    	       0       32       32        0        0
46003 llvm-13-tools                      	       0       31       31        0        0
46004 llvm-14-doc                        	       0        4        0        0        4
46005 llvm-15-doc                        	       0        1        0        0        1
46006 llvm-16                            	       0       55       55        0        0
46007 llvm-16-doc                        	       0        1        0        0        1
46008 llvm-16-examples                   	       0        1        1        0        0
46009 llvm-16-linker-tools               	       0       57       57        0        0
46010 llvm-16-runtime                    	       0       55       55        0        0
46011 llvm-16-tools                      	       0       54       54        0        0
46012 llvm-17                            	       0       17       16        1        0
46013 llvm-17-dev                        	       0       17       16        1        0
46014 llvm-17-doc                        	       0        1        0        0        1
46015 llvm-17-examples                   	       0        1        1        0        0
46016 llvm-17-linker-tools               	       0       18       17        1        0
46017 llvm-17-runtime                    	       0       17       16        1        0
46018 llvm-17-tools                      	       0       17       16        1        0
46019 llvm-18                            	       0        9        8        1        0
46020 llvm-18-dev                        	       0        9        8        1        0
46021 llvm-18-doc                        	       0        1        0        0        1
46022 llvm-18-linker-tools               	       0       10        9        1        0
46023 llvm-18-runtime                    	       0        9        8        1        0
46024 llvm-18-tools                      	       0        9        8        1        0
46025 llvm-19                            	       0       37       35        2        0
46026 llvm-19-doc                        	       0        2        0        0        2
46027 llvm-19-examples                   	       0        1        1        0        0
46028 llvm-19-runtime                    	       0       37       35        2        0
46029 llvm-19-tools                      	       0       37       35        2        0
46030 llvm-20                            	       0        2        2        0        0
46031 llvm-20-dev                        	       0        2        2        0        0
46032 llvm-20-linker-tools               	       0        2        2        0        0
46033 llvm-20-runtime                    	       0        2        2        0        0
46034 llvm-20-tools                      	       0        2        2        0        0
46035 llvm-3.0                           	       0        2        2        0        0
46036 llvm-3.0-dev                       	       0        2        2        0        0
46037 llvm-3.0-runtime                   	       0        2        2        0        0
46038 llvm-3.5                           	       0       10       10        0        0
46039 llvm-3.5-doc                       	       0        2        2        0        0
46040 llvm-3.5-runtime                   	       0       10       10        0        0
46041 llvm-3.8                           	       0        6        6        0        0
46042 llvm-3.8-dev                       	       0        6        6        0        0
46043 llvm-3.8-doc                       	       0        2        0        0        2
46044 llvm-3.8-runtime                   	       0        6        6        0        0
46045 llvm-7                             	       0       20       20        0        0
46046 llvm-7-dev                         	       0       20       20        0        0
46047 llvm-7-doc                         	       0        1        0        0        1
46048 llvm-7-runtime                     	       0       21       21        0        0
46049 llvm-8                             	       0        4        4        0        0
46050 llvm-8-dev                         	       0        3        3        0        0
46051 llvm-8-runtime                     	       0        4        4        0        0
46052 llvm-9-doc                         	       0        2        0        0        2
46053 llvm-9-examples                    	       0        1        0        0        1
46054 llvm-amdgpu                        	       0        1        1        0        0
46055 llvm-amdgpu-13.0.50002             	       0        1        1        0        0
46056 llvm-amdgpu-13.0.50002-dev         	       0        1        1        0        0
46057 llvm-amdgpu-13.0.50002-runtime     	       0        1        1        0        0
46058 llvm-amdgpu-18.1-runtime           	       0        1        1        0        0
46059 llvm-amdgpu-runtime                	       0        1        1        0        0
46060 llvm-dev                           	       0       27        0        0       27
46061 llvm-doc                           	       0        1        0        0        1
46062 llvm-spirv                         	       0        2        2        0        0
46063 llvm-spirv-15                      	       0        3        3        0        0
46064 llvm-spirv-17                      	       0        3        3        0        0
46065 llvm-spirv-19                      	       0        1        1        0        0
46066 llvmlite-doc                       	       0        3        0        0        3
46067 lmarbles                           	       0        9        9        0        0
46068 lmbench                            	       0        5        5        0        0
46069 lmbench-doc                        	       0        4        0        0        4
46070 lmdb-doc                           	       0       10        0        0       10
46071 lmdb-go-tools                      	       0        1        1        0        0
46072 lmdb-utils                         	       0        4        4        0        0
46073 lmemory                            	       0       20       20        0        0
46074 lmms-common                        	       0       50        0        0       50
46075 lmms-vst-server                    	       0       14        0        0       14
46076 lmod-doc                           	       0        1        0        0        1
46077 lmodern                            	       0      609        2        0      607
46078 lnav                               	       0       25       25        0        0
46079 load-dirs-common                   	       0        2        0        0        2
46080 loadlin                            	       0       11       11        0        0
46081 loadwatch                          	       0        3        3        0        0
46082 loc-os-23-archive-keyring          	       0        1        0        0        1
46083 locale-en-bs                       	       0       16        0        0       16
46084 localehelper                       	       0        2        2        0        0
46085 localsend                          	       0       12        1        0       11
46086 localslackirc                      	       0        1        1        0        0
46087 log2ram                            	       0        1        1        0        0
46088 logapp                             	       0        1        1        0        0
46089 logcheck-database                  	       0       60        0        0       60
46090 loggedfs                           	       0        1        1        0        0
46091 logigsk                            	       0        1        1        0        0
46092 login.defs                         	       0      246        0        0      246
46093 logiops                            	       0        1        1        0        0
46094 logisim                            	       0        4        4        0        0
46095 logisim-evolution                  	       0        1        1        0        0
46096 logitechmediaserver                	       0        3        3        0        0
46097 logjam                             	       0        1        1        0        0
46098 logmein-hamachi                    	       0        6        6        0        0
46099 logstalgia                         	       0        2        2        0        0
46100 logtool                            	       0        1        1        0        0
46101 logtools                           	       0        4        4        0        0
46102 logtop                             	       0        7        7        0        0
46103 lokalize                           	       0       41       39        2        0
46104 lokalize-data                      	       0        7        0        0        7
46105 lokalize-doc                       	       0        6        0        0        6
46106 loki                               	       0        1        1        0        0
46107 lolcat                             	       0       45       44        1        0
46108 lollypop                           	       0        7        7        0        0
46109 lomiri-ui-toolkit-doc              	       0        1        0        0        1
46110 lomiri-ui-toolkit-examples         	       0        1        0        0        1
46111 lomiri-ui-toolkit-theme            	       0        1        0        0        1
46112 lomiri-ui-toolkit-tools            	       0        1        1        0        0
46113 lomoco                             	       0        5        5        0        0
46114 londonlaw                          	       0        2        2        0        0
46115 lookatme                           	       0        1        1        0        0
46116 looktxt                            	       0        1        1        0        0
46117 loook                              	       0        9        8        1        0
46118 loop-aes-source                    	       0        1        0        0        1
46119 loop-aes-testsuite                 	       0        1        1        0        0
46120 looptools                          	       0        1        1        0        0
46121 loqui                              	       0        2        2        0        0
46122 lordsawar                          	       0        5        5        0        0
46123 lordsawar-data                     	       0        5        0        0        5
46124 lossywav                           	       0        1        1        0        0
46125 lostirc                            	       0        2        2        0        0
46126 loudgain                           	       0       10       10        0        0
46127 lout                               	       0        1        1        0        0
46128 lout-common                        	       0        1        0        0        1
46129 love                               	       0       21       21        0        0
46130 low-memory-monitor                 	       0      116       98       17        1
46131 lowdown                            	       0        4        4        0        0
46132 lp-solve-doc                       	       0        4        0        0        4
46133 lpc21isp                           	       0        3        3        0        0
46134 lpctools                           	       0        4        4        0        0
46135 lpe                                	       0        5        5        0        0
46136 lphdisk                            	       0        1        1        0        0
46137 lpkgbuild                          	       0        1        0        1        0
46138 lprint                             	       0        3        3        0        0
46139 lprng-doc                          	       0        7        0        0        7
46140 lr                                 	       0        9        9        0        0
46141 lrcalc                             	       0        1        1        0        0
46142 lrslib                             	       0        1        1        0        0
46143 lsat                               	       0        3        3        0        0
46144 lsb                                	       0        7        0        0        7
46145 lsb-appchk2                        	       0        1        1        0        0
46146 lsb-appchk3                        	       0        1        1        0        0
46147 lsb-build-base2                    	       0        1        1        0        0
46148 lsb-build-base3                    	       0        1        1        0        0
46149 lsb-build-cc3                      	       0        1        1        0        0
46150 lsb-compat                         	       0        7        7        0        0
46151 lsb-cprocsp-base                   	       0        1        1        0        0
46152 lsb-cprocsp-ca-certs               	       0        1        1        0        0
46153 lsb-cprocsp-capilite-64            	       0        1        1        0        0
46154 lsb-cprocsp-kc1-64                 	       0        1        1        0        0
46155 lsb-cprocsp-pkcs11-64              	       0        1        1        0        0
46156 lsb-cprocsp-rdr-64                 	       0        1        1        0        0
46157 lsb-cxx                            	       0        6        0        0        6
46158 lsb-desktop                        	       0        7        0        0        7
46159 lsb-graphics                       	       0        7        0        0        7
46160 lsb-languages                      	       0        6        0        0        6
46161 lsb-multimedia                     	       0        7        0        0        7
46162 lsb-pkgchk3                        	       0        1        1        0        0
46163 lsb-printing                       	       0        7        0        0        7
46164 lsb-release-minimal                	       0        1        0        0        1
46165 lsb-rpm                            	       0        1        1        0        0
46166 lsb-security                       	       0       15        0        0       15
46167 lsbappchk                          	       0        1        1        0        0
46168 lscolors                           	       0        4        3        0        1
46169 lsd                                	       0       18       17        1        0
46170 lsh                                	       0        1        1        0        0
46171 lsh-client                         	       0        2        2        0        0
46172 lsh-doc                            	       0        3        0        0        3
46173 lsh-utils                          	       0        2        2        0        0
46174 lsh-utils-doc                      	       0        1        0        0        1
46175 lshell                             	       0        1        1        0        0
46176 lshw-common                        	       0        1        0        0        1
46177 lshw-gtk                           	       0       90       88        2        0
46178 lsiutil                            	       0        2        2        0        0
46179 lsix                               	       0        2        2        0        0
46180 lskat                              	       0       57       54        3        0
46181 lskat-data                         	       0       53        0        0       53
46182 lskat-trinity                      	       0       22        0        0       22
46183 lslk                               	       0        1        1        0        0
46184 lsm                                	       0        1        1        0        0
46185 lsmount                            	       0        5        5        0        0
46186 lsp-plugins                        	       0       18        2        0       16
46187 lsp-plugins-clap                   	       0        1        1        0        0
46188 lsp-plugins-data                   	       0        2        0        0        2
46189 lsp-plugins-ladspa                 	       0       26       23        2        1
46190 lsp-plugins-r3d-glx                	       0       63        0        0       63
46191 lsp-plugins-vst                    	       0       17       13        0        4
46192 lspowertweak                       	       0        1        1        0        0
46193 lswm                               	       0        6        6        0        0
46194 ltpanel                            	       0        2        2        0        0
46195 ltris                              	       0       20       20        0        0
46196 ltsp-docs                          	       0        1        0        0        1
46197 ltsp-server                        	       0        4        4        0        0
46198 ltsp-server-standalone             	       0        3        0        0        3
46199 ltspfs                             	       0        4        4        0        0
46200 ltspice                            	       0        1        1        0        0
46201 lttk-emacs-customization           	       0        1        0        0        1
46202 lttoolbox                          	       0        7        7        0        0
46203 lttoolbox-dev                      	       0        3        3        0        0
46204 ltunify                            	       0        5        5        0        0
46205 lua-ansicolors                     	       0        1        0        0        1
46206 lua-argparse                       	       0        4        0        0        4
46207 lua-augeas                         	       0        1        0        0        1
46208 lua-basexx                         	       0       10        0        0       10
46209 lua-binaryheap                     	       0        6        0        0        6
46210 lua-bit32                          	       0       20        0        0       20
46211 lua-bit32-dev                      	       0        1        1        0        0
46212 lua-bitop-dev                      	       0        1        1        0        0
46213 lua-busted                         	       0        2        2        0        0
46214 lua-cgi                            	       0        2        0        0        2
46215 lua-check                          	       0        4        4        0        0
46216 lua-cjson-dev                      	       0        1        1        0        0
46217 lua-cliargs                        	       0        2        0        0        2
46218 lua-compat53                       	       0        7        0        0        7
46219 lua-copas                          	       0        2        0        0        2
46220 lua-coxpcall                       	       0        5        0        0        5
46221 lua-curl                           	       0        4        0        0        4
46222 lua-curl-dev                       	       0        4        4        0        0
46223 lua-curses                         	       0        4        0        0        4
46224 lua-curses-dev                     	       0        4        4        0        0
46225 lua-cyrussasl                      	       0        2        0        0        2
46226 lua-dbi-common                     	       0        5        0        0        5
46227 lua-dbi-mysql                      	       0        2        0        0        2
46228 lua-dbi-mysql-dev                  	       0        1        1        0        0
46229 lua-dbi-postgresql                 	       0        3        0        0        3
46230 lua-dbi-postgresql-dev             	       0        1        1        0        0
46231 lua-dbi-sqlite3                    	       0        4        1        0        3
46232 lua-dbi-sqlite3-dev                	       0        1        1        0        0
46233 lua-dkjson                         	       0        2        0        0        2
46234 lua-doc                            	       0        5        0        0        5
46235 lua-event                          	       0       15        1        0       14
46236 lua-expat-dev                      	       0        3        3        0        0
46237 lua-fifo                           	       0        6        0        0        6
46238 lua-filesystem-dev                 	       0        3        3        0        0
46239 lua-geoip                          	       0        1        0        0        1
46240 lua-geoip-dev                      	       0        1        1        0        0
46241 lua-http                           	       0        6        0        0        6
46242 lua-iconv                          	       0        1        0        0        1
46243 lua-iconv-dev                      	       0        1        1        0        0
46244 lua-inifile                        	       0        2        0        0        2
46245 lua-inotify                        	       0        1        0        0        1
46246 lua-inotify-dev                    	       0        1        1        0        0
46247 lua-inspect                        	       0        5        0        0        5
46248 lua-json                           	       0      206        0        0      206
46249 lua-ldoc                           	       0        2        2        0        0
46250 lua-leg                            	       0        1        0        0        1
46251 lua-lgi-dev                        	       0        1        1        0        0
46252 lua-ljsyscall                      	       0        1        0        0        1
46253 lua-logging                        	       0        5        0        0        5
46254 lua-lpeg                           	       0      905        0        0      905
46255 lua-lpeg-dev                       	       0        2        2        0        0
46256 lua-lpeg-patterns                  	       0        7        0        0        7
46257 lua-lsqlite3                       	       0        1        0        0        1
46258 lua-lsqlite3-dev                   	       0        1        1        0        0
46259 lua-luacsnd6                       	       0        1        0        0        1
46260 lua-luaossl                        	       0        9        1        0        8
46261 lua-luassert                       	       0        2        0        0        2
46262 lua-luv-dev                        	       0        1        1        0        0
46263 lua-lxc                            	       0        1        0        0        1
46264 lua-markdown                       	       0        1        0        0        1
46265 lua-md5                            	       0        2        0        0        2
46266 lua-md5-dev                        	       0        1        1        0        0
46267 lua-mediator                       	       0        2        0        0        2
46268 lua-mmdb                           	       0        5        0        0        5
46269 lua-mode                           	       0        1        0        0        1
46270 lua-moses                          	       0        1        0        0        1
46271 lua-mpack                          	       0        3        0        0        3
46272 lua-nvim                           	       0        3        0        0        3
46273 lua-penlight                       	       0        4        0        0        4
46274 lua-penlight-dev                   	       0        1        0        0        1
46275 lua-posix                          	       0       15        0        0       15
46276 lua-posix-dev                      	       0        3        3        0        0
46277 lua-readline                       	       0       11        0        0       11
46278 lua-readline-dev                   	       0        2        2        0        0
46279 lua-redis                          	       0        1        0        0        1
46280 lua-redis-dev                      	       0        1        0        0        1
46281 lua-resty-core                     	       0        2        0        0        2
46282 lua-resty-lrucache                 	       0        2        0        0        2
46283 lua-rex-doc                        	       0        1        0        0        1
46284 lua-rex-gnu                        	       0        1        0        0        1
46285 lua-rex-gnu-dev                    	       0        1        1        0        0
46286 lua-rex-pcre                       	       0        1        0        0        1
46287 lua-rings                          	       0        1        0        0        1
46288 lua-say                            	       0        2        0        0        2
46289 lua-soap                           	       0        1        0        0        1
46290 lua-socket-dev                     	       0        1        1        0        0
46291 lua-sql-doc                        	       0        1        0        0        1
46292 lua-sql-mysql                      	       0        2        0        0        2
46293 lua-sql-mysql-dev                  	       0        2        2        0        0
46294 lua-sql-odbc                       	       0        1        0        0        1
46295 lua-sql-odbc-dev                   	       0        1        1        0        0
46296 lua-sql-postgres                   	       0        1        0        0        1
46297 lua-sql-postgres-dev               	       0        1        1        0        0
46298 lua-sql-sqlite3                    	       0        6        0        0        6
46299 lua-sql-sqlite3-dev                	       0        1        1        0        0
46300 lua-struct                         	       0        1        0        0        1
46301 lua-struct-dev                     	       0        1        1        0        0
46302 lua-svn                            	       0        5        0        0        5
46303 lua-svn-dev                        	       0        1        1        0        0
46304 lua-system                         	       0        2        0        0        2
46305 lua-system-dev                     	       0        1        1        0        0
46306 lua-systemd                        	       0        1        0        0        1
46307 lua-systemd-dev                    	       0        1        1        0        0
46308 lua-term                           	       0        2        0        0        2
46309 lua-term-dev                       	       0        1        1        0        0
46310 lua-torch-cwrap                    	       0        1        0        0        1
46311 lua-torch-dok                      	       0        1        0        0        1
46312 lua-torch-graph                    	       0        1        0        0        1
46313 lua-torch-image                    	       0        1        0        0        1
46314 lua-torch-nn                       	       0        1        0        0        1
46315 lua-torch-nngraph                  	       0        1        0        0        1
46316 lua-torch-optim                    	       0        1        0        0        1
46317 lua-torch-paths                    	       0        1        0        0        1
46318 lua-torch-sundown                  	       0        1        0        0        1
46319 lua-torch-sys                      	       0        1        0        0        1
46320 lua-torch-torch7                   	       0        1        0        0        1
46321 lua-torch-trepl                    	       0        1        0        0        1
46322 lua-torch-xlua                     	       0        1        0        0        1
46323 lua-unit                           	       0        2        0        0        2
46324 lua-uri                            	       0        1        0        0        1
46325 lua-uri-dev                        	       0        1        0        0        1
46326 lua-wsapi                          	       0        1        1        0        0
46327 lua-xmlrpc                         	       0        1        0        0        1
46328 lua-yaml                           	       0        2        0        0        2
46329 lua-yaml-dev                       	       0        1        1        0        0
46330 lua-zip                            	       0        2        0        0        2
46331 lua-zip-dev                        	       0        1        1        0        0
46332 lua-zlib                           	       0        4        0        0        4
46333 lua-zlib-dev                       	       0        1        1        0        0
46334 lua40                              	       0        1        1        0        0
46335 lua5.2-doc                         	       0        3        0        0        3
46336 lua50                              	       0        6        6        0        0
46337 luadoc                             	       0        2        2        0        0
46338 luajit                             	       0       16       16        0        0
46339 luajit2                            	       0        1        1        0        0
46340 luakit                             	       0       11       11        0        0
46341 luametatex                         	       0       13       13        0        0
46342 luasseq                            	       0        1        0        0        1
46343 luatex                             	       0        5        0        0        5
46344 luckybackup                        	       0       16       16        0        0
46345 luckybackup-data                   	       0       16        0        0       16
46346 luftikus-lv2                       	       0        1        1        0        0
46347 lugaru-data                        	       0        7        0        0        7
46348 luksipc                            	       0        1        1        0        0
46349 luksmeta                           	       0        9        9        0        0
46350 luminance-hdr                      	       0        6        6        0        0
46351 lunarg-gfxreconstruct              	       0        2        2        0        0
46352 lunarg-via                         	       0        2        2        0        0
46353 lunarg-vkconfig                    	       0        2        2        0        0
46354 lunarg-vktrace                     	       0        1        1        0        0
46355 lunarg-vulkan-layers               	       0        2        0        0        2
46356 luniistore                         	       0        1        1        0        0
46357 luola                              	       0        3        3        0        0
46358 luola-data                         	       0        3        0        0        3
46359 luola-levels                       	       0        3        0        0        3
46360 luola-nostalgy                     	       0        1        0        0        1
46361 luppp                              	       0        2        2        0        0
46362 lur-command                        	       0        3        3        0        0
46363 lusernet.app                       	       0        2        1        1        0
46364 luvcview                           	       0        2        2        0        0
46365 luxman                             	       0        1        1        0        0
46366 lv                                 	       0       50       48        2        0
46367 lv2-c++-tools                      	       0        3        3        0        0
46368 lv2-dev                            	       0       35       35        0        0
46369 lv2-examples                       	       0        1        1        0        0
46370 lv2file                            	       0        6        6        0        0
46371 lv2proc                            	       0        6        6        0        0
46372 lv2vocoder                         	       0        7        7        0        0
46373 lv2vst                             	       0        1        0        0        1
46374 lvm2-dbusd                         	       0        3        3        0        0
46375 lvm2-lockd                         	       0        3        3        0        0
46376 lvsupport2023-vianalyzer           	       0        1        0        0        1
46377 lvtk-tools                         	       0        1        1        0        0
46378 lwatch                             	       0        6        6        0        0
46379 lwm                                	       0        8        8        0        0
46380 lwp                                	       0        1        1        0        0
46381 lwresd                             	       0        4        4        0        0
46382 lx-gdb                             	       0        1        1        0        0
46383 lx-gdb-dbgsym                      	       0        1        1        0        0
46384 lxappearance-dbg                   	       0        2        2        0        0
46385 lxappearance-obconf                	       0      194        0        0      194
46386 lxappearance-obconf-dbg            	       0        1        1        0        0
46387 lxc-dbgsym                         	       0        1        1        0        0
46388 lxc-dev                            	       0        2        2        0        0
46389 lxc-templates                      	       0       65        0        0       65
46390 lxc-tests                          	       0        1        1        0        0
46391 lxc-tests-dbgsym                   	       0        1        1        0        0
46392 lxcfs-dbgsym                       	       0        1        1        0        0
46393 lxd-agent                          	       0        9        9        0        0
46394 lxd-client                         	       0        9        9        0        0
46395 lxd-migrate                        	       0        1        1        0        0
46396 lxd-tools                          	       0        3        3        0        0
46397 lxde                               	       0      152        0        0      152
46398 lxde-common                        	       0      205        4        0      201
46399 lxde-core                          	       0      178        0        0      178
46400 lxde-icon-theme                    	       0      240        0        0      240
46401 lxde-settings-daemon-dbgsym        	       0        2        2        0        0
46402 lxdm-dbgsym                        	       0        1        1        0        0
46403 lxdm-gtk3                          	       0        1        1        0        0
46404 lxhotkey-core-dbgsym               	       0        1        1        0        0
46405 lxhotkey-data                      	       0      169        0        0      169
46406 lxhotkey-dev                       	       0        1        1        0        0
46407 lxhotkey-gtk                       	       0      179        0        0      179
46408 lxhotkey-gtk-dbgsym                	       0        1        1        0        0
46409 lxhotkey-plugin-openbox            	       0      170        0        0      170
46410 lxhotkey-plugin-openbox-dbgsym     	       0        1        1        0        0
46411 lxi-tools                          	       0        1        1        0        0
46412 lxi-tools-dbgsym                   	       0        1        1        0        0
46413 lximage-qt-dbgsym                  	       0        1        1        0        0
46414 lximage-qt-l10n                    	       0      265        0        0      265
46415 lxinput-dbg                        	       0        1        1        0        0
46416 lxlauncher-dbg                     	       0        2        2        0        0
46417 lxmenu-data                        	       0      560        0        0      560
46418 lxmms2                             	       0        1        1        0        0
46419 lxmms2-dbgsym                      	       0        1        1        0        0
46420 lxmusic-dbg                        	       0        1        1        0        0
46421 lxmusserv                          	       0        1        1        0        0
46422 lxpanel-dbgsym                     	       0        1        1        0        0
46423 lxpanel-dev                        	       0        1        1        0        0
46424 lxpanel-plugin-topmenu             	       0        1        0        0        1
46425 lxpolkit-dbgsym                    	       0        1        1        0        0
46426 lxqt                               	       0      224        0        0      224
46427 lxqt-about-dbgsym                  	       0        1        1        0        0
46428 lxqt-about-l10n                    	       0      245        0        0      245
46429 lxqt-admin-dbgsym                  	       0        1        1        0        0
46430 lxqt-admin-l10n                    	       0      237        0        0      237
46431 lxqt-archiver                      	       0       39       36        3        0
46432 lxqt-archiver-dbgsym               	       0        1        1        0        0
46433 lxqt-archiver-l10n                 	       0       38        0        0       38
46434 lxqt-branding-debian               	       0      245        0        0      245
46435 lxqt-build-tools                   	       0        6        6        0        0
46436 lxqt-common                        	       0        3        3        0        0
46437 lxqt-config-dbgsym                 	       0        1        1        0        0
46438 lxqt-config-l10n                   	       0      264        3        0      261
46439 lxqt-core                          	       0      241        0        0      241
46440 lxqt-globalkeys-dbgsym             	       0        1        1        0        0
46441 lxqt-globalkeys-l10n               	       0      244        0        0      244
46442 lxqt-menu-data                     	       0       12        0        0       12
46443 lxqt-notificationd-dbgsym          	       0        1        1        0        0
46444 lxqt-openssh-askpass-dbgsym        	       0        1        1        0        0
46445 lxqt-openssh-askpass-l10n          	       0      246        0        0      246
46446 lxqt-qtplugin-dbgsym               	       0        1        1        0        0
46447 lxqt-runner-dbgsym                 	       0        1        1        0        0
46448 lxqt-session-dbgsym                	       0        1        1        0        0
46449 lxqt-sudo-dbgsym                   	       0        1        1        0        0
46450 lxqt-sudo-l10n                     	       0      265        0        0      265
46451 lxqt-system-theme                  	       0      272        0        0      272
46452 lxqt-theme-debian                  	       0      249        0        0      249
46453 lxqt-themes                        	       0      253        0        0      253
46454 lxrandr-dbg                        	       0        2        2        0        0
46455 lxsession-dbgsym                   	       0        1        1        0        0
46456 lxsession-default-apps             	       0      145      130       15        0
46457 lxsession-default-apps-dbgsym      	       0        1        1        0        0
46458 lxsession-edit-dbgsym              	       0        2        2        0        0
46459 lxsession-logout-dbgsym            	       0        1        1        0        0
46460 lxshortcut                         	       0        8        8        0        0
46461 lxtask-dbgsym                      	       0        2        2        0        0
46462 lxterminal-dbgsym                  	       0        2        2        0        0
46463 lybniz                             	       0        1        1        0        0
46464 lycheeslicer                       	       0        4        2        0        2
46465 lynkeos.app                        	       0        1        0        1        0
46466 lynkeos.app-common                 	       0        1        0        0        1
46467 lynx-build-deps                    	       0        1        0        0        1
46468 lynx-cur                           	       0       56       14        0       42
46469 lynx-cur-wrapper                   	       0        2        0        0        2
46470 lynx-dbgsym                        	       0        1        1        0        0
46471 lyrionmusicserver                  	       0        1        1        0        0
46472 lysdr                              	       0        2        2        0        0
46473 lyx-common                         	       0      100        0        0      100
46474 lz4json                            	       0       11       11        0        0
46475 lzdoom                             	       0        1        1        0        0
46476 lzfse                              	       0        1        1        0        0
46477 lzma-dev                           	       0       16       16        0        0
46478 m-tx                               	       0        2        1        0        1
46479 m16c-flash                         	       0        5        5        0        0
46480 m17-demod                          	       0        1        1        0        0
46481 m17n-contrib                       	       0        8        0        0        8
46482 m17n-docs                          	       0       10        0        0       10
46483 m2r                                	       0        3        3        0        0
46484 m2vrequantiser                     	       0        4        4        0        0
46485 m4-doc                             	       0       35        0        0       35
46486 maatkit                            	       0        1        1        0        0
46487 mac                                	       0        2        1        0        1
46488 mac-fdisk-cross                    	       0        1        1        0        0
46489 macaulay2-common                   	       0        2        0        0        2
46490 macchanger                         	       0       38       38        0        0
46491 macfanctld                         	       0        5        5        0        0
46492 macopix                            	       0        3        3        0        0
46493 macopix-gtk2                       	       0        1        1        0        0
46494 macs                               	       0        2        2        0        0
46495 mactelnet-client                   	       0        9        9        0        0
46496 mactelnet-locales                  	       0        1        0        0        1
46497 mad-json                           	       0        1        1        0        0
46498 mad-pearls                         	       0        1        1        0        0
46499 mad-racoon-conf                    	       0        1        1        0        0
46500 mad-tcp-tls                        	       0        1        1        0        0
46501 mad-vpn-config                     	       0        1        1        0        0
46502 madbomber                          	       0        6        6        0        0
46503 madbomber-data                     	       0        6        0        0        6
46504 madison-lite                       	       0        2        2        0        0
46505 madplay                            	       0        9        9        0        0
46506 madwifi-modules-2.6.26-486-voyage  	       0        1        0        0        1
46507 madwifi-tools                      	       0        1        1        0        0
46508 maelstrom                          	       0        4        4        0        0
46509 maffilter                          	       0        1        1        0        0
46510 mafft                              	       0        5        5        0        0
46511 magic                              	       0        7        7        0        0
46512 magic-wormhole                     	       0       15       15        0        0
46513 magicfilter                        	       0       13       13        0        0
46514 magicmaze                          	       0        5        5        0        0
46515 magicor                            	       0        3        3        0        0
46516 magicor-data                       	       0        3        0        0        3
46517 magics++                           	       0        3        3        0        0
46518 magit                              	       0        2        0        0        2
46519 magnetic                           	       0        1        1        0        0
46520 magnus                             	       0        5        5        0        0
46521 mah-jong                           	       0        5        5        0        0
46522 mahjongg                           	       0        4        0        0        4
46523 mail-notification                  	       0        1        1        0        0
46524 mailagent                          	       0        5        5        0        0
46525 mailcheck                          	       0        3        3        0        0
46526 mailcrypt                          	       0        2        2        0        0
46527 maildir-filter                     	       0        1        1        0        0
46528 maildirsync                        	       0        1        1        0        0
46529 mailfilter                         	       0        4        4        0        0
46530 mailfront                          	       0        1        1        0        0
46531 mailgraph                          	       0        1        1        0        0
46532 mailman                            	       0        2        2        0        0
46533 mailman3-full                      	       0        2        0        0        2
46534 mailman3-web                       	       0        2        2        0        0
46535 mailmaster                         	       0        1        0        0        1
46536 mailscripts                        	       0        5        5        0        0
46537 mailspring                         	       0        1        1        0        0
46538 mailsync                           	       0        2        2        0        0
46539 mailtextbody                       	       0        2        2        0        0
46540 mailutils-common                   	       0     1202        0        0     1202
46541 mailutils-comsatd                  	       0        1        1        0        0
46542 mailutils-doc                      	       0       13        0        0       13
46543 mailutils-guile                    	       0        1        1        0        0
46544 mailutils-imap4d                   	       0        1        1        0        0
46545 mailutils-mda                      	       0        1        1        0        0
46546 mailutils-pop3d                    	       0        1        1        0        0
46547 mailx                              	       0        7        0        0        7
46548 maim                               	       0       22       21        1        0
46549 maint-guide                        	       0       14        0        0       14
46550 maint-guide-de                     	       0        2        0        0        2
46551 maint-guide-es                     	       0        3        0        0        3
46552 maint-guide-fr                     	       0        4        0        0        4
46553 maint-guide-it                     	       0      117        0        0      117
46554 maint-guide-ru                     	       0        1        0        0        1
46555 maitreya                           	       0        1        1        0        0
46556 maitreya8                          	       0        1        1        0        0
46557 make-dbgsym                        	       0        1        1        0        0
46558 make-dfsg-build-deps               	       0        1        0        0        1
46559 make-doc                           	       0       52        0        0       52
46560 makebootfat                        	       0       13       13        0        0
46561 makedeb                            	       0       11        8        3        0
46562 makedepf90                         	       0        1        1        0        0
46563 makedic                            	       0        1        1        0        0
46564 makefile2graph                     	       0        1        1        0        0
46565 makehrtf                           	       0        2        2        0        0
46566 makehuman                          	       0        2        2        0        0
46567 makehuman-data                     	       0        2        0        0        2
46568 makehuman-doc                      	       0        2        0        0        2
46569 makepatch                          	       0       13       13        0        0
46570 makepkg                            	       0        5        5        0        0
46571 makepp                             	       0        2        2        0        0
46572 makeself                           	       0       19       19        0        0
46573 makexvpics                         	       0        1        1        0        0
46574 maldet                             	       0        1        1        0        0
46575 mallard-rng                        	       0       10        0        0       10
46576 maltego                            	       0        1        1        0        0
46577 mame-data                          	       0       47        0        0       47
46578 mame-doc                           	       0        6        0        0        6
46579 mame-extra                         	       0       13        0        0       13
46580 mame-tools                         	       0       26       25        1        0
46581 man-db-dbgsym                      	       0        1        1        0        0
46582 man2html                           	       0       23       22        1        0
46583 man2html-base                      	       0       28       27        1        0
46584 mana                               	       0        1        1        0        0
46585 mana-data                          	       0        1        0        0        1
46586 manaplus-data                      	       0       14        0        0       14
46587 mancala                            	       0        6        6        0        0
46588 mandelbulber                       	       0        1        1        0        0
46589 mandelbulber2                      	       0        6        6        0        0
46590 mandelbulber2-data                 	       0        6        6        0        0
46591 mandoc                             	       0       12       11        1        0
46592 mandos                             	       0        1        1        0        0
46593 mandos-client                      	       0        3        3        0        0
46594 mangal                             	       0        1        1        0        0
46595 mangler                            	       0        2        2        0        0
46596 mangohudctl                        	       0        2        2        0        0
46597 manpages                           	       0     4037        0        0     4037
46598 manpages-da                        	       0        1        0        0        1
46599 manpages-de                        	       0      368        0        0      368
46600 manpages-de-dev                    	       0        8        0        0        8
46601 manpages-dev                       	       0     2822        0        0     2822
46602 manpages-es                        	       0      276        0        0      276
46603 manpages-es-dev                    	       0        3        0        0        3
46604 manpages-fr                        	       0      100        0        0      100
46605 manpages-fr-dev                    	       0        4        0        0        4
46606 manpages-fr-extra                  	       0        5        0        0        5
46607 manpages-hu                        	       0       19        0        0       19
46608 manpages-it                        	       0      119        0        0      119
46609 manpages-ja                        	       0       38        0        0       38
46610 manpages-ja-dev                    	       0       37        0        0       37
46611 manpages-mk                        	       0        1        0        0        1
46612 manpages-nl                        	       0       15        0        0       15
46613 manpages-opengl                    	       0        1        0        0        1
46614 manpages-pl                        	       0       68        0        0       68
46615 manpages-pl-dev                    	       0       68        0        0       68
46616 manpages-posix                     	       0       30        0        0       30
46617 manpages-posix-dev                 	       0       26        0        0       26
46618 manpages-pt                        	       0       10        0        0       10
46619 manpages-pt-br                     	       0       54        0        0       54
46620 manpages-pt-br-dev                 	       0        1        0        0        1
46621 manpages-pt-dev                    	       0        1        0        0        1
46622 manpages-ro                        	       0        4        0        0        4
46623 manpages-ru                        	       0        2        0        0        2
46624 manpages-ru-dev                    	       0        1        0        0        1
46625 manpages-tr                        	       0       10        0        0       10
46626 manpages-zh                        	       0       12        0        0       12
46627 manticore-repo                     	       0        2        2        0        0
46628 mantis                             	       0        1        1        0        0
46629 manuskript                         	       0        9        9        0        0
46630 mapcache-tools                     	       0        3        3        0        0
46631 mapcode                            	       0        6        6        0        0
46632 mapivi                             	       0        5        5        0        0
46633 mapnik-doc                         	       0        1        0        0        1
46634 mapnik-reference                   	       0        1        0        0        1
46635 mapnik-utils                       	       0        5        5        0        0
46636 mapproxy                           	       0        2        2        0        0
46637 maptool                            	       0       12       11        1        0
46638 maq                                	       0        4        4        0        0
46639 maqview                            	       0        1        1        0        0
46640 maradns                            	       0        2        2        0        0
46641 maradns-deadwood                   	       0        2        2        0        0
46642 maradns-docs                       	       0        1        0        0        1
46643 maradns-zoneserver                 	       0        2        2        0        0
46644 marble                             	       0       76       74        2        0
46645 marble-data                        	       0       90        0        0       90
46646 marble-maps                        	       0        4        4        0        0
46647 marble-qt                          	       0       12       12        0        0
46648 marble-qt-data                     	       0      138        2        0      136
46649 marco-build-deps                   	       0        1        0        0        1
46650 maria                              	       0        3        3        0        0
46651 maria-doc                          	       0        3        0        0        3
46652 mariadb-client-10.2                	       0        1        1        0        0
46653 mariadb-client-10.6                	       0        1        1        0        0
46654 mariadb-client-core-10.0           	       0        5        5        0        0
46655 mariadb-client-core-10.2           	       0        1        1        0        0
46656 mariadb-client-core-10.6           	       0        1        1        0        0
46657 mariadb-common                     	       0     2265        0        0     2265
46658 mariadb-plugin-connect-jdbc        	       0        1        0        0        1
46659 mariadb-plugin-cracklib-password-check	       0        1        1        0        0
46660 mariadb-plugin-gssapi-client       	       0        1        0        0        1
46661 mariadb-server-10.2                	       0        1        1        0        0
46662 mariadb-server-10.3                	       0       37       37        0        0
46663 mariadb-server-10.6                	       0        1        1        0        0
46664 mariadb-server-compat              	       0        7        7        0        0
46665 mariadb-server-core-10.0           	       0        5        5        0        0
46666 mariadb-server-core-10.2           	       0        1        1        0        0
46667 mariadb-server-core-10.6           	       0        1        1        0        0
46668 mariadb-test                       	       0       11       11        0        0
46669 mariadb-test-data                  	       0       12       12        0        0
46670 markdent                           	       0        1        1        0        0
46671 markdown-unlit                     	       0        1        1        0        0
46672 markdownlint                       	       0        1        1        0        0
46673 markdownpart                       	       0        6        0        0        6
46674 marktext                           	       0        4        4        0        0
46675 marsshooter-data                   	       0       11        0        0       11
46676 masmemoria                         	       0        2        0        0        2
46677 massif-visualizer                  	       0        1        1        0        0
46678 master-pdf-editor-5                	       0       15        3        0       12
46679 mat                                	       0        4        1        0        3
46680 mat2                               	       0       26       26        0        0
46681 matchbox                           	       0        2        0        0        2
46682 matchbox-common                    	       0        4        4        0        0
46683 matchbox-desktop                   	       0        2        2        0        0
46684 matchbox-keyboard                  	       0        6        6        0        0
46685 matchbox-keyboard-im               	       0        8        0        0        8
46686 matchbox-panel                     	       0        4        4        0        0
46687 matchbox-panel-manager             	       0        4        4        0        0
46688 matchbox-themes-extra              	       0        1        0        0        1
46689 matchbox-window-manager            	       0        8        8        0        0
46690 mate-applet-appmenu                	       0       22       22        0        0
46691 mate-archive-keyring               	       0        1        0        0        1
46692 mate-backgrounds                   	       0      501        0        0      501
46693 mate-common                        	       0       18       18        0        0
46694 mate-common-build-deps             	       0        1        0        0        1
46695 mate-control-center-common         	       0      511        8        0      503
46696 mate-core                          	       0       22        0        0       22
46697 mate-desktop-environment           	       0      495        0        0      495
46698 mate-desktop-environment-core      	       0      502        0        0      502
46699 mate-desktop-environment-extra     	       0       17        0        0       17
46700 mate-desktop-environment-extras    	       0       53        0        0       53
46701 mate-dock-applet                   	       0       55        0        0       55
46702 mate-equake-applet                 	       0        4        0        0        4
46703 mate-extras-login-sound            	       0        1        1        0        0
46704 mate-hud                           	       0        4        4        0        0
46705 mate-icon-theme                    	       0      770        0        0      770
46706 mate-icon-theme-faenza             	       0       86        0        0       86
46707 mate-indicator-applet              	       0      114        0        0      114
46708 mate-indicator-applet-common       	       0      116        0        0      116
46709 mate-media-pulse                   	       0        2        2        0        0
46710 mate-menus                         	       0      521        0        0      521
46711 mate-netbook                       	       0        8        7        1        0
46712 mate-netbook-common                	       0        9        0        0        9
46713 mate-netspeed                      	       0        1        1        0        0
46714 mate-notification-daemon-common    	       0      520        0        0      520
46715 mate-optimus                       	       0        4        4        0        0
46716 mate-polkit-bin                    	       0        9        9        0        0
46717 mate-sensors-applet-nvidia         	       0       15        6        0        9
46718 mate-settings-daemon-dev           	       0        5        5        0        0
46719 mate-settings-daemon-pulse         	       0        2        2        0        0
46720 mate-sntray-plugin                 	       0        6        5        1        0
46721 mate-submodules-source             	       0        1        0        0        1
46722 mate-system-tools                  	       0        1        1        0        0
46723 mate-system-tools-common           	       0        3        0        0        3
46724 mate-terminal-dbgsym               	       0        1        1        0        0
46725 mate-themes                        	       0      729        0        0      729
46726 mate-tweak                         	       0      113      106        7        0
46727 mate-user-admin                    	       0       10        7        3        0
46728 mate-user-guide                    	       0      526        0        0      526
46729 mate-user-share-common             	       0       55        0        0       55
46730 mate-utils-common                  	       0      510        1        1      508
46731 mate-window-applets-common         	       0       15        0        0       15
46732 mate-window-buttons-applet         	       0       15        0        0       15
46733 mate-window-menu-applet            	       0       14        0        0       14
46734 mate-window-title-applet           	       0       15        0        0       15
46735 mate-xapp-status-applet            	       0        6        0        0        6
46736 matekbd-keyboard-display           	       0        1        0        1        0
46737 materia-gtk-theme                  	       0       40        0        0       40
46738 materia-kde                        	       0       20        0        0       20
46739 mathemagics-trinity                	       0        1        1        0        0
46740 mathematica-fonts                  	       0        5        0        0        5
46741 mathgl                             	       0        4        4        0        0
46742 mathicgb                           	       0        1        1        0        0
46743 mathomatic                         	       0        6        6        0        0
46744 mathomatic-primes                  	       0        3        3        0        0
46745 mathopd                            	       0        1        1        0        0
46746 mathpiper                          	       0       31        0        0       31
46747 mathtex                            	       0        1        1        0        0
46748 mathwar                            	       0        1        1        0        0
46749 matlab-psychtoolbox-3              	       0        1        1        0        0
46750 matlab-psychtoolbox-3-nonfree      	       0        1        1        0        0
46751 matlab-support                     	       0        1        1        0        0
46752 matlab-support-dev                 	       0        1        0        0        1
46753 matrem                             	       0        1        1        0        0
46754 matrix-org-archive-keyring         	       0        1        0        0        1
46755 matrix-synapse-ldap3               	       0        4        4        0        0
46756 matrix-synapse-py3                 	       0        1        1        0        0
46757 maude                              	       0        1        1        0        0
46758 maven-ant-helper                   	       0        3        0        0        3
46759 maven-cache-cleanup                	       0        3        3        0        0
46760 maven-debian-helper                	       0        5        5        0        0
46761 maven-repo-helper                  	       0        9        9        0        0
46762 maxima-doc                         	       0       39        0        0       39
46763 maxima-emacs                       	       0        6        6        0        0
46764 maxima-sage                        	       0       13       13        0        0
46765 maxima-sage-doc                    	       0       12        0        0       12
46766 maxima-sage-share                  	       0       13        0        0       13
46767 maxima-share                       	       0       43        0        0       43
46768 maxima-src                         	       0       13        0        0       13
46769 maxima-test                        	       0       12        0        0       12
46770 mayavi2                            	       0        3        3        0        0
46771 maybe                              	       0        1        1        0        0
46772 mazeofgalious                      	       0        5        5        0        0
46773 mazeofgalious-data                 	       0        5        0        0        5
46774 mb2md                              	       0       19       19        0        0
46775 mbmon                              	       0        8        8        0        0
46776 mbpoll                             	       0        4        4        0        0
46777 mbrola-ca1                         	       0        1        0        0        1
46778 mbrola-ca2                         	       0        1        0        0        1
46779 mbrola-de1                         	       0        2        0        0        2
46780 mbrola-de2                         	       0        2        0        0        2
46781 mbrola-de3                         	       0        2        0        0        2
46782 mbrola-de4                         	       0        2        0        0        2
46783 mbrola-de5                         	       0        2        0        0        2
46784 mbrola-de6                         	       0        2        0        0        2
46785 mbrola-de7                         	       0        2        0        0        2
46786 mbrola-de8                         	       0        2        0        0        2
46787 mbrola-en1                         	       0        7        0        0        7
46788 mbrola-es1                         	       0        4        0        0        4
46789 mbrola-es2                         	       0        3        0        0        3
46790 mbrola-es3                         	       0        2        0        0        2
46791 mbrola-es4                         	       0        2        0        0        2
46792 mbrola-fr1                         	       0        2        0        0        2
46793 mbrola-fr2                         	       0        3        0        0        3
46794 mbrola-fr3                         	       0        1        0        0        1
46795 mbrola-fr4                         	       0        3        0        0        3
46796 mbrola-fr5                         	       0        1        0        0        1
46797 mbrola-fr6                         	       0        2        0        0        2
46798 mbrola-fr7                         	       0        1        0        0        1
46799 mbrola-it1                         	       0        1        0        0        1
46800 mbrola-it2                         	       0        1        0        0        1
46801 mbrola-it3                         	       0        1        0        0        1
46802 mbrola-it4                         	       0        1        0        0        1
46803 mbrola-la1                         	       0        1        0        0        1
46804 mbrola-mx1                         	       0        2        0        0        2
46805 mbrola-mx2                         	       0        2        0        0        2
46806 mbrola-nl1                         	       0        1        0        0        1
46807 mbrola-nl2                         	       0        1        0        0        1
46808 mbrola-nl3                         	       0        1        0        0        1
46809 mbrola-us1                         	       0       11        0        0       11
46810 mbrola-us2                         	       0        7        0        0        7
46811 mbrola-us3                         	       0        7        0        0        7
46812 mbt                                	       0        2        2        0        0
46813 mbtserver                          	       0        2        2        0        0
46814 mbuffer                            	       0       27       26        1        0
46815 mbw                                	       0        5        5        0        0
46816 mccs                               	       0        3        3        0        0
46817 mcdp                               	       0        1        1        0        0
46818 mcds                               	       0        1        1        0        0
46819 mcl                                	       0        2        2        0        0
46820 mcli                               	       0        2        2        0        0
46821 mcollective-common                 	       0        2        2        0        0
46822 mcollective-doc                    	       0        2        2        0        0
46823 mcomix                             	       0       40       40        0        0
46824 mconfig                            	       0        1        1        0        0
46825 mcpelauncher-manifest              	       0        2        2        0        0
46826 mcpelauncher-ui-manifest           	       0        2        2        0        0
46827 mcpp                               	       0        2        2        0        0
46828 mcpp-doc                           	       0        1        0        0        1
46829 mcrcon                             	       0        1        1        0        0
46830 mcron                              	       0        2        2        0        0
46831 mcu8051ide                         	       0        8        8        0        0
46832 mcvert                             	       0        1        1        0        0
46833 md2term                            	       0        3        3        0        0
46834 md4c-build-deps                    	       0        1        0        0        1
46835 md5deep                            	       0        2        0        0        2
46836 mdbook                             	       0        1        1        0        0
46837 mdbtools-doc                       	       0        8        0        0        8
46838 mdbtools-gmdb                      	       0        4        4        0        0
46839 mdc                                	       0        1        1        0        0
46840 mdfinder.app                       	       0        2        1        1        0
46841 mdformat                           	       0        1        1        0        0
46842 mdi                                	       0        1        1        0        0
46843 mdk                                	       0        1        1        0        0
46844 mdk-doc                            	       0        1        0        0        1
46845 mdm                                	       0        7        7        0        0
46846 mdp                                	       0        6        5        1        0
46847 mecab                              	       0        5        5        0        0
46848 mecab-ipadic                       	       0        8        0        0        8
46849 mecab-ipadic-utf8                  	       0        5        0        0        5
46850 mecab-jumandic                     	       0        5        0        0        5
46851 mecab-jumandic-utf8                	       0        5        0        0        5
46852 mecab-naist-jdic                   	       0        1        0        0        1
46853 mecab-naist-jdic-eucjp             	       0        1        0        0        1
46854 mecab-utils                        	       0       12       12        0        0
46855 mechvibes                          	       0        1        0        0        1
46856 mecs-racoon                        	       0        1        1        0        0
46857 med-config                         	       0        6        6        0        0
46858 med-imaging                        	       0        2        2        0        0
46859 med-tasks                          	       0       11        0        0       11
46860 medcon                             	       0        9        9        0        0
46861 media-downloader                   	       0        2        2        0        0
46862 media-types                        	       0     3673        0        0     3673
46863 mediaconch                         	       0        2        2        0        0
46864 mediaconch-gui                     	       0        2        2        0        0
46865 mediaelch                          	       0        1        1        0        0
46866 mediascanner2.0                    	       0        1        1        0        0
46867 mediastreamer2-plugin-msqogl       	       0        2        0        0        2
46868 mediathekview                      	       0       39       39        0        0
46869 mediatomb                          	       0        1        0        0        1
46870 mediatomb-common                   	       0        1        1        0        0
46871 mediatomb-daemon                   	       0        1        0        0        1
46872 mediawiki                          	       0       12       12        0        0
46873 mediawiki-classes                  	       0       13       13        0        0
46874 mediawiki-extension-youtube        	       0        1        1        0        0
46875 mediawiki2latex                    	       0        2        2        0        0
46876 mediawiki2latexguipyqt             	       0        1        1        0        0
46877 medit                              	       0        5        5        0        0
46878 meep                               	       0        6        6        0        0
46879 meep-openmpi                       	       0        1        1        0        0
46880 megacli                            	       0        9        7        0        2
46881 megaclisas-status                  	       0        3        3        0        0
46882 megacmd                            	       0        5        5        0        0
46883 megactl                            	       0        1        1        0        0
46884 megaglest                          	       0       13       13        0        0
46885 megaglest-data                     	       0       15        0        0       15
46886 megamgr                            	       0        1        1        0        0
46887 megapixels                         	       0        5        4        1        0
46888 megaraid-storage-manager           	       0        4        4        0        0
46889 megatools                          	       0       16       16        0        0
46890 megazeux                           	       0        1        1        0        0
46891 meli                               	       0        1        1        0        0
46892 melt7                              	       0        7        7        0        0
46893 melting                            	       0        1        1        0        0
46894 members                            	       0       14       14        0        0
46895 memleax                            	       0        1        1        0        0
46896 memlockd                           	       0        1        1        0        0
46897 memo                               	       0        2        2        0        0
46898 memtool                            	       0        5        5        0        0
46899 mencal                             	       0        4        4        0        0
46900 mendeleydesktop                    	       0        2        2        0        0
46901 mendexk                            	       0        1        1        0        0
46902 mensis                             	       0        1        1        0        0
46903 menu-l10n                          	       0       29        0        0       29
46904 menu-xdg                           	       0      379        0        0      379
46905 mercurial-buildpackage             	       0        1        1        0        0
46906 mercurial-dbgsym                   	       0        1        1        0        0
46907 mercurial-extension-utils          	       0        1        1        0        0
46908 mercurial-keyring                  	       0        5        4        1        0
46909 merecat                            	       0        2        2        0        0
46910 merge3                             	       0        2        2        0        0
46911 mergebox                           	       0        2        2        0        0
46912 meritous                           	       0        5        5        0        0
46913 meritous-data                      	       0        5        0        0        5
46914 merkaartor                         	       0        5        5        0        0
46915 meryl                              	       0        2        2        0        0
46916 mes                                	       0        1        1        0        0
46917 mesa-amber-build-deps              	       0        1        0        0        1
46918 mesa-amdgpu-common-dev             	       0        1        1        0        0
46919 mesa-amdgpu-multimedia             	       0        2        0        0        2
46920 mesa-amdgpu-omx-drivers            	       0        9        8        1        0
46921 mesa-drm-shim                      	       0        3        0        0        3
46922 mesa-opencl-icd                    	       0       54        0        0       54
46923 mesa-utils-extra                   	       0       12       12        0        0
46924 mesa-vdpau-drivers                 	       0     3258        5        0     3253
46925 mesademos                          	       0        1        1        0        0
46926 mesaflash                          	       0        3        3        0        0
46927 mescc-tools                        	       0        2        2        0        0
46928 meshio-tools                       	       0        2        2        0        0
46929 meshlab                            	       0       28       28        0        0
46930 meshs3d                            	       0        1        1        0        0
46931 meson-build-deps                   	       0        1        0        0        1
46932 mess                               	       0        3        1        0        2
46933 mess-data                          	       0        1        0        0        1
46934 messagelib-data                    	       0        6        0        0        6
46935 metacity-bluecurve                 	       0        1        0        0        1
46936 metacity-common                    	       0      342        4        0      338
46937 metacity-themes                    	       0       12        0        0       12
46938 metadata-cleaner                   	       0       18       18        0        0
46939 metadsf                            	       0        1        1        0        0
46940 metainit                           	       0        1        1        0        0
46941 metamail                           	       0        3        3        0        0
46942 metapixel                          	       0        4        4        0        0
46943 metar                              	       0        7        7        0        0
46944 metasploit-framework               	       0        7        7        0        0
46945 meteo-qt-l10n                      	       0      224        1        0      223
46946 meterbridge                        	       0       37       36        1        0
46947 meterec                            	       0        1        1        0        0
46948 metis                              	       0        1        1        0        0
46949 metis-edf                          	       0        1        1        0        0
46950 metricbeat                         	       0        1        1        0        0
46951 metview                            	       0        1        1        0        0
46952 metview-data                       	       0        1        0        0        1
46953 mew                                	       0        3        3        0        0
46954 mew-bin                            	       0        5        5        0        0
46955 mfbdjvu                            	       0        1        1        0        0
46956 mfbpdf                             	       0        1        1        0        0
46957 mfc210clpr                         	       0        1        1        0        0
46958 mfc235ccupswrapper                 	       0        2        0        0        2
46959 mfc235clpr                         	       0        2        2        0        0
46960 mfc5490cncupswrapper               	       0        1        0        0        1
46961 mfc5490cnlpr                       	       0        1        1        0        0
46962 mfc5840cnlpr                       	       0        1        1        0        0
46963 mfc6890cdwcupswrapper              	       0        1        0        0        1
46964 mfc6890cdwlpr                      	       0        1        1        0        0
46965 mfc7360nlpr                        	       0        2        0        0        2
46966 mfc7860dwlpr                       	       0        4        0        0        4
46967 mfc795cwcupswrapper                	       0        1        0        0        1
46968 mfc795cwlpr                        	       0        1        1        0        0
46969 mfc8512dncupswrapper               	       0        1        0        0        1
46970 mfc9332cdwcupswrapper              	       0        2        0        0        2
46971 mfc9332cdwlpr                      	       0        2        2        0        0
46972 mfc9340cdwcupswrapper              	       0        1        0        0        1
46973 mfc9340cdwlpr                      	       0        1        1        0        0
46974 mfc9420cnlpr                       	       0        1        1        0        0
46975 mfc9970cdwcupswrapper              	       0        5        0        0        5
46976 mfc9970cdwlpr                      	       0        5        5        0        0
46977 mfcj410cupswrapper                 	       0        1        0        0        1
46978 mfcj410lpr                         	       0        1        1        0        0
46979 mfcj4540dwpdrv                     	       0        3        0        0        3
46980 mfcj480dwcupswrapper               	       0        1        0        0        1
46981 mfcj480dwlpr                       	       0        1        1        0        0
46982 mfcj485dwcupswrapper               	       0        1        0        0        1
46983 mfcj485dwlpr                       	       0        1        1        0        0
46984 mfcj5730dwcupswrapper              	       0        1        0        0        1
46985 mfcj5845dwpdrv                     	       0        1        1        0        0
46986 mfcj825dwcupswrapper               	       0        2        0        0        2
46987 mfcj825dwlpr                       	       0        2        2        0        0
46988 mfcj870dwcupswrapper               	       0        2        0        0        2
46989 mfcj870dwlpr                       	       0        2        2        0        0
46990 mfcj985dwcupswrapper               	       0        4        0        0        4
46991 mfcj985dwlpr                       	       0        4        4        0        0
46992 mfcl2700dncupswrapper              	       0        1        0        0        1
46993 mfcl2700dnlpr                      	       0        1        0        0        1
46994 mfcl2700dwcupswrapper              	       0        2        0        0        2
46995 mfcl2700dwlpr                      	       0        2        1        0        1
46996 mfcl2710dwpdrv                     	       0        1        0        0        1
46997 mfcl2720dwcupswrapper              	       0        1        0        0        1
46998 mfcl2720dwlpr                      	       0        1        0        0        1
46999 mfcl3770cdwpdrv                    	       0        2        2        0        0
47000 mfcl5900dwcupswrapper              	       0        1        0        0        1
47001 mfcl5900dwlpr                      	       0        1        0        0        1
47002 mfcl6900dwcupswrapper              	       0        1        0        0        1
47003 mfcl6900dwlpr                      	       0        1        0        0        1
47004 mfcl8905cdwcupswrapper             	       0        1        0        0        1
47005 mfcl8905cdwlpr                     	       0        1        0        0        1
47006 mfcl9570cdwcupswrapper             	       0        1        0        0        1
47007 mfcl9570cdwlpr                     	       0        1        1        0        0
47008 mffm-fftw1                         	       0        1        0        0        1
47009 mft                                	       0        1        1        0        0
47010 mftrace                            	       0        4        4        0        0
47011 mg                                 	       0       16       16        0        0
47012 mgba-build                         	       0        1        0        0        1
47013 mgba-common                        	       0        7        0        0        7
47014 mgba-qt                            	       0        1        1        0        0
47015 mgdiff                             	       0       12       12        0        0
47016 mgen                               	       0        4        4        0        0
47017 mgen-doc                           	       0        3        0        0        3
47018 mgetty                             	       0       11       11        0        0
47019 mgetty-docs                        	       0        2        2        0        0
47020 mgetty-fax                         	       0        5        5        0        0
47021 mgetty-pvftools                    	       0        2        2        0        0
47022 mgetty-viewfax                     	       0        5        5        0        0
47023 mgetty-voice                       	       0        3        3        0        0
47024 mgitstatus                         	       0        3        3        0        0
47025 mgp                                	       0        3        3        0        0
47026 mgrant-obs-deb-keyring             	       0        3        0        0        3
47027 mgt                                	       0        2        2        0        0
47028 mh-book                            	       0        5        5        0        0
47029 mh-e                               	       0        2        2        0        0
47030 mhc                                	       0        3        3        0        0
47031 mhc-utils                          	       0        3        3        0        0
47032 mhddfs                             	       0        5        4        1        0
47033 mhonarc                            	       0       11       11        0        0
47034 mhwaveedit                         	       0       21       20        1        0
47035 mia-tools                          	       0        2        2        0        0
47036 mia-tools-doc                      	       0        2        0        0        2
47037 mia-viewit                         	       0        2        2        0        0
47038 mialmpick                          	       0        2        2        0        0
47039 miceamaze                          	       0        6        6        0        0
47040 microbiomeutil                     	       0        1        1        0        0
47041 microbiomeutil-data                	       0        1        0        0        1
47042 microcode.ctl                      	       0        7        0        0        7
47043 microcom                           	       0       18       18        0        0
47044 microdc2                           	       0        1        1        0        0
47045 microhope                          	       0        3        3        0        0
47046 micropolis-data                    	       0       16        0        0       16
47047 microsocks                         	       0        4        4        0        0
47048 microsoft-edge-beta                	       0        3        3        0        0
47049 microsoft-edge-dev                 	       0        1        1        0        0
47050 midge                              	       0        1        1        0        0
47051 midicsv                            	       0        1        1        0        0
47052 midish                             	       0        6        6        0        0
47053 midisnoop                          	       0       12       12        0        0
47054 midisport-firmware                 	       0        6        6        0        0
47055 midori                             	       0       70       70        0        0
47056 mig                                	       0        1        1        0        0
47057 mig-i686-linux-gnu                 	       0        1        1        0        0
47058 mighttpd2                          	       0        1        1        0        0
47059 migraphx                           	       0        2        1        1        0
47060 migraphx-dev                       	       0        2        1        1        0
47061 migrationtools                     	       0        4        0        0        4
47062 mii-diag                           	       0        6        6        0        0
47063 miio-tools                         	       0        2        2        0        0
47064 mikmod                             	       0       28       28        0        0
47065 miller                             	       0        5        5        0        0
47066 mime-types-editor                  	       0        2        2        0        0
47067 mimedecode                         	       0        1        1        0        0
47068 mimefilter                         	       0        1        1        0        0
47069 mimetex                            	       0        7        7        0        0
47070 mimms                              	       0        2        2        0        0
47071 min                                	       0        5        4        1        0
47072 min12xxw                           	       0        3        0        0        3
47073 minc-tools                         	       0        2        2        0        0
47074 minder                             	       0       12       11        1        0
47075 mindforger                         	       0        1        1        0        0
47076 mindi-busybox                      	       0        2        2        0        0
47077 mindi-kernel                       	       0        1        1        0        0
47078 mindi-partimagehack                	       0        1        1        0        0
47079 mindolph                           	       0        1        1        0        0
47080 mines-of-titan                     	       0        1        1        0        0
47081 minetest-data                      	       0       59        7        0       52
47082 minetest-dbgsym                    	       0        1        1        0        0
47083 minetest-mod-3d-armor              	       0        5        0        0        5
47084 minetest-mod-advmarkers-csm        	       0        4        0        0        4
47085 minetest-mod-advspawning           	       0        1        0        0        1
47086 minetest-mod-animalmaterials       	       0        1        0        0        1
47087 minetest-mod-animals               	       0        1        0        0        1
47088 minetest-mod-basic-materials       	       0       12        0        0       12
47089 minetest-mod-basic-robot-csm       	       0        3        0        0        3
47090 minetest-mod-character-creator     	       0        7        0        0        7
47091 minetest-mod-colour-chat-56-csm    	       0        2        0        0        2
47092 minetest-mod-craftguide            	       0        6        0        0        6
47093 minetest-mod-currency              	       0        6        0        0        6
47094 minetest-mod-ethereal              	       0        7        0        0        7
47095 minetest-mod-homedecor             	       0        6        0        0        6
47096 minetest-mod-infinite-chest        	       0        4        0        0        4
47097 minetest-mod-intllib               	       0        6        0        0        6
47098 minetest-mod-ltool                 	       0        2        0        0        2
47099 minetest-mod-lucky-block           	       0        5        0        0        5
47100 minetest-mod-maidroid              	       0        5        0        0        5
47101 minetest-mod-mesecons              	       0       10        0        0       10
47102 minetest-mod-meshport              	       0        3        0        0        3
47103 minetest-mod-mobf                  	       0        1        0        0        1
47104 minetest-mod-mobf-core             	       0        1        0        0        1
47105 minetest-mod-mobs-redo             	       0        4        0        0        4
47106 minetest-mod-moreblocks            	       0       12        0        0       12
47107 minetest-mod-moreores              	       0       11        0        0       11
47108 minetest-mod-nether                	       0        7        0        0        7
47109 minetest-mod-pipeworks             	       0       11        0        0       11
47110 minetest-mod-player-3d-armor       	       0        2        0        0        2
47111 minetest-mod-protector             	       0        6        0        0        6
47112 minetest-mod-pycraft               	       0        4        0        0        4
47113 minetest-mod-quartz                	       0        7        0        0        7
47114 minetest-mod-skyblock              	       0        5        0        0        5
47115 minetest-mod-throwing              	       0        6        0        0        6
47116 minetest-mod-throwing-arrows       	       0        6        0        0        6
47117 minetest-mod-torches               	       0        1        0        0        1
47118 minetest-mod-unified-inventory     	       0        7        0        0        7
47119 minetest-mod-unifieddyes           	       0        6        0        0        6
47120 minetest-mod-worldedit             	       0        6        0        0        6
47121 minetest-mod-xdecor                	       0        5        0        0        5
47122 minetestmapper                     	       0        7        7        0        0
47123 ming-fonts-dejavu                  	       0        2        0        0        2
47124 ming-fonts-opensymbol              	       0        2        0        0        2
47125 mingetty                           	       0        4        4        0        0
47126 mingw-w64                          	       0       29        0        0       29
47127 mingw-w64-common                   	       0       50       50        0        0
47128 mingw-w64-i686-dev                 	       0       42       42        0        0
47129 mingw-w64-tools                    	       0       11       11        0        0
47130 mingw-w64-x86-64-dev               	       0       47       47        0        0
47131 mingw32                            	       0        2        2        0        0
47132 mingw32-binutils                   	       0        2        2        0        0
47133 mingw32-runtime                    	       0        2        2        0        0
47134 mini-dinstall                      	       0        2        2        0        0
47135 mini-httpd                         	       0        2        2        0        0
47136 minia                              	       0        1        1        0        0
47137 miniasm                            	       0        1        1        0        0
47138 minica                             	       0        1        1        0        0
47139 minicoredumper                     	       0        1        1        0        0
47140 minicoredumper-utils               	       0        1        1        0        0
47141 minidisc-utils                     	       0        7        6        1        0
47142 minidjvu                           	       0        7        7        0        0
47143 minidjvu-mod                       	       0        1        1        0        0
47144 miniflux                           	       0        1        1        0        0
47145 minify                             	       0        1        1        0        0
47146 minigalaxy                         	       0       12       12        0        0
47147 minikube                           	       0        2        2        0        0
47148 minimap2                           	       0        1        1        0        0
47149 minimodem                          	       0        9        9        0        0
47150 minimuf                            	       0        1        1        0        0
47151 mininet                            	       0        2        2        0        0
47152 minio                              	       0        1        1        0        0
47153 minisat+                           	       0        3        3        0        0
47154 ministat                           	       0        1        1        0        0
47155 minitube                           	       0       14       14        0        0
47156 miniupnpc                          	       0       19       19        0        0
47157 miniupnpd                          	       0        1        1        0        0
47158 miniupnpd-iptables                 	       0        1        1        0        0
47159 miniupnpd-nftables                 	       0        1        0        1        0
47160 minizinc                           	       0        2        2        0        0
47161 minizinc-ide                       	       0        1        1        0        0
47162 minpack-dev                        	       0        3        3        0        0
47163 mint-artwork                       	       0        2        2        0        0
47164 mint-backgrounds-tina              	       0        1        0        0        1
47165 mint-backgrounds-tricia            	       0        2        0        0        2
47166 mint-backgrounds-ulyana            	       0        1        0        0        1
47167 mint-backgrounds-ulyssa            	       0        1        0        0        1
47168 mint-backgrounds-vanessa           	       0        2        0        0        2
47169 mint-backgrounds-vera              	       0        1        0        0        1
47170 mint-backgrounds-victoria          	       0        1        0        0        1
47171 mint-backgrounds-wilma             	       0        3        0        0        3
47172 mint-backgrounds-xia               	       0        1        0        0        1
47173 mint-common                        	       0        2        2        0        0
47174 mint-cursor-themes                 	       0        3        0        0        3
47175 mint-info-cinnamon                 	       0        1        0        0        1
47176 mint-l-icons                       	       0        3        0        0        3
47177 mint-l-theme                       	       0        3        0        0        3
47178 mint-mirrors                       	       0        2        0        0        2
47179 mint-themes                        	       0        9        0        0        9
47180 mint-themes-legacy                 	       0        2        0        0        2
47181 mint-translations                  	       0        2        0        0        2
47182 mint-x-icons                       	       0       12        0        0       12
47183 mint-y-icons                       	       0       17        0        0       17
47184 mint-y-icons-legacy                	       0        1        0        0        1
47185 mintpy                             	       0        2        2        0        0
47186 mintsources                        	       0        2        2        0        0
47187 mintstick                          	       0       36       33        3        0
47188 minuet                             	       0       51       49        2        0
47189 minuet-data                        	       0       51        0        0       51
47190 miopen-hip                         	       0        3        2        1        0
47191 miopen-hip-dev                     	       0        2        1        1        0
47192 mipe                               	       0        1        1        0        0
47193 mir-demos                          	       0        1        1        0        0
47194 mir-doc                            	       0        1        1        0        0
47195 mir-graphics-drivers-desktop       	       0        1        0        0        1
47196 mir-graphics-drivers-nvidia        	       0        1        0        0        1
47197 mir-platform-graphics-eglstream-kms	       0        1        0        0        1
47198 mir-platform-graphics-eglstream-kms20	       0        1        0        0        1
47199 mir-platform-graphics-gbm-kms      	       0        1        0        0        1
47200 mir-platform-graphics-gbm-kms20    	       0        1        0        0        1
47201 mir-platform-graphics-wayland      	       0        1        0        0        1
47202 mir-platform-graphics-wayland20    	       0        1        0        0        1
47203 mir-platform-graphics-x            	       0        2        0        0        2
47204 mir-platform-graphics-x20          	       0        2        0        0        2
47205 mir-platform-input-evdev8          	       0        2        0        0        2
47206 mir-test-tools                     	       0        1        1        0        0
47207 mir-wlcs-integration               	       0        1        0        0        1
47208 mira-assembler                     	       0        1        1        0        0
47209 mira-rfam-12s-rrna                 	       0        1        0        0        1
47210 miredo                             	       0        2        2        0        0
47211 miri-sdr                           	       0        5        5        0        0
47212 mirror                             	       0        1        1        0        0
47213 mirrordir                          	       0        3        3        0        0
47214 mirrormagic                        	       0       11       11        0        0
47215 mirrormagic-data                   	       0       11        0        0       11
47216 misery                             	       0        1        1        0        0
47217 missfits                           	       0        2        2        0        0
47218 mist                               	       0        1        1        0        0
47219 mit-scheme                         	       0        7        7        0        0
47220 mit-scheme-doc                     	       0        6        0        0        6
47221 mitaka-jessie-archive-keyring      	       0        2        0        0        2
47222 mitools                            	       0        2        2        0        0
47223 mivisionx                          	       0        2        1        1        0
47224 mivisionx-dev                      	       0        1        0        1        0
47225 miwm                               	       0        6        6        0        0
47226 mixer.app                          	       0        2        2        0        0
47227 mixxx-data                         	       0       39        0        0       39
47228 mk-configure                       	       0        3        3        0        0
47229 mk4tcl2.4.9.7                      	       0        1        1        0        0
47230 mkalias                            	       0        1        1        0        0
47231 mkbootimg                          	       0        5        5        0        0
47232 mkcert                             	       0        8        8        0        0
47233 mkchromecast                       	       0        9        9        0        0
47234 mkchromecast-alsa                  	       0        4        0        0        4
47235 mkchromecast-gstreamer             	       0        3        0        0        3
47236 mkchromecast-pulseaudio            	       0        5        0        0        5
47237 mkcue                              	       0       12       12        0        0
47238 mkdepend                           	       0        2        2        0        0
47239 mkdocs-autorefs                    	       0        1        1        0        0
47240 mkdocs-bootstrap                   	       0        1        0        0        1
47241 mkdocs-click                       	       0        1        1        0        0
47242 mkdocs-doc                         	       0        7        0        0        7
47243 mkdocs-literate-nav                	       0        2        2        0        0
47244 mkdocs-literate-nav-doc            	       0        1        0        0        1
47245 mkdocs-material                    	       0        3        0        0        3
47246 mkdocs-material-extensions         	       0        3        3        0        0
47247 mkdocs-nature                      	       0        7        0        0        7
47248 mkdocs-redirects                   	       0        1        1        0        0
47249 mkdocstrings                       	       0        1        1        0        0
47250 mkdocstrings-python-legacy         	       0        1        1        0        0
47251 mkelfimage                         	       0        1        1        0        0
47252 mkgmap                             	       0        6        6        0        0
47253 mkgmap-splitter                    	       0        3        3        0        0
47254 mkgmapgui                          	       0        4        4        0        0
47255 mkisofs                            	       0        2        2        0        0
47256 mklibs                             	       0        1        1        0        0
47257 mklibs-copy                        	       0        2        2        0        0
47258 mknfonts.tool                      	       0        8        8        0        0
47259 mkrboot                            	       0        2        2        0        0
47260 mktemp                             	       0       20        0        0       20
47261 mktorrent                          	       0       17       17        0        0
47262 mkusb                              	       0        2        2        0        0
47263 mkusb-common                       	       0        2        2        0        0
47264 mkusb-nox                          	       0        2        2        0        0
47265 mkusb-plug                         	       0        1        1        0        0
47266 ml-yacc                            	       0        1        1        0        0
47267 mldonkey-gui                       	       0        7        7        0        0
47268 mle                                	       0        2        2        0        0
47269 mlink                              	       0        2        2        0        0
47270 mlpost                             	       0        3        3        0        0
47271 mlterm-common                      	       0       19        0        0       19
47272 mlterm-im-fcitx                    	       0        1        0        0        1
47273 mlterm-im-m17nlib                  	       0        2        0        0        2
47274 mlterm-im-scim                     	       0        3        0        0        3
47275 mlterm-im-uim                      	       0        2        0        0        2
47276 mlterm-tools                       	       0       18       18        0        0
47277 mlv-smile                          	       0        2        2        0        0
47278 mlview                             	       0        1        1        0        0
47279 mm-common                          	       0        1        1        0        0
47280 mm3d                               	       0        6        6        0        0
47281 mma                                	       0        3        3        0        0
47282 mmake                              	       0        1        1        0        0
47283 mmark                              	       0        2        2        0        0
47284 mmass-modules                      	       0        1        1        0        0
47285 mmc-utils                          	       0       11       11        0        0
47286 mmdb-bin                           	       0       12       12        0        0
47287 mmex                               	       0        1        1        0        0
47288 mmix                               	       0        1        1        0        0
47289 mmllib-tools                       	       0        1        1        0        0
47290 mmm-mode                           	       0        8        7        1        0
47291 mmmulti                            	       0        1        1        0        0
47292 mmorph                             	       0        1        1        0        0
47293 mmpongd                            	       0        1        1        0        0
47294 mmsd-tng                           	       0        4        3        1        0
47295 mnemosyne                          	       0        7        7        0        0
47296 moagg                              	       0        1        1        0        0
47297 moagg-data                         	       0        1        0        0        1
47298 moarvm                             	       0       10        9        1        0
47299 moarvm-dev                         	       0        1        1        0        0
47300 mobazha-desktop                    	       0        2        1        0        1
47301 mobian-archive-keyring             	       0        2        0        0        2
47302 mobile-broadband-provider-info     	       0     2343        0        0     2343
47303 mobirise                           	       0        1        0        0        1
47304 moblin-cursor-theme                	       0        4        0        0        4
47305 moblin-icon-theme                  	       0        1        0        0        1
47306 moblin-sound-theme                 	       0        1        0        0        1
47307 moc-ffmpeg-plugin                  	       0       16        0        0       16
47308 mocassin                           	       0        1        1        0        0
47309 mocassin-data                      	       0        1        0        0        1
47310 mod-distortion                     	       0        1        1        0        0
47311 mod-host                           	       0        1        1        0        0
47312 mod-mda-lv2                        	       0        1        0        0        1
47313 mod-musicindex-common              	       0        1        0        0        1
47314 mod-pagespeed-beta                 	       0        1        1        0        0
47315 mod-pitchshifter                   	       0        1        1        0        0
47316 mod-sdk                            	       0        1        1        0        0
47317 mod-sdk-lv2                        	       0        4        4        0        0
47318 modconf                            	       0        1        1        0        0
47319 modeline                           	       0        1        1        0        0
47320 modelio-open-source4.1             	       0        1        0        0        1
47321 modelio-open-source5.3             	       0        1        0        0        1
47322 modelio-open-source5.4             	       0        2        1        0        1
47323 modeller                           	       0        1        1        0        0
47324 modem-cmd                          	       0        1        1        0        0
47325 modem-manager-gui                  	       0        8        7        1        0
47326 modem-manager-gui-help             	       0        8        0        0        8
47327 modemmanager-dev                   	       0        5        5        0        0
47328 modemmanager-doc                   	       0        8        0        0        8
47329 modemmanager-qt-dev                	       0        2        2        0        0
47330 modemu                             	       0        2        2        0        0
47331 modernize                          	       0        3        3        0        0
47332 modplug-tools                      	       0        5        5        0        0
47333 modrinth-app                       	       0        1        1        0        0
47334 module-init-tools                  	       0       11        0        0       11
47335 moka-icon-theme                    	       0       24        0        0       24
47336 mokomaze                           	       0        6        6        0        0
47337 mold                               	       0        4        4        0        0
47338 molequeue                          	       0       11       11        0        0
47339 molly-brown                        	       0        2        2        0        0
47340 mom                                	       0        1        1        0        0
47341 momentum-prod                      	       0        1        0        0        1
47342 mommy                              	       0        1        1        0        0
47343 mon                                	       0        4        4        0        0
47344 mon-client                         	       0        4        4        0        0
47345 mon-contrib                        	       0        2        2        0        0
47346 mona                               	       0        1        1        0        0
47347 monero                             	       0       14       13        0        1
47348 monero-gui                         	       0        2        2        0        0
47349 monero-tests                       	       0        3        3        0        0
47350 moneydance                         	       0        1        1        0        0
47351 moneyplex                          	       0        1        0        0        1
47352 mongo-tools                        	       0       12       12        0        0
47353 mongocli                           	       0        1        1        0        0
47354 mongodb                            	       0        4        0        0        4
47355 mongodb-10gen                      	       0        1        1        0        0
47356 mongodb-clients                    	       0       13       13        0        0
47357 mongodb-compass                    	       0        2        2        0        0
47358 mongodb-database-tools             	       0       15       15        0        0
47359 mongodb-dev                        	       0        1        0        0        1
47360 mongodb-mongosh                    	       0        8        6        2        0
47361 mongodb-org                        	       0       15        0        0       15
47362 mongodb-org-database               	       0        6        0        0        6
47363 mongodb-org-database-tools-extra   	       0       15       15        0        0
47364 mongodb-org-mongos                 	       0       17       17        0        0
47365 mongodb-org-shell                  	       0       21       16        0        5
47366 mongodb-org-tools                  	       0       18        3        0       15
47367 monitoring-plugins                 	       0       51        0        0       51
47368 monitoring-plugins-btrfs           	       0        2        2        0        0
47369 monitorix                          	       0       10       10        0        0
47370 monkeys-audio                      	       0        6        6        0        0
47371 monkeysign                         	       0        1        1        0        0
47372 mono-2.0-gac                       	       0        2        2        0        0
47373 mono-2.0-service                   	       0        2        2        0        0
47374 mono-addins-utils                  	       0        1        1        0        0
47375 mono-apache-server                 	       0        1        1        0        0
47376 mono-apache-server4                	       0        3        3        0        0
47377 mono-common                        	       0        1        1        0        0
47378 mono-complete                      	       0       52        0        0       52
47379 mono-dbg                           	       0        4        4        0        0
47380 mono-debugger                      	       0        1        1        0        0
47381 mono-dmcs                          	       0        2        0        0        2
47382 mono-gmcs                          	       0        1        0        0        1
47383 mono-jay                           	       0        6        6        0        0
47384 mono-jit                           	       0        1        1        0        0
47385 mono-llvm-support                  	       0       22        0        0       22
47386 mono-llvm-tools                    	       0       22       22        0        0
47387 mono-roslyn                        	       0       21       21        0        0
47388 mono-runtime-boehm                 	       0        1        1        0        0
47389 mono-runtime-dbg                   	       0        1        1        0        0
47390 mono-source                        	       0        2        0        0        2
47391 mono-tools-devel                   	       0        3        3        0        0
47392 mono-tools-gui                     	       0        2        2        0        0
47393 mono-upnp-bin                      	       0        2        2        0        0
47394 mono-vbnc                          	       0        2        2        0        0
47395 monodevelop                        	       0        9        9        0        0
47396 monodevelop-nunit                  	       0        1        1        0        0
47397 monodoc-browser                    	       0        8        8        0        0
47398 monodoc-gnome-keyring-manual       	       0        1        1        0        0
47399 monodoc-gtk2.0-manual              	       0       13       13        0        0
47400 monodoc-gtk3.0-manual              	       0       12       12        0        0
47401 monodoc-manual                     	       0       72       70        2        0
47402 monodoc-mono-upnp-manual           	       0        2        2        0        0
47403 monodoc-nunit-manual               	       0        2        2        0        0
47404 monodoc-opentk-manual              	       0        1        1        0        0
47405 monosim-gtk                        	       0        1        1        0        0
47406 monotone                           	       0        3        3        0        0
47407 monotone-doc                       	       0        1        0        0        1
47408 monotone-extras                    	       0        2        2        0        0
47409 monotone-viz                       	       0        1        1        0        0
47410 monster-masher                     	       0        4        4        0        0
47411 monsterz                           	       0       11       11        0        0
47412 monsterz-data                      	       0       11        0        0       11
47413 montage                            	       0        2        2        0        0
47414 monteverdi                         	       0        2        2        0        0
47415 moodbar                            	       0        1        1        0        0
47416 moon-buggy                         	       0       17       15        2        0
47417 moon-lander                        	       0       13       13        0        0
47418 moon-lander-data                   	       0       13        0        0       13
47419 moonlight-plugin-core              	       0        1        1        0        0
47420 moonlight-plugin-mozilla           	       0        1        1        0        0
47421 moony.lv2                          	       0        1        1        0        0
47422 moosefs-cgi                        	       0        1        0        0        1
47423 moosefs-cli                        	       0        1        1        0        0
47424 moosefs-client                     	       0        1        1        0        0
47425 moosefs-common                     	       0        1        0        0        1
47426 mopac7-bin                         	       0        1        1        0        0
47427 mopidy                             	       0       12       11        1        0
47428 mopidy-alsamixer                   	       0        4        4        0        0
47429 mopidy-doc                         	       0        2        0        0        2
47430 mopidy-internetarchive             	       0        3        3        0        0
47431 mopidy-local                       	       0        2        2        0        0
47432 mopidy-local-sqlite                	       0        1        1        0        0
47433 mopidy-mpd                         	       0        3        3        0        0
47434 mopidy-mpris                       	       0        1        1        0        0
47435 mopidy-podcast                     	       0        3        3        0        0
47436 mopidy-podcast-itunes              	       0        3        3        0        0
47437 mopidy-somafm                      	       0        4        4        0        0
47438 mopidy-soundcloud                  	       0        1        1        0        0
47439 mopidy-spotify                     	       0        1        1        0        0
47440 mopidy-tunein                      	       0        1        1        0        0
47441 morgen                             	       0        1        0        0        1
47442 morph027-keyring                   	       0        1        0        0        1
47443 morris                             	       0        3        3        0        0
47444 morrowind-en-data                  	       0        1        0        0        1
47445 morrowind-en-plugins               	       0        1        0        0        1
47446 morse-simulator-data               	       0        1        0        0        1
47447 morsegen                           	       0        5        5        0        0
47448 motif-clients                      	       0        1        0        0        1
47449 motion-effect                      	       0        1        1        0        0
47450 motionplus                         	       0        1        1        0        0
47451 motivewave                         	       0        5        5        0        0
47452 motrix                             	       0        1        0        0        1
47453 motv                               	       0        1        1        0        0
47454 mount-dbgsym                       	       0        2        2        0        0
47455 mountall                           	       0        2        2        0        0
47456 mountiso                           	       0        1        1        0        0
47457 mousai                             	       0        1        1        0        0
47458 mousetrap                          	       0        2        2        0        0
47459 mousetweaks                        	       0       47       46        1        0
47460 movixmaker-2                       	       0        3        3        0        0
47461 mozc-data                          	       0       57        0        0       57
47462 mozc-utils-gui                     	       0       57       53        4        0
47463 mozilla                            	       0        1        0        0        1
47464 mozilla-browser                    	       0        1        1        0        0
47465 mozilla-devscripts                 	       0        1        1        0        0
47466 mozilla-mailnews                   	       0        1        0        0        1
47467 mozilla-mplayer                    	       0        1        1        0        0
47468 mozilla-noscript                   	       0        1        0        0        1
47469 mozilla-plugin-gnash               	       0        2        0        0        2
47470 mozilla-psm                        	       0        1        0        0        1
47471 mozillavpn                         	       0        1        1        0        0
47472 mozjpeg                            	       0        1        1        0        0
47473 mozldap-tools                      	       0        1        1        0        0
47474 mozplugger                         	       0        8        8        0        0
47475 mp                                 	       0        1        1        0        0
47476 mp3burn                            	       0        3        3        0        0
47477 mp3cd                              	       0       10        9        1        0
47478 mp3guessenc                        	       0        3        3        0        0
47479 mp3info                            	       0       63       62        1        0
47480 mp3info-gtk                        	       0       15       15        0        0
47481 mp3rename                          	       0       19       18        1        0
47482 mp3report                          	       0        7        7        0        0
47483 mp3roaster                         	       0        1        1        0        0
47484 mp3splt-dbg                        	       0        1        1        0        0
47485 mp3splt-gtk                        	       0       13       13        0        0
47486 mp3val                             	       0       17       17        0        0
47487 mp3wrap                            	       0       12       12        0        0
47488 mp4fpsmod                          	       0        1        1        0        0
47489 mp4h                               	       0        6        6        0        0
47490 mp4tools                           	       0        2        2        0        0
47491 mp4v2-tools                        	       0        2        2        0        0
47492 mp4v2-utils                        	       0        5        5        0        0
47493 mpb-mpi                            	       0        1        1        0        0
47494 mpb-scm                            	       0        1        0        0        1
47495 mpc-ace                            	       0        1        1        0        0
47496 mpc123                             	       0        3        3        0        0
47497 mpd-sima                           	       0        1        1        0        0
47498 mpdcon.app                         	       0        2        1        1        0
47499 mpdcron                            	       0        1        1        0        0
47500 mpdris2                            	       0        3        3        0        0
47501 mpdtoys                            	       0        4        4        0        0
47502 mpeg2dec                           	       0       18       18        0        0
47503 mpeg3-utils                        	       0        9        9        0        0
47504 mpegdemux                          	       0        5        5        0        0
47505 mpeglib-trinity                    	       0       24       24        0        0
47506 mpg123-alsa                        	       0        1        0        0        1
47507 mpg123-el                          	       0        1        1        0        0
47508 mpglen                             	       0        1        1        0        0
47509 mpgrafic                           	       0        1        1        0        0
47510 mpgtx                              	       0       19       19        0        0
47511 mpi-default-bin                    	       0      146        0        0      146
47512 mpi-default-dev                    	       0       90        0        0       90
47513 mpi-doc                            	       0        1        0        0        1
47514 mpich-bin                          	       0        1        1        0        0
47515 mpich-doc                          	       0        5        0        0        5
47516 mplayer-doc                        	       0       32        0        0       32
47517 mplayer-gui                        	       0       55       54        1        0
47518 mplayer-nogui                      	       0        1        0        0        1
47519 mplayer-skin-blue                  	       0       57        0        0       57
47520 mplayer2                           	       0       29       29        0        0
47521 mplayerthumbs                      	       0        2        2        0        0
47522 mplayerthumbs-trinity              	       0        2        2        0        0
47523 mpollux-digisign-client-for-dvv    	       0        2        2        0        0
47524 mppenc                             	       0       24       24        0        0
47525 mpqc                               	       0        3        3        0        0
47526 mpqc-support                       	       0        1        1        0        0
47527 mqtt-cli                           	       0        1        1        0        0
47528 mrbayes                            	       0        5        5        0        0
47529 mrbayes-doc                        	       0        1        0        0        1
47530 mrbayes-mpi                        	       0        1        1        0        0
47531 mrboom                             	       0        5        5        0        0
47532 mrc                                	       0        1        1        0        0
47533 mriconvert                         	       0        3        3        0        0
47534 mricron                            	       0        4        4        0        0
47535 mricron-data                       	       0        4        0        0        4
47536 mrouted                            	       0        1        1        0        0
47537 mrpt-doc                           	       0        1        0        0        1
47538 mrrescue                           	       0        6        6        0        0
47539 mrtg-contrib                       	       0        3        3        0        0
47540 mrtg-ping-probe                    	       0        1        1        0        0
47541 mrtgutils                          	       0        5        5        0        0
47542 mrtgutils-sensors                  	       0        4        4        0        0
47543 mrtrix3                            	       0        2        2        0        0
47544 mrwtoppm                           	       0        1        1        0        0
47545 mrwtoppm-data                      	       0        1        0        0        1
47546 mrwtoppm-gimp                      	       0        1        1        0        0
47547 mrwtoppm-utils                     	       0        1        1        0        0
47548 mrxvt                              	       0        7        7        0        0
47549 mrxvt-common                       	       0        7        0        0        7
47550 ms-365-electron                    	       0        1        0        0        1
47551 ms-sys                             	       0        2        2        0        0
47552 msa-manifest                       	       0        2        2        0        0
47553 msbuild                            	       0       23       23        0        0
47554 msbuild-libhostfxr                 	       0       23       23        0        0
47555 msbuild-sdkresolver                	       0       23       23        0        0
47556 mscgen                             	       0        4        4        0        0
47557 msi-keyboard                       	       0        1        1        0        0
47558 msitools                           	       0       18       18        0        0
47559 msktutil                           	       0        4        4        0        0
47560 msn-pecan                          	       0        1        1        0        0
47561 msodbcsql18                        	       0        3        3        0        0
47562 msopenjdk-11                       	       0        1        1        0        0
47563 msopenjdk-21                       	       0        1        1        0        0
47564 msort                              	       0        6        6        0        0
47565 msort-gui                          	       0        4        4        0        0
47566 msp430-libc                        	       0        2        2        0        0
47567 msp430mcu                          	       0        5        5        0        0
47568 mspdebug                           	       0        6        6        0        0
47569 mssh                               	       0       10       10        0        0
47570 mssql-tools                        	       0        4        4        0        0
47571 mssql-tools18                      	       0        3        3        0        0
47572 mstflint                           	       0        6        6        0        0
47573 msttcorefonts                      	       0        2        0        0        2
47574 msty                               	       0        1        1        0        0
47575 mt-st                              	       0       10       10        0        0
47576 mt32emu-qt                         	       0        1        1        0        0
47577 mtail                              	       0        2        2        0        0
47578 mtasc                              	       0        1        1        0        0
47579 mtdev-tools                        	       0        1        1        0        0
47580 mtink-doc                          	       0        1        0        0        1
47581 mtkbabel                           	       0        5        5        0        0
47582 mtoolsfm                           	       0        1        1        0        0
47583 mtop                               	       0        1        1        0        0
47584 mtpaint                            	       0       56       54        2        0
47585 mtpfs                              	       0        2        2        0        0
47586 mtr-tiny-dbgsym                    	       0        1        1        0        0
47587 mtree-netbsd                       	       0        3        3        0        0
47588 mtx                                	       0        6        6        0        0
47589 mu-cade                            	       0        1        1        0        0
47590 mu-cade-data                       	       0        1        0        0        1
47591 mu-cite                            	       0        2        2        0        0
47592 mu-editor                          	       0        1        1        0        0
47593 mu-editor-doc                      	       0        1        0        0        1
47594 mu4e                               	       0       11       10        1        0
47595 muc                                	       0        1        1        0        0
47596 mudita24                           	       0        6        6        0        0
47597 mudlet                             	       0        1        1        0        0
47598 mueller7-dict                      	       0      157        0        0      157
47599 mueller7accent-dict                	       0        2        0        0        2
47600 muffin-doc                         	       0        1        0        0        1
47601 mujs                               	       0        2        2        0        0
47602 multex-base                        	       0        1        0        0        1
47603 multi-aterm                        	       0        1        1        0        0
47604 multiarch-support                  	       0      815        0        0      815
47605 multiboot                          	       0       16       16        0        0
47606 multiboot-doc                      	       0        4        0        0        4
47607 multicat                           	       0        4        4        0        0
47608 multicd                            	       0        1        1        0        0
47609 multiload                          	       0        1        1        0        0
47610 multiload-ng                       	       0        2        2        0        0
47611 multiload-ng-common                	       0        1        0        0        1
47612 multilogcheck                      	       0        1        1        0        0
47613 multimc                            	       0        7        0        0        7
47614 multimedia-animation               	       0        2        0        0        2
47615 multimedia-audio-plugins           	       0        4        0        0        4
47616 multimedia-audio-utilities         	       0        4        0        0        4
47617 multimedia-broadcasting            	       0        3        0        0        3
47618 multimedia-csound                  	       0        2        0        0        2
47619 multimedia-devel                   	       0        1        0        0        1
47620 multimedia-drums                   	       0        1        0        0        1
47621 multimedia-firewire                	       0        2        0        0        2
47622 multimedia-guitar                  	       0        1        0        0        1
47623 multimedia-jack                    	       0        8        0        0        8
47624 multimedia-midi                    	       0        4        0        0        4
47625 multimedia-musiciantools           	       0        2        0        0        2
47626 multimedia-photography             	       0        3        0        0        3
47627 multimedia-players                 	       0        2        0        0        2
47628 multimedia-puredata                	       0        3        0        0        3
47629 multimedia-samplers                	       0        1        0        0        1
47630 multimedia-soundsynthesis          	       0        1        0        0        1
47631 multimedia-supercollider           	       0        1        0        0        1
47632 multimedia-tasks                   	       0       28        0        0       28
47633 multimon                           	       0        8        8        0        0
47634 multimon-ng                        	       0       10       10        0        0
47635 multisync                          	       0        1        1        0        0
47636 multisync-tools                    	       0        2        2        0        0
47637 multisystem                        	       0        3        3        0        0
47638 multitee                           	       0        4        4        0        0
47639 multitime                          	       0        2        2        0        0
47640 multiwatch                         	       0        2        2        0        0
47641 mummer                             	       0        5        5        0        0
47642 mumps-test                         	       0        1        1        0        0
47643 mumudvb                            	       0        1        1        0        0
47644 mundus                             	       0        1        1        0        0
47645 munge                              	       0        4        4        0        0
47646 munin-async                        	       0       11       10        1        0
47647 munin-doc                          	       0       20        0        0       20
47648 munin-plugins-btrfs                	       0        4        0        0        4
47649 munin-plugins-extra                	       0       69        0        0       69
47650 munin-plugins-java                 	       0        1        0        0        1
47651 munipack                           	       0        2        0        0        2
47652 munipack-cli                       	       0        2        2        0        0
47653 munipack-core                      	       0        2        0        0        2
47654 munipack-doc                       	       0        2        0        0        2
47655 munipack-gui                       	       0        2        2        0        0
47656 muon                               	       0       19       19        0        0
47657 muon-meson                         	       0        1        1        0        0
47658 mup                                	       0        1        1        0        0
47659 mupen64plus                        	       0        1        0        0        1
47660 mupen64plus-audio-all              	       0       16        0        0       16
47661 mupen64plus-audio-sdl              	       0       17        0        0       17
47662 mupen64plus-data                   	       0       17        0        0       17
47663 mupen64plus-input-all              	       0       16        0        0       16
47664 mupen64plus-input-sdl              	       0       17        0        0       17
47665 mupen64plus-qt                     	       0        9        9        0        0
47666 mupen64plus-rsp-all                	       0       16        0        0       16
47667 mupen64plus-rsp-hle                	       0       16        0        0       16
47668 mupen64plus-rsp-z64                	       0       15        0        0       15
47669 mupen64plus-video-all              	       0       16        0        0       16
47670 mupen64plus-video-arachnoid        	       0       15        0        0       15
47671 mupen64plus-video-glide64          	       0       15        0        0       15
47672 mupen64plus-video-glide64mk2       	       0       16        0        0       16
47673 mupen64plus-video-rice             	       0       16        0        0       16
47674 mupen64plus-video-z64              	       0       15        0        0       15
47675 murano-agent                       	       0        1        1        0        0
47676 murano-api                         	       0        1        1        0        0
47677 murano-cfapi                       	       0        1        1        0        0
47678 murano-common                      	       0        1        1        0        0
47679 murano-engine                      	       0        1        1        0        0
47680 muroar-bin                         	       0        1        1        0        0
47681 muroard                            	       0        1        1        0        0
47682 murrine-themes                     	       0      141        0        0      141
47683 muscle                             	       0        5        5        0        0
47684 muse                               	       0       17       16        1        0
47685 muse-hub                           	       0        4        4        0        0
47686 muse-sounds-manager                	       0        5        5        0        0
47687 musepack-tools                     	       0       35       34        1        0
47688 musescore-common                   	       0       49        0        0       49
47689 musescore-general-soundfont        	       0       27        0        0       27
47690 musescore-general-soundfont-lossless	       0        7        0        0        7
47691 musescore-general-soundfont-small  	       0       42        0        0       42
47692 musescore-soundfont-gm             	       0       22        0        0       22
47693 musescore3                         	       0       26       25        1        0
47694 musescore3-common                  	       0       28        1        0       27
47695 music-bin                          	       0        1        1        0        0
47696 music123                           	       0        8        8        0        0
47697 musikcube                          	       0        1        1        0        0
47698 musique                            	       0        1        1        0        0
47699 musixtex                           	       0        2        1        0        1
47700 musl-dev                           	       0       19       18        1        0
47701 musl-tools                         	       0       17       16        1        0
47702 muspnp                             	       0        1        1        0        0
47703 mussh                              	       0        2        2        0        0
47704 mussort                            	       0        1        1        0        0
47705 mustang                            	       0        4        4        0        0
47706 mustang-plug                       	       0        1        1        0        0
47707 mutt-vc-query                      	       0        1        1        0        0
47708 muttdown                           	       0        1        1        0        0
47709 mutter                             	       0       30       30        0        0
47710 muttprint                          	       0       15       15        0        0
47711 muttprint-manual                   	       0        6        0        0        6
47712 muttprofile                        	       0        3        3        0        0
47713 mwc                                	       0        2        2        0        0
47714 mwrap                              	       0        1        1        0        0
47715 mx-archive-keyring                 	       0        1        0        0        1
47716 mx-boot-options                    	       0        1        1        0        0
47717 mx-bootrepair                      	       0        1        1        0        0
47718 mx-cleanup                         	       0        3        2        1        0
47719 mx-comfort-themes                  	       0        1        1        0        0
47720 mx-live-usb-maker                  	       0        2        1        1        0
47721 mx-locale                          	       0        2        1        1        0
47722 mx-tools                           	       0        3        2        1        0
47723 mx-user                            	       0        2        1        1        0
47724 mx19-archive-keyring               	       0        3        0        0        3
47725 my-x200                            	       0        1        0        0        1
47726 mycli                              	       0       14       14        0        0
47727 mycroft-mimic3-tts                 	       0        1        1        0        0
47728 mydumper                           	       0        8        8        0        0
47729 myhdl-cosimulation                 	       0        2        0        0        2
47730 mylvmbackup                        	       0        1        1        0        0
47731 mynotex                            	       0        2        0        0        2
47732 mypager                            	       0        1        1        0        0
47733 mypaint-brushes                    	       0       35        0        0       35
47734 mypaint-data                       	       0       33        0        0       33
47735 mypaint-data-extras                	       0       33        0        0       33
47736 mypy-doc                           	       0        2        0        0        2
47737 mysecureshell                      	       0        1        1        0        0
47738 myspell-cs                         	       0        4        0        0        4
47739 myspell-da                         	       0        5        0        0        5
47740 myspell-de-at                      	       0        2        0        0        2
47741 myspell-de-ch                      	       0        4        0        0        4
47742 myspell-de-de                      	       0        4        0        0        4
47743 myspell-de-de-1901                 	       0        6        6        0        0
47744 myspell-de-de-oldspell             	       0        2        0        0        2
47745 myspell-el-gr                      	       0        4        0        0        4
47746 myspell-en-au                      	       0        4        4        0        0
47747 myspell-en-gb                      	       0       16        0        0       16
47748 myspell-en-nz                      	       0        1        0        0        1
47749 myspell-en-us                      	       0       13        0        0       13
47750 myspell-en-za                      	       0        1        0        0        1
47751 myspell-eo                         	       0       13       12        0        1
47752 myspell-es                         	       0      189      173       16        0
47753 myspell-et                         	       0       10       10        0        0
47754 myspell-fa                         	       0        6        0        0        6
47755 myspell-fo                         	       0        2        2        0        0
47756 myspell-fr                         	       0        8        8        0        0
47757 myspell-fr-gut                     	       0        2        0        0        2
47758 myspell-ga                         	       0        7        0        0        7
47759 myspell-gd                         	       0        1        0        0        1
47760 myspell-gv                         	       0        2        0        0        2
47761 myspell-he                         	       0        4        0        0        4
47762 myspell-hr                         	       0        1        0        0        1
47763 myspell-hu                         	       0        1        0        0        1
47764 myspell-hy                         	       0        6        0        0        6
47765 myspell-it                         	       0        4        0        0        4
47766 myspell-lv                         	       0        2        0        0        2
47767 myspell-mi-nz                      	       0        1        0        0        1
47768 myspell-nb                         	       0       41        0        0       41
47769 myspell-nl                         	       0        2        0        0        2
47770 myspell-nn                         	       0       41        0        0       41
47771 myspell-pl                         	       0       11        0        0       11
47772 myspell-pt                         	       0        1        0        0        1
47773 myspell-pt-br                      	       0        3        0        0        3
47774 myspell-pt-pt                      	       0        2        0        0        2
47775 myspell-ru                         	       0       13        4        0        9
47776 myspell-sk                         	       0        6        0        0        6
47777 myspell-sq                         	       0        5        0        0        5
47778 myspell-tl                         	       0       19       19        0        0
47779 myspell-tools                      	       0        1        1        0        0
47780 myspell-uk                         	       0       20        0        0       20
47781 mysql                              	       0        1        1        0        0
47782 mysql-admin                        	       0        1        1        0        0
47783 mysql-apt-config                   	       0        7        0        0        7
47784 mysql-client                       	       0       36        0        0       36
47785 mysql-client-5.6                   	       0        1        1        0        0
47786 mysql-client-5.7                   	       0        1        1        0        0
47787 mysql-client-8.0                   	       0        3        3        0        0
47788 mysql-client-compat                	       0        1        0        0        1
47789 mysql-client-core-5.6              	       0        1        1        0        0
47790 mysql-client-core-5.7              	       0        1        1        0        0
47791 mysql-client-core-8.0              	       0        3        3        0        0
47792 mysql-common                       	       0     2345        0        0     2345
47793 mysql-community-client             	       0        3        3        0        0
47794 mysql-community-server             	       0        3        3        0        0
47795 mysql-connector-j                  	       0        2        0        0        2
47796 mysql-connector-java               	       0        1        0        0        1
47797 mysql-gui-tools-common             	       0        1        0        0        1
47798 mysql-navigator                    	       0        2        2        0        0
47799 mysql-proxy                        	       0        1        1        0        0
47800 mysql-query-browser                	       0        1        1        0        0
47801 mysql-sandbox                      	       0        2        2        0        0
47802 mysql-server                       	       0       31        0        0       31
47803 mysql-server-5.5                   	       0        3        3        0        0
47804 mysql-server-5.6                   	       0        1        1        0        0
47805 mysql-server-5.7                   	       0        1        1        0        0
47806 mysql-server-8.0                   	       0        3        3        0        0
47807 mysql-server-core-5.6              	       0        2        2        0        0
47808 mysql-server-core-5.7              	       0        1        1        0        0
47809 mysql-server-core-8.0              	       0        3        3        0        0
47810 mysql-utilities                    	       0        3        3        0        0
47811 mysql-workbench                    	       0        3        3        0        0
47812 mysql-workbench-community          	       0        2        2        0        0
47813 mysql-workbench-data               	       0        5        0        0        5
47814 mysql-workbench-dbgsym             	       0        1        1        0        0
47815 mysqltcl                           	       0        1        0        0        1
47816 mysqltuner                         	       0       12       12        0        0
47817 mysqmail                           	       0        1        0        0        1
47818 mysqmail-dovecot-logger            	       0        1        1        0        0
47819 mysterium-vpn-desktop              	       0        1        1        0        0
47820 mystiq                             	       0        6        6        0        0
47821 mytetra                            	       0        1        1        0        0
47822 mytharchive                        	       0        2        2        0        0
47823 mytharchive-data                   	       0        2        2        0        0
47824 mythbrowser                        	       0        1        0        0        1
47825 mythes-bg                          	       0        4        0        0        4
47826 mythes-cs                          	       0       25        0        0       25
47827 mythes-de                          	       0      264        0        0      264
47828 mythes-de-ch                       	       0      252        0        0      252
47829 mythes-en-au                       	       0        4        0        0        4
47830 mythes-en-us                       	       0     2452        0        0     2452
47831 mythes-es                          	       0       28        0        0       28
47832 mythes-fr                          	       0       93        0        0       93
47833 mythes-gug                         	       0        1        0        0        1
47834 mythes-hu                          	       0        1        0        0        1
47835 mythes-it                          	       0       84        0        0       84
47836 mythes-ne                          	       0        2        0        0        2
47837 mythes-pl                          	       0       44        0        0       44
47838 mythes-pt-br                       	       0        1        0        0        1
47839 mythes-pt-pt                       	       0        2        0        0        2
47840 mythes-ro                          	       0        7        0        0        7
47841 mythes-ru                          	       0      134        0        0      134
47842 mythes-sk                          	       0        4        0        0        4
47843 mythes-sv                          	       0        1        0        0        1
47844 mythes-uk                          	       0        7        0        0        7
47845 mythffmpeg                         	       0        4        4        0        0
47846 mythgame                           	       0        1        0        0        1
47847 mythmusic                          	       0        1        0        0        1
47848 mythnetvision-data                 	       0        1        0        0        1
47849 mythnews                           	       0        1        0        0        1
47850 mythplugins                        	       0        1        0        0        1
47851 mythtv                             	       0        1        0        0        1
47852 mythtv-database                    	       0        5        0        0        5
47853 mythtv-doc                         	       0        6        0        0        6
47854 mythtv-frontend                    	       0        3        3        0        0
47855 mythweather                        	       0        1        1        0        0
47856 mythzoneminder                     	       0        1        1        0        0
47857 mytop                              	       0        4        4        0        0
47858 n2n                                	       0        2        2        0        0
47859 naev                               	       0        5        5        0        0
47860 naev-data                          	       0        6        0        0        6
47861 nagi                               	       0        1        1        0        0
47862 nagios-check-xmppng                	       0        1        1        0        0
47863 nagios-images                      	       0       10        0        0       10
47864 nagios-nrpe-plugins-2pir           	       0        1        1        0        0
47865 nagios-plugin-check-multi          	       0        1        1        0        0
47866 nagios-plugin-check-scsi-smart     	       0        1        1        0        0
47867 nagios-plugins                     	       0        7        0        0        7
47868 nagios-plugins-basic               	       0       11        0        0       11
47869 nagios-plugins-common              	       0        4        0        0        4
47870 nagios-plugins-standard            	       0        4        0        0        4
47871 nagios-snmp-plugins                	       0        4        4        0        0
47872 nagios3-cgi                        	       0        3        3        0        0
47873 nagios3-common                     	       0        3        0        0        3
47874 nagios3-doc                        	       0        2        0        0        2
47875 nagios4                            	       0        2        0        0        2
47876 nagios4-cgi                        	       0        2        2        0        0
47877 nagios4-common                     	       0        2        2        0        0
47878 nagstamon                          	       0        4        4        0        0
47879 nagvis                             	       0        1        1        0        0
47880 nailgun                            	       0        2        2        0        0
47881 nala-legacy                        	       0        1        1        0        0
47882 nam                                	       0        1        1        0        0
47883 nama                               	       0        2        2        0        0
47884 namazu2                            	       0        2        2        0        0
47885 namazu2-common                     	       0        2        0        0        2
47886 namazu2-index-tools                	       0        2        2        0        0
47887 namebench                          	       0        1        1        0        0
47888 nano-build-deps                    	       0        1        0        0        1
47889 nano-tiny                          	       0        1        1        0        0
47890 nanoedgeaistudio                   	       0        1        1        0        0
47891 nanook                             	       0        1        1        0        0
47892 nanook-examples                    	       0        1        0        0        1
47893 nanopb                             	       0        1        1        0        0
47894 nanopb-build-deps                  	       0        1        0        0        1
47895 nanovna-saver                      	       0        1        1        0        0
47896 naps2                              	       0        5        5        0        0
47897 nas                                	       0        5        5        0        0
47898 nas-bin                            	       0        3        3        0        0
47899 nas-doc                            	       0        1        0        0        1
47900 nasm-mozilla                       	       0        1        1        0        0
47901 naspro-bridges                     	       0        4        1        0        3
47902 nast-ier                           	       0        1        1        0        0
47903 nastran                            	       0        3        3        0        0
47904 nat-rtsp-dkms                      	       0        1        1        0        0
47905 native-architecture                	       0       65        0        0       65
47906 native-architecture-is             	       0        1        0        0        1
47907 nativecam                          	       0        1        1        0        0
47908 natpmp-utils                       	       0        2        0        0        2
47909 natpmpc                            	       0        4        4        0        0
47910 natron                             	       0        1        1        0        0
47911 natspec-bin                        	       0        1        1        0        0
47912 naturaldocs                        	       0        1        0        1        0
47913 nautic                             	       0        2        2        0        0
47914 nautilus-admin                     	       0        5        0        0        5
47915 nautilus-dropbox                   	       0        7        7        0        0
47916 nautilus-extension-brasero         	       0       78        3        0       75
47917 nautilus-extension-burner          	       0        1        0        0        1
47918 nautilus-extension-fma             	       0        1        0        0        1
47919 nautilus-hide                      	       0        3        0        0        3
47920 nautilus-image-converter           	       0       12        0        0       12
47921 nautilus-kdeconnect                	       0        3        0        0        3
47922 nautilus-megasync                  	       0        1        0        0        1
47923 nautilus-nextcloud                 	       0        4        0        0        4
47924 nautilus-open-terminal             	       0        1        1        0        0
47925 nautilus-scripts-manager           	       0        3        3        0        0
47926 nautilus-sendto                    	       0       31       31        0        0
47927 nautilus-share                     	       0        6        0        0        6
47928 nauty                              	       0       11       11        0        0
47929 navit                              	       0       11        9        2        0
47930 navit-data                         	       0       11        0        0       11
47931 navit-graphics-gtk-drawing-area    	       0       11        9        2        0
47932 navit-graphics-qt-qpainter         	       0        1        1        0        0
47933 navit-gui-gtk                      	       0        9        7        2        0
47934 navit-gui-internal                 	       0        7        7        0        0
47935 nbc                                	       0        2        2        0        0
47936 nbd-client                         	       0       11       11        0        0
47937 nbd-server                         	       0       13       13        0        0
47938 nbdfuse                            	       0        1        1        0        0
47939 nbdkit                             	       0        5        5        0        0
47940 nbdkit-plugin-guestfs              	       0        1        0        0        1
47941 nbdkit-plugin-libvirt              	       0        1        0        0        1
47942 nbibtex                            	       0        1        1        0        0
47943 nbibtex-doc                        	       0        1        0        0        1
47944 nbteditor                          	       0        1        1        0        0
47945 ncbi-blast+                        	       0        9        9        0        0
47946 ncbi-blast+-legacy                 	       0        6        6        0        0
47947 ncbi-cn3d                          	       0        1        1        0        0
47948 ncbi-data                          	       0       10       10        0        0
47949 ncbi-entrez-direct                 	       0        1        1        0        0
47950 ncbi-epcr                          	       0        1        1        0        0
47951 ncbi-seg                           	       0        1        1        0        0
47952 ncbi-tools-bin                     	       0        2        2        0        0
47953 ncbi-tools-x11                     	       0        1        1        0        0
47954 ncbi-vdb-data                      	       0        6        5        1        0
47955 ncc                                	       0        1        1        0        0
47956 ncdc                               	       0        1        1        0        0
47957 ncf                                	       0        1        1        0        0
47958 ncmpc-lyrics                       	       0        3        0        0        3
47959 nco                                	       0        4        4        0        0
47960 ncoils                             	       0        3        3        0        0
47961 ncpa                               	       0        1        1        0        0
47962 nct6687d-dkms                      	       0        1        0        0        1
47963 ncurses-build-deps                 	       0        1        0        0        1
47964 ncurses-doc                        	       0       53        0        0       53
47965 ncurses-examples                   	       0       15        6        0        9
47966 ncurses-term                       	       0     4037        0        0     4037
47967 ncview                             	       0        6        6        0        0
47968 ndctl                              	       0        1        1        0        0
47969 ndiswrapper                        	       0        3        3        0        0
47970 ndiswrapper-dkms                   	       0        3        3        0        0
47971 ndiswrapper-utils-1.9              	       0        2        0        0        2
47972 ndppd                              	       0        4        4        0        0
47973 ne                                 	       0       12       12        0        0
47974 ne-doc                             	       0       11        0        0       11
47975 neard                              	       0        1        1        0        0
47976 neat                               	       0        2        2        0        0
47977 nebula                             	       0        2        2        0        0
47978 nec2c                              	       0        6        6        0        0
47979 needrestart-axis                   	       0        1        0        0        1
47980 neko                               	       0        4        4        0        0
47981 nekobee                            	       0        4        4        0        0
47982 nekoray                            	       0        4        4        0        0
47983 nemiver                            	       0        7        7        0        0
47984 nemo-data                          	       0      295        0        0      295
47985 nemo-extension-fma                 	       0        1        0        0        1
47986 nemo-font-manager                  	       0        4        0        0        4
47987 nemo-gtkhash                       	       0        4        0        0        4
47988 nemo-nextcloud                     	       0        2        0        0        2
47989 nemo-python                        	       0        9        2        0        7
47990 neo4j                              	       0        2        2        0        0
47991 neochat                            	       0        6        6        0        0
47992 neopi                              	       0        1        1        0        0
47993 neovim-runtime                     	       0      129        2        0      127
47994 neowofetch                         	       0        5        5        0        0
47995 nepomuk-core-data                  	       0       14        0        0       14
47996 nerd-fonts-jetbrains-mono          	       0        1        0        0        1
47997 nescc                              	       0        2        2        0        0
47998 nessus                             	       0        1        1        0        0
47999 nessusagent                        	       0       10       10        0        0
48000 net-acct                           	       0        1        1        0        0
48001 net-diag-tools                     	       0        1        1        0        0
48002 net-tools-dbgsym                   	       0        1        1        0        0
48003 net.downloadhelper.coapp.noffmpeg  	       0        1        0        0        1
48004 netaid-monitor                     	       0        1        1        0        0
48005 netapp-oncommand-sysmgr            	       0        1        0        0        1
48006 netapplet                          	       0        1        1        0        0
48007 netback                            	       0        1        1        0        0
48008 netbase                            	       0     4161        0        0     4161
48009 netcat                             	       0       82        0        0       82
48010 netcdf-bin                         	       0       12       11        1        0
48011 netcdf-doc                         	       0        8        0        0        8
48012 netcf                              	       0        1        1        0        0
48013 netdata                            	       0        4        0        0        4
48014 netdata-plugins-python             	       0        4        4        0        0
48015 netdata-web                        	       0        4        0        0        4
48016 netgen                             	       0        5        5        0        0
48017 netgen-headers                     	       0        1        1        0        0
48018 netgen-lvs                         	       0        5        5        0        0
48019 nethack-lisp                       	       0        1        1        0        0
48020 nethack-qt                         	       0        4        4        0        0
48021 nethack-spoilers                   	       0        7        0        0        7
48022 nethack-x11                        	       0       16       16        0        0
48023 nether                             	       0        1        1        0        0
48024 nethogs                            	       0      103      103        0        0
48025 netkit-ping                        	       0        1        0        0        1
48026 netlogger                          	       0        1        1        0        0
48027 netmate                            	       0        2        2        0        0
48028 netmaze                            	       0        1        1        0        0
48029 netpanzer                          	       0        3        3        0        0
48030 netpanzer-data                     	       0        3        0        0        3
48031 netperfmeter                       	       0        2        2        0        0
48032 netperfmeter-plotting              	       0        2        2        0        0
48033 netpipe-openmpi                    	       0        1        1        0        0
48034 netpipe-tcp                        	       0        1        1        0        0
48035 netplug                            	       0        4        4        0        0
48036 netproc                            	       0        1        1        0        0
48037 netrek-client-cow                  	       0        2        2        0        0
48038 netscript-ipfilter                 	       0        2        2        0        0
48039 netselect                          	       0       35       33        2        0
48040 netselect-apt                      	       0       25       23        2        0
48041 netspeed                           	       0        1        0        0        1
48042 netstandard-targeting-pack-2.1     	       0       27        0        0       27
48043 netstat-nat                        	       0       19       19        0        0
48044 netstress                          	       0        3        3        0        0
48045 netsurf                            	       0        3        0        0        3
48046 netsurf-common                     	       0       55        0        0       55
48047 netsurf-fb                         	       0        9        9        0        0
48048 nettle-bin                         	       0        7        7        0        0
48049 netwag-doc                         	       0        2        0        0        2
48050 network-manager-dbgsym             	       0       22       20        2        0
48051 network-manager-dev                	       0       33        1        0       32
48052 network-manager-iodine-gnome       	       0       21       19        2        0
48053 network-manager-l2tp-gnome         	       0       31       27        2        2
48054 network-manager-openconnect-gnome  	       0       40       30        3        7
48055 network-manager-pptp-gnome         	       0       35       27        3        5
48056 network-manager-ssh-gnome          	       0       38       32        2        4
48057 network-manager-sstp-gnome         	       0       21       19        2        0
48058 network-manager-tde                	       0        6        6        0        0
48059 network-manager-vpnc-gnome         	       0       43       36        3        4
48060 networkd-dispatcher                	       0        2        2        0        0
48061 netwox-doc                         	       0        7        0        0        7
48062 neurodebian                        	       0        1        1        0        0
48063 neurodebian-archive-keyring        	       0       10        0        0       10
48064 neurodebian-popularity-contest     	       0        2        0        0        2
48065 neuron                             	       0        1        1        0        0
48066 neutron-common                     	       0        1        1        0        0
48067 neutron-dhcp-agent                 	       0        1        1        0        0
48068 neutron-l3-agent                   	       0        1        1        0        0
48069 neutron-metadata-agent             	       0        1        1        0        0
48070 neutron-openvswitch-agent          	       0        1        1        0        0
48071 neutron-plugin-openvswitch-agent   	       0        1        0        0        1
48072 neutron-server                     	       0        1        1        0        0
48073 neutron-vpnaas-common              	       0        1        0        0        1
48074 neverball-common                   	       0       29        0        0       29
48075 neverball-data                     	       0       27        0        0       27
48076 neverputt-data                     	       0       17        0        0       17
48077 new-session-manager                	       0        2        2        0        0
48078 newbiedoc                          	       0        2        2        0        0
48079 newlib-source                      	       0        1        0        0        1
48080 newlisp                            	       0        9        9        0        0
48081 newmoon                            	       0        1        1        0        0
48082 newrelic-daemon                    	       0        1        1        0        0
48083 newrelic-php5                      	       0        1        1        0        0
48084 newrelic-php5-common               	       0        1        1        0        0
48085 newrelic-sysmond                   	       0        1        1        0        0
48086 newsbeuter                         	       0        7        7        0        0
48087 newsx                              	       0        1        1        0        0
48088 nextcloud-client                   	       0        1        0        0        1
48089 nextcloud-desktop-common           	       0       96        0        0       96
48090 nextcloud-desktop-doc              	       0       84        0        0       84
48091 nextcloud-files                    	       0        1        1        0        0
48092 nextcloud-server                   	       0        1        1        0        0
48093 nextpnr-ecp5                       	       0        1        1        0        0
48094 nextpnr-ecp5-chipdb                	       0        1        0        0        1
48095 nextpnr-gowin                      	       0        1        1        0        0
48096 nextpnr-gowin-chipdb               	       0        2        0        0        2
48097 nextpnr-gowin-qt                   	       0        1        1        0        0
48098 nextpnr-ice40-chipdb               	       0        1        0        0        1
48099 nextpnr-ice40-qt                   	       0        1        1        0        0
48100 nexuiz-data                        	       0       15        0        0       15
48101 nexuiz-music                       	       0       15        0        0       15
48102 nexuiz-textures                    	       0       15        0        0       15
48103 nfdump                             	       0        1        1        0        0
48104 nfstrace-doc                       	       0       12        0        0       12
48105 nftlb                              	       0        1        1        0        0
48106 ng-common                          	       0        1        1        0        0
48107 ng-latin                           	       0        1        1        0        0
48108 ng-utils                           	       0        8        8        0        0
48109 ngetty                             	       0        3        3        0        0
48110 nghttp2-client                     	       0        1        1        0        0
48111 nginx-confgen                      	       0        3        3        0        0
48112 nginx-doc                          	       0        7        0        0        7
48113 ngraph-gtk                         	       0        3        3        0        0
48114 ngraph-gtk-addin-import-ps         	       0        1        0        0        1
48115 ngraph-gtk-addin-tex-equation      	       0        1        0        0        1
48116 ngraph-gtk-addins                  	       0        3        0        0        3
48117 ngraph-gtk-addins-base             	       0        3        0        0        3
48118 ngraph-gtk-doc                     	       0        3        0        0        3
48119 ngrok                              	       0        1        1        0        0
48120 ngspice                            	       0       41       41        0        0
48121 ngspice-dev                        	       0        8        0        0        8
48122 ngspice-doc                        	       0       14        0        0       14
48123 nhos-flash-tool                    	       0        1        0        0        1
48124 ni-avahi-client                    	       0        1        0        0        1
48125 ni-ceip                            	       0        1        0        0        1
48126 ni-euladepot                       	       0        1        0        0        1
48127 ni-help-launcher                   	       0        1        0        0        1
48128 ni-labview-2023-core               	       0        1        1        0        0
48129 ni-labview-2023-help               	       0        1        0        0        1
48130 ni-labview-2023-jammy-community    	       0        1        0        0        1
48131 ni-networkdiscoverysvc             	       0        1        0        0        1
48132 ni-python-interface                	       0        1        1        0        0
48133 ni-service-locator                 	       0        1        1        0        0
48134 ni-software-action-services        	       0        1        0        0        1
48135 ni-ssl-bin                         	       0        1        0        0        1
48136 ni-sysapi                          	       0        1        1        0        0
48137 ni-syscfg-runtime                  	       0        1        0        0        1
48138 ni-targetcfg                       	       0        1        0        0        1
48139 ni-tdms-bin                        	       0        1        0        0        1
48140 ni-wine                            	       0        1        0        0        1
48141 ni-wine-dotnet-runtime-60          	       0        1        0        0        1
48142 ni-wine-platform-support           	       0        1        0        0        1
48143 niceshaper                         	       0        1        1        0        0
48144 nickle                             	       0        7        7        0        0
48145 nicotine                           	       0       13       13        0        0
48146 nictools-nopci                     	       0        1        1        0        0
48147 nicurli                            	       0        1        0        0        1
48148 nifti-bin                          	       0        3        3        0        0
48149 nifti2dicom-data                   	       0        1        0        0        1
48150 nighthawk                          	       0        1        1        0        0
48151 nijsonmapi                         	       0        1        0        0        1
48152 nik4                               	       0        1        1        0        0
48153 nikto                              	       0        5        5        0        0
48154 nikwi                              	       0        2        2        0        0
48155 nikwi-data                         	       0        2        0        0        2
48156 nim                                	       0        4        4        0        0
48157 nim-doc                            	       0        2        0        0        2
48158 nimbus-beacon-node                 	       0        1        1        0        0
48159 nimbus-validator-client            	       0        1        1        0        0
48160 ninix-aya                          	       0        3        3        0        0
48161 nip2                               	       0        8        8        0        0
48162 nis                                	       0       11        3        0        8
48163 nisslcerts                         	       0        1        0        0        1
48164 nissli                             	       0        1        0        0        1
48165 nitdmsi                            	       0        1        0        0        1
48166 nitrocli                           	       0        2        2        0        0
48167 nitrokey-app                       	       0        7        6        1        0
48168 nitrokey-authenticator             	       0        1        1        0        0
48169 nix-bin                            	       0        3        3        0        0
48170 nix-setup-systemd                  	       0        3        3        0        0
48171 nixieclock                         	       0        1        1        0        0
48172 nixnote2                           	       0        4        4        0        0
48173 njam                               	       0        5        5        0        0
48174 njam-data                          	       0        5        0        0        5
48175 njplot                             	       0        1        1        0        0
48176 njs                                	       0        1        1        0        0
48177 nkf                                	       0       39       37        2        0
48178 nlkt                               	       0        6        6        0        0
48179 nlohmann-json-dev                  	       0        1        1        0        0
48180 nlohmann-json3-dev                 	       0       21        1        0       20
48181 nmap-common                        	       0      895        0        0      895
48182 nmapfe                             	       0        1        1        0        0
48183 nmh                                	       0       15       14        1        0
48184 nmux                               	       0        1        1        0        0
48185 nn                                 	       0        6        5        1        0
48186 nncp                               	       0        2        2        0        0
48187 nnedi3-weights.bin                 	       0        1        0        0        1
48188 nnn                                	       0       48       47        1        0
48189 nntp                               	       0        1        1        0        0
48190 noaa-apt                           	       0        1        1        0        0
48191 noatun-plugins-trinity             	       0       23       23        0        0
48192 noblenote                          	       0        4        4        0        0
48193 node-abab                          	       0        8        0        0        8
48194 node-abbrev                        	       0      219        7        0      212
48195 node-abstract-leveldown            	       0        2        0        0        2
48196 node-accepts                       	       0        4        0        0        4
48197 node-agent-base                    	       0      194        0        0      194
48198 node-ajv-keywords                  	       0      170        0        0      170
48199 node-ampproject-remapping          	       0      181        0        0      181
48200 node-ansi                          	       0       41        7        0       34
48201 node-ansi-align                    	       0       14        7        0        7
48202 node-ansi-color-table              	       0        2        0        0        2
48203 node-ansi-colors                   	       0       30        0        0       30
48204 node-ansi-escapes                  	       0      182        0        0      182
48205 node-ansi-regex                    	       0      219        7        0      212
48206 node-ansi-styles                   	       0      219        7        0      212
48207 node-ansistyles                    	       0       39        7        0       32
48208 node-anymatch                      	       0      186        0        0      186
48209 node-aproba                        	       0      201        7        0      194
48210 node-archy                         	       0      213        7        0      206
48211 node-are-we-there-yet              	       0      201        0        0      201
48212 node-arg                           	       0        2        0        0        2
48213 node-argparse                      	       0      186        0        0      186
48214 node-arr-diff                      	       0        1        0        0        1
48215 node-arr-flatten                   	       0        1        0        0        1
48216 node-arr-union                     	       0        1        0        0        1
48217 node-array-find-index              	       0       26        0        0       26
48218 node-array-flatten                 	       0        4        0        0        4
48219 node-array-from                    	       0        3        0        0        3
48220 node-array-union                   	       0        1        0        0        1
48221 node-array-uniq                    	       0        1        0        0        1
48222 node-arrify                        	       0      185        0        0      185
48223 node-asap                          	       0       56        0        0       56
48224 node-assert                        	       0      181        0        0      181
48225 node-assert-plus                   	       0       70        7        0       63
48226 node-assertion-error               	       0        2        0        0        2
48227 node-async                         	       0      184        1        0      183
48228 node-async-each                    	       0      183        0        0      183
48229 node-asynckit                      	       0       74        7        0       67
48230 node-auto-bind                     	       0      154        0        0      154
48231 node-aws-sign2                     	       0       66        8        0       58
48232 node-aws4                          	       0       66        8        0       58
48233 node-axios                         	       0        2        2        0        0
48234 node-babel-plugin-add-module-exports	       0      181        0        0      181
48235 node-babel-plugin-lodash           	       0      171        0        0      171
48236 node-babel-plugin-polyfill-corejs2 	       0      181        0        0      181
48237 node-babel-plugin-polyfill-corejs3 	       0      181        0        0      181
48238 node-babel-plugin-polyfill-es-shims	       0        1        0        0        1
48239 node-babel-plugin-polyfill-regenerator	       0      181        0        0      181
48240 node-babel7-debug                  	       0        1        1        0        0
48241 node-babel7-runtime                	       0      183        0        0      183
48242 node-balanced-match                	       0      237        8        0      229
48243 node-base                          	       0      153        0        0      153
48244 node-base64-js                     	       0      163        0        0      163
48245 node-bcrypt-pbkdf                  	       0       67        7        0       60
48246 node-beeper                        	       0        1        0        0        1
48247 node-big.js                        	       0       27        1        0       26
48248 node-bignumber                     	       0        4        1        0        3
48249 node-binary-extensions             	       0      183        0        0      183
48250 node-bindings                      	       0        5        0        0        5
48251 node-bl                            	       0       29        0        0       29
48252 node-block-stream                  	       0        3        0        0        3
48253 node-bluebird                      	       0       13        7        0        6
48254 node-blueimp-md5                   	       0        3        0        0        3
48255 node-body-parser                   	       0        3        3        0        0
48256 node-boolbase                      	       0        1        0        0        1
48257 node-bootstrap-sass                	       0       37        0        0       37
48258 node-boxen                         	       0       13        7        0        6
48259 node-brace-expansion               	       0      237        8        0      229
48260 node-braces                        	       0      186        0        0      186
48261 node-browser-stdout                	       0       30        0        0       30
48262 node-buffer-crc32                  	       0        2        0        0        2
48263 node-builtin-modules               	       0       41        7        0       34
48264 node-builtins                      	       0      195        7        0      188
48265 node-bytes                         	       0       30        0        0       30
48266 node-cache-base                    	       0      153        0        0      153
48267 node-call-limit                    	       0       13        7        0        6
48268 node-camelcase                     	       0      193        7        0      186
48269 node-caniuse-lite                  	       0      183        0        0      183
48270 node-carto                         	       0        1        1        0        0
48271 node-caseless                      	       0       66        8        0       58
48272 node-chalk                         	       0      215        7        0      208
48273 node-change-case                   	       0        1        0        0        1
48274 node-check-error                   	       0        2        0        0        2
48275 node-cheerio                       	       0        1        1        0        0
48276 node-chokidar                      	       0      183        0        0      183
48277 node-chownr                        	       0      214        7        0      207
48278 node-chroma-js                     	       0        1        0        0        1
48279 node-chrome-trace-event            	       0      170        0        0      170
48280 node-ci-info                       	       0      183        0        0      183
48281 node-cjs-module-lexer              	       0      293        0        0      293
48282 node-clarinet                      	       0        2        0        0        2
48283 node-clean-css                     	       0        8        8        0        0
48284 node-clean-yaml-object             	       0        2        0        0        2
48285 node-cli-boxes                     	       0      163        7        0      156
48286 node-cli-cursor                    	       0      171        0        0      171
48287 node-cli-spinners                  	       0       27        0        0       27
48288 node-cli-table                     	       0      180        0        0      180
48289 node-cli-truncate                  	       0      154        0        0      154
48290 node-cli-width                     	       0       29        0        0       29
48291 node-clipanion                     	       0        1        1        0        0
48292 node-clipboard                     	       0     1962        0        0     1962
48293 node-cliui                         	       0      191        7        0      184
48294 node-clone                         	       0      219        7        0      212
48295 node-clone-buffer                  	       0        1        0        0        1
48296 node-clone-deep                    	       0      181        0        0      181
48297 node-clone-stats                   	       0        1        0        0        1
48298 node-cloneable-readable            	       0        1        0        0        1
48299 node-co                            	       0       18        8        0       10
48300 node-collection-visit              	       0      153        0        0      153
48301 node-color                         	       0        1        0        0        1
48302 node-color-convert                 	       0      219        7        0      212
48303 node-color-name                    	       0      219        7        0      212
48304 node-color-string                  	       0        1        0        0        1
48305 node-colorspace                    	       0        1        0        0        1
48306 node-columnify                     	       0      187        0        0      187
48307 node-combined-stream               	       0       74        7        0       67
48308 node-commander                     	       0      193        1        0      192
48309 node-commondir                     	       0      181        0        0      181
48310 node-component-emitter             	       0        1        0        0        1
48311 node-concat-map                    	       0       39        8        0       31
48312 node-concat-stream                 	       0      180        7        0      173
48313 node-concat-with-sourcemaps        	       0        1        0        0        1
48314 node-concordance                   	       0        3        3        0        0
48315 node-config-chain                  	       0       17        7        0       10
48316 node-configurable-http-proxy       	       0        1        1        0        0
48317 node-console-control-strings       	       0      201        0        0      201
48318 node-content-disposition           	       0        4        0        0        4
48319 node-content-type                  	       0        4        0        0        4
48320 node-convert-source-map            	       0      182        0        0      182
48321 node-cookie                        	       0        4        0        0        4
48322 node-cookie-jar                    	       0        4        1        0        3
48323 node-cookie-signature              	       0        4        0        0        4
48324 node-cookies                       	       0        2        0        0        2
48325 node-copy-concurrently             	       0      194        7        0      187
48326 node-core-js                       	       0      182        0        0      182
48327 node-core-js-compat                	       0      181        0        0      181
48328 node-core-js-pure                  	       0      181        0        0      181
48329 node-core-util-is                  	       0      220        0        0      220
48330 node-cosmiconfig                   	       0        3        0        0        3
48331 node-crc                           	       0        2        1        0        1
48332 node-create-require                	       0        2        0        0        2
48333 node-cross-spawn                   	       0       12       12        0        0
48334 node-cross-spawn-async             	       0        1        0        0        1
48335 node-css                           	       0        4        4        0        0
48336 node-css-loader                    	       0      163        0        0      163
48337 node-css-select                    	       0        1        1        0        0
48338 node-css-what                      	       0        1        1        0        0
48339 node-cssom                         	       0        8        0        0        8
48340 node-cssstyle                      	       0        8        8        0        0
48341 node-csstype                       	       0        1        0        0        1
48342 node-currently-unhandled           	       0       26        0        0       26
48343 node-cycle                         	       0        1        0        0        1
48344 node-cyclist                       	       0       13        7        0        6
48345 node-d                             	       0        3        0        0        3
48346 node-d3                            	       0        3        0        0        3
48347 node-d3-array                      	       0        3        0        0        3
48348 node-d3-axis                       	       0        3        0        0        3
48349 node-d3-brush                      	       0        3        0        0        3
48350 node-d3-chord                      	       0        3        0        0        3
48351 node-d3-collection                 	       0        3        0        0        3
48352 node-d3-color                      	       0        3        0        0        3
48353 node-d3-contour                    	       0        3        0        0        3
48354 node-d3-dispatch                   	       0        3        0        0        3
48355 node-d3-drag                       	       0        3        0        0        3
48356 node-d3-dsv                        	       0        4        0        0        4
48357 node-d3-ease                       	       0        3        0        0        3
48358 node-d3-fetch                      	       0        3        0        0        3
48359 node-d3-force                      	       0        3        0        0        3
48360 node-d3-format                     	       0        3        0        0        3
48361 node-d3-geo                        	       0        3        0        0        3
48362 node-d3-hierarchy                  	       0        3        0        0        3
48363 node-d3-interpolate                	       0        3        0        0        3
48364 node-d3-path                       	       0        3        0        0        3
48365 node-d3-polygon                    	       0        3        0        0        3
48366 node-d3-quadtree                   	       0        3        0        0        3
48367 node-d3-queue                      	       0        8        0        0        8
48368 node-d3-random                     	       0        3        0        0        3
48369 node-d3-scale                      	       0        3        0        0        3
48370 node-d3-scale-chromatic            	       0        3        0        0        3
48371 node-d3-selection                  	       0        3        0        0        3
48372 node-d3-shape                      	       0        3        0        0        3
48373 node-d3-time                       	       0        3        0        0        3
48374 node-d3-time-format                	       0        3        0        0        3
48375 node-d3-timer                      	       0        3        0        0        3
48376 node-d3-transition                 	       0        3        0        0        3
48377 node-d3-voronoi                    	       0        3        0        0        3
48378 node-d3-zoom                       	       0        3        0        0        3
48379 node-dabh-diagnostics              	       0        1        0        0        1
48380 node-daemon                        	       0        1        0        0        1
48381 node-dagre-d3-renderer             	       0        1        1        0        0
48382 node-dagre-layout                  	       0        1        1        0        0
48383 node-dashdash                      	       0       67        7        0       60
48384 node-data-uri-to-buffer            	       0      167        0        0      167
48385 node-date-time                     	       0        3        0        0        3
48386 node-dateformat                    	       0        3        0        0        3
48387 node-death                         	       0       26        0        0       26
48388 node-debbundle-acorn               	       0        5        3        0        2
48389 node-debbundle-es-to-primitive     	       0      182        0        0      182
48390 node-debug                         	       0      212        0        0      212
48391 node-debug-fabulous                	       0        1        0        0        1
48392 node-decamelize                    	       0      191        7        0      184
48393 node-decompress-response           	       0      173        7        0      166
48394 node-deep-eql                      	       0        2        0        0        2
48395 node-deep-equal                    	       0      183        0        0      183
48396 node-deep-extend                   	       0       14        7        0        7
48397 node-deep-is                       	       0      182        0        0      182
48398 node-deepmerge                     	       0        3        0        0        3
48399 node-defaults                      	       0      219        7        0      212
48400 node-define-properties             	       0      182        0        0      182
48401 node-define-property               	       0      156        0        0      156
48402 node-defined                       	       0      181        0        0      181
48403 node-del                           	       0      181        0        0      181
48404 node-delayed-stream                	       0       74        7        0       67
48405 node-delegates                     	       0      201        7        0      194
48406 node-detect-file                   	       0        3        0        0        3
48407 node-detect-indent                 	       0       39        7        0       32
48408 node-detect-newline                	       0       17        7        0       10
48409 node-diacritics                    	       0        2        0        0        2
48410 node-doctrine                      	       0      171        0        0      171
48411 node-dom-serializer                	       0        1        0        0        1
48412 node-domelementtype                	       0        7        7        0        0
48413 node-domhandler                    	       0        7        7        0        0
48414 node-dompurify                     	       0        5        0        0        5
48415 node-domutils                      	       0        1        1        0        0
48416 node-duplexer                      	       0        2        1        0        1
48417 node-duplexer3                     	       0       14        8        0        6
48418 node-duplexify                     	       0       40        8        0       32
48419 node-ecc-jsbn                      	       0       67        7        0       60
48420 node-editor                        	       0       13        7        0        6
48421 node-electron-to-chromium          	       0      184        0        0      184
48422 node-emittery                      	       0        4        0        0        4
48423 node-emoji                         	       0       27        0        0       27
48424 node-emojis-list                   	       0       27        0        0       27
48425 node-enabled                       	       0        1        0        0        1
48426 node-encodeurl                     	       0        4        0        0        4
48427 node-encoding                      	       0      213        7        0      206
48428 node-end-of-stream                 	       0      175        0        0      175
48429 node-enquirer                      	       0        1        1        0        0
48430 node-entities                      	       0        8        8        0        0
48431 node-envinfo                       	       0       20        0        0       20
48432 node-err-code                      	       0      187        0        0      187
48433 node-errno                         	       0      180        7        0      173
48434 node-error-ex                      	       0      181        0        0      181
48435 node-es-abstract                   	       0      182        0        0      182
48436 node-es-module-lexer               	       0      170        0        0      170
48437 node-es5-ext                       	       0        3        0        0        3
48438 node-es6-error                     	       0      181        0        0      181
48439 node-es6-iterator                  	       0        3        0        0        3
48440 node-es6-symbol                    	       0        3        3        0        0
48441 node-escape-html                   	       0        4        2        0        2
48442 node-escape-string-regexp          	       0      215        0        0      215
48443 node-eslint-scope                  	       0      171        0        0      171
48444 node-eslint-utils                  	       0      171        0        0      171
48445 node-eslint-visitor-keys           	       0      171        0        0      171
48446 node-espree                        	       0      171        0        0      171
48447 node-esprima-fb                    	       0        1        1        0        0
48448 node-esquery                       	       0      171        0        0      171
48449 node-esrecurse                     	       0      171        0        0      171
48450 node-estraverse                    	       0      182        0        0      182
48451 node-estree-walker                 	       0        1        0        0        1
48452 node-esutils                       	       0      182        0        0      182
48453 node-etag                          	       0        4        0        0        4
48454 node-event-emitter                 	       0        3        0        0        3
48455 node-eventemitter2                 	       0        3        0        0        3
48456 node-eventemitter3                 	       0        1        0        0        1
48457 node-events                        	       0      174        0        0      174
48458 node-execa                         	       0       37       16        0       21
48459 node-exit                          	       0        6        0        0        6
48460 node-exit-hook                     	       0        1        0        0        1
48461 node-expand-tilde                  	       0        3        0        0        3
48462 node-express                       	       0        3        3        0        0
48463 node-express-generator             	       0        1        1        0        0
48464 node-extend                        	       0       69       32        0       37
48465 node-extend-shallow                	       0        2        0        0        2
48466 node-external-editor               	       0       29        0        0       29
48467 node-extsprintf                    	       0       71        8        0       63
48468 node-fancy-log                     	       0      171        0        0      171
48469 node-fast-deep-equal               	       0      207        0        0      207
48470 node-fast-levenshtein              	       0      184        0        0      184
48471 node-fast-safe-stringify           	       0        1        0        0        1
48472 node-fd-slicer                     	       0        2        1        0        1
48473 node-fecha                         	       0        1        0        0        1
48474 node-fetch                         	       0      168        0        0      168
48475 node-file-entry-cache              	       0      171        0        0      171
48476 node-filesize                      	       0        1        0        0        1
48477 node-fill-range                    	       0      186        0        0      186
48478 node-finalhandler                  	       0        4        0        0        4
48479 node-find-cache-dir                	       0      181        0        0      181
48480 node-find-up                       	       0      191        7        0      184
48481 node-findit2                       	       0        2        0        0        2
48482 node-findup-sync                   	       0        3        0        0        3
48483 node-fined                         	       0        3        0        0        3
48484 node-flagged-respawn               	       0        3        0        0        3
48485 node-flat-cache                    	       0      171        0        0      171
48486 node-flatted                       	       0      171        0        0      171
48487 node-flush-write-stream            	       0       14        0        0       14
48488 node-fn.name                       	       0        1        0        0        1
48489 node-follow-redirects              	       0        3        0        0        3
48490 node-for-in                        	       0      182        0        0      182
48491 node-for-own                       	       0      182        0        0      182
48492 node-foreground-child              	       0      181        0        0      181
48493 node-forever-agent                 	       0       66        7        0       59
48494 node-form-data                     	       0       74        7        0       67
48495 node-formidable                    	       0        1        0        0        1
48496 node-fortawesome-fontawesome-free  	       0        2        0        0        2
48497 node-fresh                         	       0        4        0        0        4
48498 node-from2                         	       0       13        9        0        4
48499 node-fs-exists-sync                	       0        3        0        0        3
48500 node-fs-extra                      	       0        2        2        0        0
48501 node-fs-readdir-recursive          	       0      181        0        0      181
48502 node-fs-vacuum                     	       0       12        7        0        5
48503 node-fs-write-stream-atomic        	       0      194        0        0      194
48504 node-fs.realpath                   	       0      225       34        0      191
48505 node-fstream                       	       0        2        1        0        1
48506 node-fstream-ignore                	       0        2        0        0        2
48507 node-function-bind                 	       0      209        0        0      209
48508 node-functional-red-black-tree     	       0      171        0        0      171
48509 node-gauge                         	       0      201       32        0      169
48510 node-get-caller-file               	       0      191        0        0      191
48511 node-get-func-name                 	       0        2        0        0        2
48512 node-get-stream                    	       0      174        7        0      167
48513 node-get-value                     	       0      154        1        0      153
48514 node-getobject                     	       0        3        0        0        3
48515 node-getpass                       	       0       67       33        0       34
48516 node-github-url-from-git           	       0        3        0        0        3
48517 node-glob                          	       0      225        8        0      217
48518 node-glob-parent                   	       0      184        0        0      184
48519 node-global-modules                	       0        4        0        0        4
48520 node-global-prefix                 	       0        4        0        0        4
48521 node-globals                       	       0      182        0        0      182
48522 node-globby                        	       0      181        0        0      181
48523 node-glogg                         	       0        1        0        0        1
48524 node-googlediff                    	       0        1        1        0        0
48525 node-got                           	       0      173        7        0      166
48526 node-graceful-fs                   	       0      221        7        0      214
48527 node-graphlibrary                  	       0        2        2        0        0
48528 node-growl                         	       0      171        0        0      171
48529 node-grunt-cli                     	       0        3        3        0        0
48530 node-grunt-known-options           	       0        3        0        0        3
48531 node-grunt-legacy-log              	       0        3        0        0        3
48532 node-grunt-legacy-log-utils        	       0        3        0        0        3
48533 node-grunt-legacy-util             	       0        3        0        0        3
48534 node-gulp-plumber                  	       0        1        0        0        1
48535 node-gulp-sourcemaps               	       0        1        0        0        1
48536 node-gulp-tsb                      	       0        1        1        0        0
48537 node-gulp-util                     	       0        1        0        0        1
48538 node-gulplog                       	       0        1        0        0        1
48539 node-har-schema                    	       0       66        8        0       58
48540 node-har-validator                 	       0       66        8        0       58
48541 node-has-ansi                      	       0        1        0        0        1
48542 node-has-flag                      	       0      215        7        0      208
48543 node-has-gulplog                   	       0        1        0        0        1
48544 node-has-symbol-support-x          	       0       14        0        0       14
48545 node-has-to-string-tag-x           	       0       13        0        0       13
48546 node-has-unicode                   	       0      201       31        0      170
48547 node-has-value                     	       0      153        0        0      153
48548 node-has-values                    	       0      153        0        0      153
48549 node-has-yarn                      	       0        1        0        0        1
48550 node-highlight.js                  	       0       48       46        2        0
48551 node-hooker                        	       0        3        0        0        3
48552 node-hosted-git-info               	       0      214        0        0      214
48553 node-hsluv                         	       0        1        0        0        1
48554 node-html5shiv                     	       0      128        2        0      126
48555 node-htmlparser2                   	       0        1        1        0        0
48556 node-http-errors                   	       0        4        0        0        4
48557 node-http-proxy                    	       0        1        1        0        0
48558 node-http-proxy-agent              	       0       20        0        0       20
48559 node-http-signature                	       0       66        7        0       59
48560 node-https-proxy-agent             	       0      193        0        0      193
48561 node-i18next                       	       0        1        0        0        1
48562 node-iconv                         	       0        2        0        0        2
48563 node-iconv-lite                    	       0      219        7        0      212
48564 node-icss-utils                    	       0      163        0        0      163
48565 node-ieee754                       	       0      163        0        0      163
48566 node-iferr                         	       0      194       31        0      163
48567 node-ignore                        	       0      182        0        0      182
48568 node-ignore-by-default             	       0        1        0        0        1
48569 node-immediate                     	       0        6        0        0        6
48570 node-import-lazy                   	       0       13        8        0        5
48571 node-imports-loader                	       0       26        0        0       26
48572 node-imurmurhash                   	       0      213       31        0      182
48573 node-indent-string                 	       0      205        0        0      205
48574 node-inflight                      	       0      225       34        0      191
48575 node-inherits                      	       0      227        9        0      218
48576 node-ini                           	       0      213        8        0      205
48577 node-inquirer                      	       0       29       28        1        0
48578 node-interpret                     	       0      171        0        0      171
48579 node-invariant                     	       0       26        0        0       26
48580 node-invert-kv                     	       0       14        7        0        7
48581 node-ip                            	       0      187        0        0      187
48582 node-ip-regex                      	       0      188        0        0      188
48583 node-ipaddr.js                     	       0        4        0        0        4
48584 node-irregular-plurals             	       0        1        0        0        1
48585 node-is-arrayish                   	       0      181        0        0      181
48586 node-is-binary-path                	       0      183        0        0      183
48587 node-is-buffer                     	       0      190        0        0      190
48588 node-is-builtin-module             	       0       39        0        0       39
48589 node-is-descriptor                 	       0      155        0        0      155
48590 node-is-extendable                 	       0      183        1        0      182
48591 node-is-extglob                    	       0      185        0        0      185
48592 node-is-generator-fn               	       0        3        0        0        3
48593 node-is-glob                       	       0      185        0        0      185
48594 node-is-npm                        	       0       13        8        0        5
48595 node-is-number                     	       0      186        1        0      185
48596 node-is-object                     	       0       17        8        0        9
48597 node-is-path-cwd                   	       0      181        0        0      181
48598 node-is-path-inside                	       0      181        0        0      181
48599 node-is-plain-obj                  	       0      193        0        0      193
48600 node-is-plain-object               	       0      184        0        0      184
48601 node-is-primitive                  	       0      153        0        0      153
48602 node-is-promise                    	       0        4        0        0        4
48603 node-is-retry-allowed              	       0       13        0        0       13
48604 node-is-stream                     	       0      190        7        0      183
48605 node-is-typedarray                 	       0      214        8        0      206
48606 node-is-windows                    	       0      181        0        0      181
48607 node-isarray                       	       0      219        7        0      212
48608 node-isexe                         	       0      218        8        0      210
48609 node-isobject                      	       0      184        0        0      184
48610 node-isstream                      	       0       66       32        0       34
48611 node-istextorbinary                	       0        1        0        0        1
48612 node-isurl                         	       0       13        7        0        6
48613 node-jed                           	       0       53        0        0       53
48614 node-jest-debbundle                	       0      171        0        0      171
48615 node-jest-worker                   	       0      170        0        0      170
48616 node-jju                           	       0        4        0        0        4
48617 node-jquery                        	       0      306        2        0      304
48618 node-jquery-ui                     	       0        8        0        0        8
48619 node-js-beautify                   	       0        4        4        0        0
48620 node-js-cookie                     	       0        6        0        0        6
48621 node-js-sdsl                       	       0        2        0        0        2
48622 node-js-tokens                     	       0      184        0        0      184
48623 node-jsbn                          	       0       70       31        0       39
48624 node-jschardet                     	       0       34       33        1        0
48625 node-jsdom                         	       0        8        8        0        0
48626 node-json-buffer                   	       0      167        0        0      167
48627 node-json-loader                   	       0        4        0        0        4
48628 node-json-localizer                	       0        4        0        0        4
48629 node-json-parse-better-errors      	       0      215        0        0      215
48630 node-json-parse-helpfulerror       	       0        4        0        0        4
48631 node-json-schema                   	       0      215        8        0      207
48632 node-json-schema-traverse          	       0      207        0        0      207
48633 node-json-stable-stringify         	       0      215        0        0      215
48634 node-json-stringify-safe           	       0       69        0        0       69
48635 node-json2module                   	       0        4        4        0        0
48636 node-jsonfile                      	       0        6        0        0        6
48637 node-jsonify                       	       0      215       32        0      183
48638 node-jsonminify                    	       0        4        0        0        4
48639 node-jsonparse                     	       0      214        7        0      207
48640 node-jsonselect                    	       0        4        0        0        4
48641 node-jsprim                        	       0       69        7        0       62
48642 node-jstimezonedetect              	       0        4        0        0        4
48643 node-jszip                         	       0        4        4        0        0
48644 node-jszip-utils                   	       0        4        0        0        4
48645 node-keese                         	       0        2        0        0        2
48646 node-keygrip                       	       0        2        0        0        2
48647 node-kind-of                       	       0      190        0        0      190
48648 node-klaw                          	       0        2        0        0        2
48649 node-kuler                         	       0        1        0        0        1
48650 node-lastfm                        	       0        2        2        0        0
48651 node-latest-version                	       0       13        7        0        6
48652 node-lazy-cache                    	       0        1        0        0        1
48653 node-lazy-property                 	       0       13        8        0        5
48654 node-lcid                          	       0       14        7        0        7
48655 node-less                          	       0       18       17        1        0
48656 node-leven                         	       0       33        0        0       33
48657 node-levn                          	       0      182        0        0      182
48658 node-libnpx                        	       0       12       11        0        1
48659 node-libpq                         	       0        1        0        0        1
48660 node-lie                           	       0        4        0        0        4
48661 node-liftoff                       	       0        3        0        0        3
48662 node-load-json-file                	       0        2        0        0        2
48663 node-loader-runner                 	       0      170        0        0      170
48664 node-loader-utils                  	       0       26       24        1        1
48665 node-locate-path                   	       0      191        7        0      184
48666 node-lockfile                      	       0       41        7        0       34
48667 node-lodash-packages               	       0      186        0        0      186
48668 node-log-driver                    	       0      153        0        0      153
48669 node-log4js                        	       0        1        1        0        0
48670 node-logform                       	       0        1        0        0        1
48671 node-loud-rejection                	       0       26        0        0       26
48672 node-lowercase-keys                	       0      173        7        0      166
48673 node-lru-cache                     	       0      220        7        0      213
48674 node-lynx                          	       0        1        0        0        1
48675 node-make-dir                      	       0      182        0        0      182
48676 node-make-error                    	       0        2        0        0        2
48677 node-map-cache                     	       0        4        0        0        4
48678 node-map-visit                     	       0      153        0        0      153
48679 node-match-at                      	       0        1        1        0        0
48680 node-matcher                       	       0        1        0        0        1
48681 node-md5-hex                       	       0        3        0        0        3
48682 node-md5-o-matic                   	       0        3        0        0        3
48683 node-media-typer                   	       0        4        0        0        4
48684 node-mem                           	       0       15        9        0        6
48685 node-memory-fs                     	       0      170        0        0      170
48686 node-merge-descriptors             	       0        7        2        0        5
48687 node-merge-stream                  	       0      172        0        0      172
48688 node-mermaid                       	       0        2        0        0        2
48689 node-mersenne                      	       0        1        0        0        1
48690 node-mess                          	       0        2        1        0        1
48691 node-methods                       	       0        4        0        0        4
48692 node-micromatch                    	       0      184        0        0      184
48693 node-mime-types                    	       0      214        7        0      207
48694 node-mimic-fn                      	       0       38        7        0       31
48695 node-mimic-response                	       0      174        7        0      167
48696 node-minimatch                     	       0      237        8        0      229
48697 node-minimist                      	       0      193        7        0      186
48698 node-minipass                      	       0      184        0        0      184
48699 node-mississippi                   	       0       13        9        0        4
48700 node-mixin-deep                    	       0      153        0        0      153
48701 node-mj-context-menu               	       0        1        0        0        1
48702 node-move-concurrently             	       0      194       31        0      163
48703 node-ms                            	       0      212        0        0      212
48704 node-multiparty                    	       0        2        0        0        2
48705 node-music-library-index           	       0        2        0        0        2
48706 node-mute-stream                   	       0      212        7        0      205
48707 node-n3                            	       0      181        0        0      181
48708 node-nan                           	       0        2        2        0        0
48709 node-ncp                           	       0        2        2        0        0
48710 node-negotiator                    	       0      165        0        0      165
48711 node-neo-async                     	       0      181        0        0      181
48712 node-node-uuid                     	       0       13        1        0       12
48713 node-normalize-package-data        	       0      214        0        0      214
48714 node-normalize-path                	       0      187        0        0      187
48715 node-npm-bundled                   	       0      187        0        0      187
48716 node-npm-package-arg               	       0      194        0        0      194
48717 node-npm-run-path                  	       0      191        0        0      191
48718 node-npmlog                        	       0      201        7        0      194
48719 node-nth-check                     	       0        1        0        0        1
48720 node-number-is-nan                 	       0       29        0        0       29
48721 node-oauth-sign                    	       0       66        7        0       59
48722 node-object-assign                 	       0      215        7        0      208
48723 node-object-inspect                	       0      182        0        0      182
48724 node-object-path                   	       0       26        0        0       26
48725 node-object-visit                  	       0      153        0        0      153
48726 node-on-finished                   	       0        4        2        0        2
48727 node-once                          	       0      226       34        0      192
48728 node-one-time                      	       0        1        0        0        1
48729 node-optimist                      	       0      181        0        0      181
48730 node-optionator                    	       0      182        0        0      182
48731 node-os-locale                     	       0       13        7        0        6
48732 node-osenv                         	       0      200       31        0      169
48733 node-p-cancelable                  	       0      174        7        0      167
48734 node-p-finally                     	       0       16        8        0        8
48735 node-p-limit                       	       0      191        7        0      184
48736 node-p-locate                      	       0      191        7        0      184
48737 node-p-map                         	       0      205        0        0      205
48738 node-p-timeout                     	       0       15        8        0        7
48739 node-package-json                  	       0       13        9        0        4
48740 node-pako                          	       0        4        4        0        0
48741 node-parallel-transform            	       0       13        8        0        5
48742 node-parse-filepath                	       0        3        0        0        3
48743 node-parse-json                    	       0      181        0        0      181
48744 node-parse-ms                      	       0        1        0        0        1
48745 node-parse5                        	       0        8        0        0        8
48746 node-parse5-htmlparser2-tree-adapter	       0        1        0        0        1
48747 node-parseurl                      	       0        4        0        0        4
48748 node-pascalcase                    	       0      153        0        0      153
48749 node-path-dirname                  	       0      184        0        0      184
48750 node-path-exists                   	       0      191        7        0      184
48751 node-path-is-absolute              	       0      219        0        0      219
48752 node-path-is-inside                	       0      190        0        0      190
48753 node-path-root                     	       0       29        1        0       28
48754 node-path-root-regex               	       0       29        0        0       29
48755 node-path-to-regexp                	       0        8        0        0        8
48756 node-path-type                     	       0      181        0        0      181
48757 node-pathval                       	       0        2        0        0        2
48758 node-pend                          	       0        2        0        0        2
48759 node-performance-now               	       0       66       32        0       34
48760 node-pg                            	       0        2        2        0        0
48761 node-picocolors                    	       0      183        0        0      183
48762 node-pify                          	       0      181        0        0      181
48763 node-pkg-dir                       	       0      182        0        0      182
48764 node-plugin-error                  	       0        1        0        0        1
48765 node-plur                          	       0        1        0        0        1
48766 node-popper2                       	       0        5        3        2        0
48767 node-postcss-modules-extract-imports	       0      163        0        0      163
48768 node-postcss-modules-values        	       0      163        0        0      163
48769 node-postcss-value-parser          	       0      163        0        0      163
48770 node-pre-gyp                       	       0        3        3        0        0
48771 node-prelude-ls                    	       0      182        0        0      182
48772 node-prepend-http                  	       0       39        7        0       32
48773 node-pretty-ms                     	       0        1        0        0        1
48774 node-prismjs                       	       0     1962        0        0     1962
48775 node-process-nextick-args          	       0      219        0        0      219
48776 node-progress                      	       0      171        0        0      171
48777 node-promise                       	       0        4        0        0        4
48778 node-promise-inflight              	       0      195       31        0      164
48779 node-promise-retry                 	       0      187        0        0      187
48780 node-prompts                       	       0        3        3        0        0
48781 node-promzard                      	       0      194       31        0      163
48782 node-proper-lockfile               	       0       26        0        0       26
48783 node-proto-list                    	       0       18        9        0        9
48784 node-proxy-addr                    	       0        4        0        0        4
48785 node-proxy-from-env                	       0        2        0        0        2
48786 node-prr                           	       0      180        9        0      171
48787 node-pseudomap                     	       0        1        0        0        1
48788 node-psl                           	       0       65        0        0       65
48789 node-puka                          	       0       55        0        0       55
48790 node-pump                          	       0      174        7        0      167
48791 node-pumpify                       	       0       39        7        0       32
48792 node-punycode                      	       0      216        8        0      208
48793 node-qrcode-generator              	       0       11        0        0       11
48794 node-qs                            	       0       69        7        0       62
48795 node-querystringify                	       0        3        0        0        3
48796 node-quick-lru                     	       0      181        0        0      181
48797 node-qw                            	       0       13        9        0        4
48798 node-random-bytes                  	       0        2        1        0        1
48799 node-randombytes                   	       0      181        0        0      181
48800 node-range-parser                  	       0        5        0        0        5
48801 node-raw-body                      	       0        4        0        0        4
48802 node-rc                            	       0       14        7        0        7
48803 node-re2                           	       0       20        0        0       20
48804 node-react                         	       0        4        0        0        4
48805 node-react-dom                     	       0        3        0        0        3
48806 node-react-is                      	       0        4        0        0        4
48807 node-react-reconciler              	       0        1        0        0        1
48808 node-react-shallow-renderer        	       0        3        0        0        3
48809 node-react-test-renderer           	       0        3        0        0        3
48810 node-read                          	       0      212        7        0      205
48811 node-read-package-json             	       0      196        0        0      196
48812 node-read-pkg                      	       0      181        0        0      181
48813 node-read-pkg-up                   	       0        1        0        0        1
48814 node-readdirp                      	       0      183        0        0      183
48815 node-rechoir                       	       0      171        0        0      171
48816 node-regenerate                    	       0      182        0        0      182
48817 node-regenerate-unicode-properties 	       0      182        0        0      182
48818 node-regenerator-runtime           	       0      183        0        0      183
48819 node-regenerator-transform         	       0      181        0        0      181
48820 node-regexpp                       	       0      171        0        0      171
48821 node-regexpu-core                  	       0      181        0        0      181
48822 node-registry-auth-token           	       0       13        0        0       13
48823 node-registry-url                  	       0       13        8        0        5
48824 node-regjsgen                      	       0      181        0        0      181
48825 node-remark-slide                  	       0        1        0        0        1
48826 node-remove-trailing-separator     	       0        1        0        0        1
48827 node-repeat-string                 	       0      186        1        0      185
48828 node-replace-ext                   	       0        1        0        0        1
48829 node-request                       	       0       63        8        0       55
48830 node-request-capture-har           	       0       26        0        0       26
48831 node-require-directory             	       0      191        9        0      182
48832 node-require-from-string           	       0       21        0        0       21
48833 node-require-main-filename         	       0       13        0        0       13
48834 node-requirejs                     	       0        7        6        1        0
48835 node-requires-port                 	       0        4        0        0        4
48836 node-resolve                       	       0      208        0        0      208
48837 node-resolve-cwd                   	       0      171        0        0      171
48838 node-resolve-dir                   	       0        3        0        0        3
48839 node-resolve-from                  	       0      213        8        0      205
48840 node-restore-cursor                	       0      171        0        0      171
48841 node-resumer                       	       0      181        0        0      181
48842 node-retry                         	       0      210        7        0      203
48843 node-rollup-plugin-typescript2     	       0        1        0        0        1
48844 node-rollup-pluginutils            	       0        1        0        0        1
48845 node-run-async                     	       0       29        0        0       29
48846 node-run-queue                     	       0      194        7        0      187
48847 node-rw                            	       0        8        8        0        0
48848 node-rx                            	       0        2        1        0        1
48849 node-safe-buffer                   	       0      223        8        0      215
48850 node-sane                          	       0        3        0        0        3
48851 node-sax                           	       0        2        0        0        2
48852 node-scheduler                     	       0        4        0        0        4
48853 node-schema-utils                  	       0      170        0        0      170
48854 node-sellside-emitter              	       0      153        0        0      153
48855 node-semver-diff                   	       0       13        7        0        6
48856 node-send                          	       0        3        0        0        3
48857 node-serialize-javascript          	       0      181        0        0      181
48858 node-serve-static                  	       0        3        0        0        3
48859 node-set-blocking                  	       0      202       31        0      171
48860 node-set-getter                    	       0        1        1        0        0
48861 node-set-immediate-shim            	       0      183        0        0      183
48862 node-set-value                     	       0      153        0        0      153
48863 node-setimmediate                  	       0        4        0        0        4
48864 node-setprototypeof                	       0        4        0        0        4
48865 node-sha                           	       0       14        7        0        7
48866 node-shebang-command               	       0      189        8        0      181
48867 node-shebang-regex                 	       0      189        6        0      183
48868 node-shell-quote                   	       0      153        0        0      153
48869 node-sigmund                       	       0        6        0        0        6
48870 node-signal-exit                   	       0      218        7        0      211
48871 node-simple-swizzle                	       0        1        0        0        1
48872 node-sinclair-typebox              	       0        3        0        0        3
48873 node-sinon                         	       0        3        3        0        0
48874 node-slash                         	       0      214        7        0      207
48875 node-slice-ansi                    	       0      189        0        0      189
48876 node-slide                         	       0       15        9        0        6
48877 node-snapdragon                    	       0        1        0        0        1
48878 node-snapdragon-node               	       0        1        0        0        1
48879 node-snapdragon-util               	       0        1        0        0        1
48880 node-sort-keys                     	       0       26        1        0       25
48881 node-sorted-object                 	       0       13        9        0        4
48882 node-source-list-map               	       0      170        0        0      170
48883 node-source-map                    	       0      197        3        0      194
48884 node-source-map-resolve            	       0        4        4        0        0
48885 node-source-map-support            	       0      181        0        0      181
48886 node-sparkles                      	       0        1        0        0        1
48887 node-spdx-correct                  	       0      214        7        0      207
48888 node-spdx-exceptions               	       0      207        0        0      207
48889 node-spdx-expression-parse         	       0      214        0        0      214
48890 node-spdx-license-ids              	       0      214        0        0      214
48891 node-split                         	       0        2        0        0        2
48892 node-split2                        	       0        1        0        0        1
48893 node-sprintf-js                    	       0      192        2        0      190
48894 node-sqlite3                       	       0        2        0        0        2
48895 node-ssri                          	       0      209        7        0      202
48896 node-stack-trace                   	       0        1        0        0        1
48897 node-stack-utils                   	       0      155        0        0      155
48898 node-statsd-parser                 	       0        1        0        0        1
48899 node-statuses                      	       0        4        0        0        4
48900 node-std-mocks                     	       0        1        0        0        1
48901 node-stealthy-require              	       0        2        0        0        2
48902 node-stream-each                   	       0       13        7        0        6
48903 node-stream-iterate                	       0       13        9        0        4
48904 node-stream-shift                  	       0       40        8        0       32
48905 node-strftime                      	       0        1        0        0        1
48906 node-strict-uri-encode             	       0       26        0        0       26
48907 node-string-decoder                	       0      219        7        0      212
48908 node-string-width                  	       0      219        7        0      212
48909 node-strip-ansi                    	       0      219        7        0      212
48910 node-strip-bom                     	       0      184        0        0      184
48911 node-strip-eof                     	       0       37        9        0       28
48912 node-strip-json-comments           	       0      191        0        0      191
48913 node-supports-color                	       0      215        7        0      208
48914 node-tapable                       	       0      170        0        0      170
48915 node-tar                           	       0      199        8        0      191
48916 node-tar-stream                    	       0       26        0        0       26
48917 node-term-size                     	       0       13        9        0        4
48918 node-text-hex                      	       0        1        0        0        1
48919 node-text-table                    	       0      201       31        0      170
48920 node-through                       	       0      213        8        0      205
48921 node-through2                      	       0       41        7        0       34
48922 node-time-stamp                    	       0      171        0        0      171
48923 node-time-zone                     	       0        3        0        0        3
48924 node-timed-out                     	       0       13        7        0        6
48925 node-tinycolor                     	       0        3        0        0        3
48926 node-tmatch                        	       0        1        0        0        1
48927 node-tmp                           	       0       29        0        0       29
48928 node-to-fast-properties            	       0      181        0        0      181
48929 node-to-object-path                	       0        1        0        0        1
48930 node-to-regex-range                	       0      186        0        0      186
48931 node-toidentifier                  	       0        4        2        0        2
48932 node-tough-cookie                  	       0       70        8        0       62
48933 node-transformers                  	       0        2        0        0        2
48934 node-triple-beam                   	       0        1        0        0        1
48935 node-ts-loader                     	       0        1        0        0        1
48936 node-tslib                         	       0      189        0        0      189
48937 node-tunein                        	       0        1        0        0        1
48938 node-tunnel-agent                  	       0       66        7        0       59
48939 node-turbolinks                    	       0        7        0        0        7
48940 node-tweetnacl                     	       0       67        7        0       60
48941 node-typanion                      	       0        1        1        0        0
48942 node-type-check                    	       0      182        0        0      182
48943 node-type-detect                   	       0        3        0        0        3
48944 node-type-is                       	       0        4        0        0        4
48945 node-typedarray                    	       0      180        9        0      171
48946 node-typedarray-to-buffer          	       0      205        0        0      205
48947 node-typescript-types              	       0        1        0        0        1
48948 node-ua-parser-js                  	       0        2        0        0        2
48949 node-uglify                        	       0        2        2        0        0
48950 node-uglify-js                     	       0        4        0        0        4
48951 node-uid-number                    	       0       13        7        0        6
48952 node-uid-safe                      	       0        2        1        0        1
48953 node-underscore                    	       0        4        1        0        3
48954 node-underscore.string             	       0        3        0        0        3
48955 node-unicode-15.0.0                	       0        1        0        0        1
48956 node-unicode-canonical-property-names-ecmascript	       0      181        0        0      181
48957 node-unicode-match-property-ecmascript	       0      181        0        0      181
48958 node-unicode-match-property-value-ecmascript	       0      181        0        0      181
48959 node-unicode-property-aliases-ecmascript	       0      181        0        0      181
48960 node-union-value                   	       0      153        0        0      153
48961 node-unique-filename               	       0      194        7        0      187
48962 node-universalify                  	       0       64        0        0       64
48963 node-unpipe                        	       0       17       11        0        6
48964 node-unset-value                   	       0      153        0        0      153
48965 node-uri-js                        	       0      207        0        0      207
48966 node-url-parse                     	       0        3        0        0        3
48967 node-url-parse-lax                 	       0       13        0        0       13
48968 node-url-to-options                	       0       14        0        0       14
48969 node-use                           	       0        1        0        0        1
48970 node-util                          	       0      182        0        0      182
48971 node-util-deprecate                	       0      220       32        0      188
48972 node-utils-merge                   	       0        4        0        0        4
48973 node-uuid                          	       0      213        8        0      205
48974 node-v8-compile-cache              	       0      171        0        0      171
48975 node-v8flags                       	       0      182        0        0      182
48976 node-validate-npm-package-license  	       0      214        0        0      214
48977 node-validate-npm-package-name     	       0      194        0        0      194
48978 node-vary                          	       0        4        0        0        4
48979 node-verror                        	       0       70       33        0       37
48980 node-vinyl                         	       0        1        0        0        1
48981 node-watchpack                     	       0      170        0        0      170
48982 node-wcwidth.js                    	       0      219       31        0      188
48983 node-webidl-conversions            	       0        8        0        0        8
48984 node-whatwg-fetch                  	       0        1        0        0        1
48985 node-which-module                  	       0       13        9        0        4
48986 node-wide-align                    	       0      217        7        0      210
48987 node-widest-line                   	       0      163        7        0      156
48988 node-winston                       	       0        1        1        0        0
48989 node-winston-compat                	       0        1        0        0        1
48990 node-winston-transport             	       0        1        0        0        1
48991 node-wordwrap                      	       0      181        0        0      181
48992 node-wrap-ansi                     	       0      191        7        0      184
48993 node-wrappy                        	       0      226       35        0      191
48994 node-write                         	       0      171        0        0      171
48995 node-write-file-atomic             	       0      212        0        0      212
48996 node-ws-iconv                      	       0        1        1        0        0
48997 node-xdg-basedir                   	       0       13        9        0        4
48998 node-xmlhttprequest                	       0        1        0        0        1
48999 node-xtend                         	       0      303        7        0      296
49000 node-yallist                       	       0      220        7        0      213
49001 node-yaml                          	       0      155        0        0      155
49002 node-yargs-parser                  	       0      191        7        0      184
49003 node-yarn-tool-resolve-package     	       0        1        0        0        1
49004 node-yauzl                         	       0        2        0        0        2
49005 node-yazl                          	       0        2        0        0        2
49006 node-yn                            	       0       28        0        0       28
49007 node-ytdl-core                     	       0        2        0        0        2
49008 node-zkochan-cmd-shim              	       0        1        0        0        1
49009 nodeenv                            	       0        7        7        0        0
49010 nodejs-doc                         	       0      354        0        0      354
49011 nody-greeter                       	       0        1        0        0        1
49012 noflushd                           	       0        1        1        0        0
49013 nohang                             	       0        1        1        0        0
49014 noi                                	       0        1        1        0        0
49015 noip2                              	       0        1        1        0        0
49016 noiz2sa                            	       0        2        2        0        0
49017 noiz2sa-data                       	       0        2        2        0        0
49018 nomachine                          	       0       22        0        0       22
49019 nomacs                             	       0       12       12        0        0
49020 nomacs-l10n                        	       0       13        0        0       13
49021 nomad                              	       0        1        1        0        0
49022 nomad-driver-podman                	       0        1        1        0        0
49023 nomnom                             	       0        2        2        0        0
49024 non-mixer                          	       0        2        2        0        0
49025 non-sequencer                      	       0        2        2        0        0
49026 non-session-manager                	       0        2        0        0        2
49027 non-timeline                       	       0        1        1        0        0
49028 nordic                             	       0        1        0        0        1
49029 nordvpn-release                    	       0       18        0        0       18
49030 normaliz                           	       0       10        0        0       10
49031 normaliz-bin                       	       0       10       10        0        0
49032 normaliz-doc                       	       0        1        0        0        1
49033 norsnet                            	       0        1        1        0        0
49034 nosexcover-build-deps              	       0        1        0        0        1
49035 nosh-service-management            	       0        1        1        0        0
49036 nosh-terminal-extras               	       0        1        1        0        0
49037 nosh-terminal-management           	       0        1        1        0        0
49038 note                               	       0        3        3        0        0
49039 notepadpp-bin32                    	       0        1        1        0        0
49040 notepadpp-common                   	       0        1        1        0        0
49041 notepadqq                          	       0       20       18        2        0
49042 notepadqq-common                   	       0        2        2        0        0
49043 notepadqq-gtk                      	       0        1        1        0        0
49044 notes                              	       0        1        1        0        0
49045 notesalexp-keyring                 	       0        2        0        0        2
49046 noteshrink                         	       0        1        1        0        0
49047 notify-osd                         	       0       11        2        0        9
49048 notion                             	       0        5        5        0        0
49049 notmuch-doc                        	       0        1        0        0        1
49050 notmuch-emacs                      	       0        1        0        0        1
49051 notmuch-vim                        	       0        4        0        0        4
49052 notus-scanner                      	       0        1        1        0        0
49053 nova-api                           	       0        1        1        0        0
49054 nova-cert                          	       0        1        1        0        0
49055 nova-common                        	       0        2        2        0        0
49056 nova-compute                       	       0        1        1        0        0
49057 nova-compute-kvm                   	       0        1        0        0        1
49058 nova-conductor                     	       0        1        1        0        0
49059 nova-console                       	       0        1        1        0        0
49060 nova-consoleauth                   	       0        1        1        0        0
49061 nova-consoleproxy                  	       0        2        2        0        0
49062 nova-scheduler                     	       0        1        1        0        0
49063 novnc                              	       0        6        5        0        1
49064 noweb                              	       0       10       10        0        0
49065 nowebm                             	       0        1        0        0        1
49066 nox                                	       0        2        2        0        0
49067 npapi-sdk-dev                      	       0        1        1        0        0
49068 npfctl                             	       0        1        1        0        0
49069 npm2deb                            	       0        2        2        0        0
49070 nps                                	       0        1        1        0        0
49071 nq                                 	       0        2        2        0        0
49072 nqp                                	       0       10        9        1        0
49073 nqp-data                           	       0       10        0        0       10
49074 ns2                                	       0        1        1        0        0
49075 nscde                              	       0        1        1        0        0
49076 nsight-compute                     	       0       16       15        1        0
49077 nsight-compute-2022.2.1            	       0        1        0        0        1
49078 nsight-compute-2022.3.0            	       0        1        0        0        1
49079 nsight-compute-2024.1.0            	       0        1        1        0        0
49080 nsight-compute-2024.1.1            	       0        1        1        0        0
49081 nsight-compute-2024.3.1            	       0        1        1        0        0
49082 nsight-compute-2024.3.2            	       0        2        2        0        0
49083 nsight-compute-2025.1.0            	       0        1        1        0        0
49084 nsight-compute-target              	       0       16        0        0       16
49085 nsight-graphics-for-l4t            	       0        1        1        0        0
49086 nsight-systems                     	       0       16       15        1        0
49087 nsight-systems-2019.6.2            	       0        1        1        0        0
49088 nsight-systems-2022.1.3            	       0        1        1        0        0
49089 nsight-systems-2023.4.4            	       0        2        2        0        0
49090 nsight-systems-2024.4.1            	       0        1        1        0        0
49091 nsight-systems-2024.4.2            	       0        1        1        0        0
49092 nsight-systems-2024.5.1            	       0        2        2        0        0
49093 nsight-systems-2024.6.2            	       0        1        1        0        0
49094 nsight-systems-cli-2023.1.1        	       0        1        1        0        0
49095 nsight-systems-target              	       0       16        0        0       16
49096 nsis-common                        	       0       11        0        0       11
49097 nsis-doc                           	       0        3        2        0        1
49098 nsis-pluginapi                     	       0        3        3        0        0
49099 nslint                             	       0        6        6        0        0
49100 nsntrace                           	       0        2        2        0        0
49101 nss-passwords                      	       0        4        4        0        0
49102 nss-plugin-pem                     	       0      323        0        0      323
49103 nss-tlsd                           	       0        3        1        2        0
49104 nss-updatedb                       	       0        2        2        0        0
49105 nted                               	       0       16       15        1        0
49106 nted-doc                           	       0       14        0        0       14
49107 ntfs-3g-dbg                        	       0        2        2        0        0
49108 ntfs-3g-dev                        	       0        9        9        0        0
49109 ntfs2btrfs                         	       0        6        6        0        0
49110 ntfsdoc                            	       0        2        0        0        2
49111 ntfsprogs                          	       0       10        0        0       10
49112 ntldd                              	       0        1        1        0        0
49113 ntop                               	       0        2        2        0        0
49114 ntop-data                          	       0        4        0        0        4
49115 ntopng                             	       0        4        4        0        0
49116 ntopng-data                        	       0        4        0        0        4
49117 ntp-doc                            	       0       68        0        0       68
49118 ntpsec-doc                         	       0       62        0        0       62
49119 ntrack-module-rtnetlink-0          	       0        2        2        0        0
49120 nttcp                              	       0        1        1        0        0
49121 nuclear                            	       0        1        1        0        0
49122 nuget                              	       0        6        6        0        0
49123 nuitka                             	       0        6        6        0        0
49124 nullidentd                         	       0        5        5        0        0
49125 num-utils                          	       0        3        3        0        0
49126 numad                              	       0        3        2        1        0
49127 numatop                            	       0        3        3        0        0
49128 numba-doc                          	       0       74        0        0       74
49129 numbers2ods                        	       0        2        2        0        0
49130 numconv                            	       0        3        3        0        0
49131 numdiff                            	       0        2        2        0        0
49132 numix-icon-theme                   	       0       49        0        0       49
49133 numix-icon-theme-circle            	       0       30        0        0       30
49134 numpy-stl                          	       0        4        4        0        0
49135 nunit                              	       0        1        0        0        1
49136 nunit-console                      	       0        1        1        0        0
49137 nunit-gui                          	       0        1        1        0        0
49138 nuntius                            	       0        2        2        0        0
49139 nupkg-newtonsoft.json.6.0.8        	       0        1        0        0        1
49140 nuspell                            	       0        1        1        0        0
49141 nut                                	       0       56        0        0       56
49142 nut-cgi                            	       0       14       13        1        0
49143 nut-doc                            	       0       14        0        0       14
49144 nut-xml                            	       0        4        4        0        0
49145 nutsqlite                          	       0        1        1        0        0
49146 nuttcp                             	       0        2        2        0        0
49147 nuvola-icon-theme                  	       0       10        0        0       10
49148 nv-codec-headers                   	       0        4        4        0        0
49149 nvclock                            	       0        5        5        0        0
49150 nvclock-gtk                        	       0        1        1        0        0
49151 nvclock-qt                         	       0        1        1        0        0
49152 nvi                                	       0       50       49        1        0
49153 nvi-doc                            	       0       45        0        0       45
49154 nvidia-alternative                 	       0      190        0        0      190
49155 nvidia-cg-dev                      	       0        4        4        0        0
49156 nvidia-cg-toolkit                  	       0        3        3        0        0
49157 nvidia-container-toolkit           	       0        4        4        0        0
49158 nvidia-cuda-dev                    	       0       17       16        1        0
49159 nvidia-cuda-gdb                    	       0       16       15        1        0
49160 nvidia-cuda-mps                    	       0       15       15        0        0
49161 nvidia-cuda-samples                	       0        1        1        0        0
49162 nvidia-cuda-toolkit                	       0       17       16        1        0
49163 nvidia-cuda-toolkit-doc            	       0       16       15        1        0
49164 nvidia-cuda-toolkit-gcc            	       0        1        1        0        0
49165 nvidia-cudnn                       	       0        1        1        0        0
49166 nvidia-detect                      	       0       94       92        2        0
49167 nvidia-driver                      	       0      160        5        1      154
49168 nvidia-driver-cuda                 	       0        4        4        0        0
49169 nvidia-driver-full                 	       0        3        0        0        3
49170 nvidia-driver-libs                 	       0      167        0        0      167
49171 nvidia-driver-libs-i386            	       0        5        0        0        5
49172 nvidia-driver-libs-nonglvnd        	       0        1        0        0        1
49173 nvidia-driver-libs-nonglvnd-i386   	       0        1        0        0        1
49174 nvidia-driver-local-repo-debian12-570.86.15	       0        1        0        0        1
49175 nvidia-egl-common                  	       0      189        0        0      189
49176 nvidia-egl-icd                     	       0      167        0        0      167
49177 nvidia-egl-wayland-common          	       0        1        0        0        1
49178 nvidia-egl-wayland-icd             	       0        1        0        0        1
49179 nvidia-firmware-535-535.183.01     	       0        2        0        2        0
49180 nvidia-firmware-535-server-535.216.03	       0        2        0        2        0
49181 nvidia-firmware-550-550.120        	       0        2        0        2        0
49182 nvidia-firmware-550-server-550.127.08	       0        2        0        2        0
49183 nvidia-firmware-565-server-565.57.01	       0        2        0        2        0
49184 nvidia-fs-dkms                     	       0        1        1        0        0
49185 nvidia-kernel-4.19.0-9-amd64       	       0        1        0        0        1
49186 nvidia-kernel-5.10.0-26-amd64      	       0        1        0        0        1
49187 nvidia-kernel-open                 	       0        3        0        0        3
49188 nvidia-kernel-open-dkms            	       0        6        5        1        0
49189 nvidia-kernel-source               	       0        6        0        0        6
49190 nvidia-legacy-304xx-alternative    	       0        1        0        0        1
49191 nvidia-legacy-304xx-kernel-2.6.32-5-amd64	       0        1        0        0        1
49192 nvidia-legacy-340xx-alternative    	       0       10        0        0       10
49193 nvidia-legacy-340xx-driver         	       0        9        0        0        9
49194 nvidia-legacy-340xx-driver-bin     	       0        9        9        0        0
49195 nvidia-legacy-340xx-driver-libs    	       0        9        0        0        9
49196 nvidia-legacy-340xx-driver-libs-i386	       0        2        0        0        2
49197 nvidia-legacy-340xx-kernel-dkms    	       0        9        9        0        0
49198 nvidia-legacy-340xx-kernel-source  	       0        1        0        0        1
49199 nvidia-legacy-340xx-kernel-support 	       0        9        4        0        5
49200 nvidia-legacy-340xx-smi            	       0        5        5        0        0
49201 nvidia-legacy-340xx-vdpau-driver   	       0       10        0        0       10
49202 nvidia-legacy-390xx-alternative    	       0       16        0        0       16
49203 nvidia-legacy-390xx-driver         	       0       13        0        0       13
49204 nvidia-legacy-390xx-driver-bin     	       0       13       13        0        0
49205 nvidia-legacy-390xx-driver-libs    	       0       11        0        0       11
49206 nvidia-legacy-390xx-driver-libs-i386	       0        2        0        0        2
49207 nvidia-legacy-390xx-driver-libs-nonglvnd	       0        3        0        0        3
49208 nvidia-legacy-390xx-driver-libs-nonglvnd-i386	       0        2        0        0        2
49209 nvidia-legacy-390xx-egl-icd        	       0       11        0        0       11
49210 nvidia-legacy-390xx-kernel-dkms    	       0       14       14        0        0
49211 nvidia-legacy-390xx-kernel-source  	       0        2        0        0        2
49212 nvidia-legacy-390xx-kernel-support 	       0       15        0        0       15
49213 nvidia-legacy-390xx-nonglvnd-vulkan-icd	       0        2        0        0        2
49214 nvidia-legacy-390xx-opencl-icd     	       0        2        0        0        2
49215 nvidia-legacy-390xx-vdpau-driver   	       0       14        1        0       13
49216 nvidia-legacy-390xx-vulkan-icd     	       0       11        0        0       11
49217 nvidia-legacy-check                	       0      187        0        0      187
49218 nvidia-libopencl1                  	       0        7        2        0        5
49219 nvidia-nonglvnd-vulkan-common      	       0        2        0        0        2
49220 nvidia-nonglvnd-vulkan-icd         	       0        1        0        0        1
49221 nvidia-open                        	       0        4        0        0        4
49222 nvidia-open-560                    	       0        1        0        0        1
49223 nvidia-open-570                    	       0        1        0        0        1
49224 nvidia-open-kernel-dkms            	       0        3        3        0        0
49225 nvidia-open-kernel-source          	       0        1        0        0        1
49226 nvidia-open-kernel-support         	       0        3        0        0        3
49227 nvidia-opencl-common               	       0       35        0        0       35
49228 nvidia-opencl-dev                  	       0       16        0        0       16
49229 nvidia-opencl-icd                  	       0       34        0        0       34
49230 nvidia-openjdk-8-jre               	       0       23       22        1        0
49231 nvidia-powerd                      	       0        3        3        0        0
49232 nvidia-prime                       	       0        2        2        0        0
49233 nvidia-prime-applet                	       0        2        2        0        0
49234 nvidia-primus-vk-common            	       0        6        0        0        6
49235 nvidia-primus-vk-wrapper           	       0        6        0        0        6
49236 nvidia-profiler                    	       0       19       18        1        0
49237 nvidia-settings-legacy-304xx       	       0        1        1        0        0
49238 nvidia-settings-legacy-340xx       	       0        6        6        0        0
49239 nvidia-settings-tesla-450          	       0        2        2        0        0
49240 nvidia-settings-tesla-470          	       0       15       15        0        0
49241 nvidia-tesla-450-alternative       	       0        2        0        0        2
49242 nvidia-tesla-450-driver            	       0        2        0        0        2
49243 nvidia-tesla-450-driver-bin        	       0        2        2        0        0
49244 nvidia-tesla-450-driver-libs       	       0        2        0        0        2
49245 nvidia-tesla-450-egl-icd           	       0        2        0        0        2
49246 nvidia-tesla-450-kernel-dkms       	       0        2        2        0        0
49247 nvidia-tesla-450-kernel-source     	       0        1        0        0        1
49248 nvidia-tesla-450-kernel-support    	       0        2        0        0        2
49249 nvidia-tesla-450-opencl-icd        	       0        1        0        0        1
49250 nvidia-tesla-450-smi               	       0        2        2        0        0
49251 nvidia-tesla-450-vdpau-driver      	       0        2        0        0        2
49252 nvidia-tesla-450-vulkan-icd        	       0        2        0        0        2
49253 nvidia-tesla-470-alternative       	       0       19        0        0       19
49254 nvidia-tesla-470-driver            	       0       15        0        0       15
49255 nvidia-tesla-470-driver-bin        	       0       15       15        0        0
49256 nvidia-tesla-470-driver-libs       	       0       15        0        0       15
49257 nvidia-tesla-470-egl-icd           	       0       15        0        0       15
49258 nvidia-tesla-470-kernel-dkms       	       0       16       16        0        0
49259 nvidia-tesla-470-kernel-source     	       0        1        0        0        1
49260 nvidia-tesla-470-kernel-support    	       0       16       16        0        0
49261 nvidia-tesla-470-smi               	       0       15       15        0        0
49262 nvidia-tesla-470-vdpau-driver      	       0       16        0        0       16
49263 nvidia-tesla-470-vulkan-icd        	       0       15        0        0       15
49264 nvidia-tesla-alternative           	       0        2        0        0        2
49265 nvidia-tesla-driver                	       0        1        0        0        1
49266 nvidia-tesla-kernel-support        	       0        1        0        0        1
49267 nvidia-tesla-legacy-check          	       0        2        0        0        2
49268 nvidia-tesla-smi                   	       0        1        1        0        0
49269 nvidia-tesla-vdpau-driver          	       0        1        0        0        1
49270 nvidia-vaapi-driver                	       0        7        0        0        7
49271 nvidia-vdpau-driver                	       0      177        4        0      173
49272 nvidia-visual-profiler             	       0       18       17        1        0
49273 nvidia-vulkan-common               	       0      186        0        0      186
49274 nvidia-vulkan-icd                  	       0      167        0        0      167
49275 nvidia-xconfig                     	       0       31       31        0        0
49276 nvitop                             	       0        1        1        0        0
49277 nvptx-tools                        	       0        5        5        0        0
49278 nvtv                               	       0        2        2        0        0
49279 nvu                                	       0        1        1        0        0
49280 nwatch                             	       0        1        1        0        0
49281 nwjs-downloader                    	       0        1        0        0        1
49282 nwqt4                              	       0        1        1        0        0
49283 nx-x11-common                      	       0       72        0        0       72
49284 nx-x11proto-composite-dev          	       0        1        1        0        0
49285 nx-x11proto-core-dev               	       0        1        1        0        0
49286 nx-x11proto-damage-dev             	       0        1        1        0        0
49287 nx-x11proto-randr-dev              	       0        1        1        0        0
49288 nx-x11proto-render-dev             	       0        1        1        0        0
49289 nx-x11proto-scrnsaver-dev          	       0        1        1        0        0
49290 nx-x11proto-xext-dev               	       0        1        1        0        0
49291 nx-x11proto-xfixes-dev             	       0        1        1        0        0
49292 nx-x11proto-xinerama-dev           	       0        1        1        0        0
49293 nxclient                           	       0        2        2        0        0
49294 nxdialog                           	       0        1        1        0        0
49295 nxlibs                             	       0        1        0        0        1
49296 nxnode                             	       0        1        1        0        0
49297 nxserver                           	       0        1        1        0        0
49298 nyacc                              	       0        1        0        0        1
49299 nyxt                               	       0        1        1        0        0
49300 nzbget                             	       0        4        4        0        0
49301 o3read                             	       0        1        1        0        0
49302 oaklisp                            	       0        1        1        0        0
49303 oaklisp-doc                        	       0        1        0        0        1
49304 oar-node                           	       0        1        1        0        0
49305 oar-restful-api                    	       0        1        1        0        0
49306 oar-server-pgsql                   	       0        1        0        0        1
49307 oar-user-pgsql                     	       0        1        0        0        1
49308 oasis                              	       0        1        1        0        0
49309 obconf-qt                          	       0        6        6        0        0
49310 obconf-qt-l10n                     	       0        4        0        0        4
49311 obdautodoctor                      	       0        1        1        0        0
49312 obdgpslogger                       	       0        1        1        0        0
49313 obex-data-server                   	       0       18       18        0        0
49314 obexd-client                       	       0        3        3        0        0
49315 obexfs                             	       0        8        8        0        0
49316 obfsproxy                          	       0        2        2        0        0
49317 obfuscate                          	       0        2        2        0        0
49318 obmenu                             	       0        5        5        0        0
49319 obs-3d-effect                      	       0        1        0        0        1
49320 obs-advanced-scene-switcher        	       0        7        0        0        7
49321 obs-advanced-scene-switcher-data   	       0        7        0        0        7
49322 obs-ashmanix-blur-filter           	       0        1        0        0        1
49323 obs-ashmanix-countdown             	       0        4        0        0        4
49324 obs-backgroundremoval              	       0        1        0        0        1
49325 obs-build                          	       0        5        5        0        0
49326 obs-cli                            	       0        4        4        0        0
49327 obs-color-monitor                  	       0        1        0        0        1
49328 obs-command-source                 	       0        1        0        0        1
49329 obs-detect                         	       0        1        0        0        1
49330 obs-downstream-keyer               	       0        3        0        0        3
49331 obs-gradient-source                	       0        6        0        0        6
49332 obs-localvocal                     	       0        1        0        0        1
49333 obs-midi-mg                        	       0        1        1        0        0
49334 obs-move-transition                	       0        6        0        0        6
49335 obs-ndi                            	       0        2        1        0        1
49336 obs-plugin-looking-glass           	       0        3        0        0        3
49337 obs-productconverter               	       0        2        2        0        0
49338 obs-scene-as-transition            	       0        2        0        0        2
49339 obs-scene-collection-manager       	       0        6        1        0        5
49340 obs-scene-notes-dock               	       0        4        0        0        4
49341 obs-scene-tree-view                	       0        1        0        0        1
49342 obs-source-clone                   	       0        6        1        0        5
49343 obs-source-copy                    	       0        5        1        0        4
49344 obs-time-source                    	       0        1        0        0        1
49345 obs-transition-table               	       0        6        1        0        5
49346 obs-utils                          	       0        4        4        0        0
49347 obs-vintage-filter                 	       0        2        0        0        2
49348 obs-websocket                      	       0        1        1        0        0
49349 obsidian-icon-theme                	       0       25        0        0       25
49350 obxd-lv2                           	       0        1        1        0        0
49351 ocaml-base                         	       0       80       78        1        1
49352 ocaml-book-en                      	       0        2        0        0        2
49353 ocaml-doc                          	       0        5        0        0        5
49354 ocaml-dune                         	       0        3        3        0        0
49355 ocaml-findlib                      	       0       42       42        0        0
49356 ocaml-man                          	       0       66        0        0       66
49357 ocaml-mode                         	       0        2        0        0        2
49358 ocaml-tools                        	       0        1        1        0        0
49359 ocamlbuild                         	       0        1        1        0        0
49360 ocamlviz                           	       0        1        1        0        0
49361 occt-draw                          	       0        4        4        0        0
49362 occt-misc                          	       0      161        0        0      161
49363 oce-draw                           	       0        3        3        0        0
49364 ocean-sound-theme                  	       0       24        0        0       24
49365 ocenaudio                          	       0        2        2        0        0
49366 ocl-icd-dev                        	       0        4        4        0        0
49367 ocl-icd-libopencl1-amdgpu-pro      	       0        2        0        0        2
49368 ocl-icd-opencl-dev                 	       0       55        0        0       55
49369 oclgrind                           	       0        1        1        0        0
49370 ocproxy                            	       0        3        3        0        0
49371 ocqt5152-libqt5core5a              	       0        2        2        0        0
49372 ocqt5152-libqt5dbus5               	       0        2        2        0        0
49373 ocqt5152-libqt5gui5                	       0        2        2        0        0
49374 ocqt5152-libqt5keychain1           	       0        2        2        0        0
49375 ocqt5152-libqt5network5            	       0        2        2        0        0
49376 ocqt5152-libqt5sql5                	       0        2        0        0        2
49377 ocqt5152-libqt5sql5-sqlite         	       0        2        0        0        2
49378 ocqt5152-libqt5widgets5            	       0        2        2        0        0
49379 ocqt5152-libqt5xml5                	       0        1        0        0        1
49380 ocqt5152-qtsvg5                    	       0        2        2        0        0
49381 ocqt5152-qttranslations5           	       0        2        2        0        0
49382 ocqt5152-qtwayland5                	       0        2        0        0        2
49383 ocrad                              	       0       57       57        0        0
49384 ocrfeeder                          	       0       26       26        0        0
49385 ocrmypdf-doc                       	       0       18        0        0       18
49386 ocs-url                            	       0        6        6        0        0
49387 ocserv                             	       0        1        1        0        0
49388 ocsinventory-agent                 	       0        1        1        0        0
49389 ocsinventory-server                	       0        1        1        0        0
49390 octave-astra-toolbox               	       0        1        1        0        0
49391 octave-audio                       	       0        2        0        0        2
49392 octave-bim                         	       0        1        0        0        1
49393 octave-bsltl                       	       0        1        0        0        1
49394 octave-cgi                         	       0        1        0        0        1
49395 octave-common                      	       0       86        0        0       86
49396 octave-control                     	       0        7        0        0        7
49397 octave-data-smoothing              	       0        1        0        0        1
49398 octave-dataframe                   	       0        1        0        0        1
49399 octave-dev                         	       0       10        9        1        0
49400 octave-dicom                       	       0        1        0        0        1
49401 octave-divand                      	       0        1        0        0        1
49402 octave-doc                         	       0       76        0        0       76
49403 octave-doctest                     	       0        1        0        0        1
49404 octave-econometrics                	       0        1        0        0        1
49405 octave-fpl                         	       0        1        0        0        1
49406 octave-ga                          	       0        1        0        0        1
49407 octave-general                     	       0        3        0        0        3
49408 octave-geometry                    	       0        2        0        0        2
49409 octave-gsl                         	       0        1        0        0        1
49410 octave-image                       	       0        4        0        0        4
49411 octave-info                        	       0        3        0        0        3
49412 octave-instrument-control          	       0        1        0        0        1
49413 octave-io                          	       0        6        0        0        6
49414 octave-jsonlab                     	       0        1        0        0        1
49415 octave-linear-algebra              	       0        3        0        0        3
49416 octave-lssa                        	       0        1        0        0        1
49417 octave-mapping                     	       0        1        0        0        1
49418 octave-matgeom                     	       0        2        0        0        2
49419 octave-miscellaneous               	       0        4        0        0        4
49420 octave-missing-functions           	       0        1        0        0        1
49421 octave-msh                         	       0        1        0        0        1
49422 octave-openems                     	       0        4        0        0        4
49423 octave-optics                      	       0        1        0        0        1
49424 octave-optim                       	       0        3        0        0        3
49425 octave-pfstools                    	       0        1        0        0        1
49426 octave-psychtoolbox-3              	       0        1        1        0        0
49427 octave-quaternion                  	       0        1        0        0        1
49428 octave-signal                      	       0        6        0        0        6
49429 octave-sockets                     	       0        1        0        0        1
49430 octave-sparsersb                   	       0        1        0        0        1
49431 octave-splines                     	       0        2        0        0        2
49432 octave-statistics                  	       0        9        0        0        9
49433 octave-strings                     	       0        1        0        0        1
49434 octave-struct                      	       0        4        0        0        4
49435 octave-vlfeat                      	       0        1        0        0        1
49436 octave-zmat                        	       0        2        0        0        2
49437 octave3.0-doc                      	       0        1        0        0        1
49438 octave3.0-htmldoc                  	       0        1        0        0        1
49439 octave3.2-common                   	       0        2        0        0        2
49440 octicons                           	       0        2        0        0        2
49441 octomap-tools                      	       0        2        2        0        0
49442 octovis                            	       0        2        2        0        0
49443 odadrawingsexplorer                	       0        2        2        0        0
49444 odafileconverter                   	       0        5        5        0        0
49445 odamex                             	       0        1        1        0        0
49446 odaviewer                          	       0        1        1        0        0
49447 odb                                	       0        1        1        0        0
49448 odbc-mariadb                       	       0        9        2        0        7
49449 odbc-mdbtools                      	       0        3        0        0        3
49450 odbc-postgresql                    	       0       16        0        0       16
49451 odbcinst1debian2                   	       0      234        2        0      232
49452 odc                                	       0        2        2        0        0
49453 oddjob-mkhomedir                   	       0       15        0        0       15
49454 odil                               	       0        2        2        0        0
49455 odin                               	       0        2        2        0        0
49456 odin2                              	       0        1        1        0        0
49457 odr-dabmod                         	       0        2        2        0        0
49458 odr-dabmux                         	       0        2        2        0        0
49459 odr-padenc                         	       0        2        2        0        0
49460 odroid-base                        	       0        2        2        0        0
49461 ods2tsv                            	       0        1        1        0        0
49462 oem-pulseaudio-alsamixer-control-files	       0        1        1        0        0
49463 office2003-schemas                 	       0        2        0        0        2
49464 officebib                          	       0        1        1        0        0
49465 offpunk                            	       0        1        1        0        0
49466 ofono-dev                          	       0        1        1        0        0
49467 ofono-scripts                      	       0        3        0        0        3
49468 ofr                                	       0        1        1        0        0
49469 ofx                                	       0        3        3        0        0
49470 ofxstatement-plugins               	       0        3        3        0        0
49471 ogdi-bin                           	       0       14       13        1        0
49472 oggz-tools                         	       0       10       10        0        0
49473 ogmrip                             	       0       11       11        0        0
49474 ogmrip-dirac                       	       0        1        0        0        1
49475 ogmrip-doc                         	       0       13        0        0       13
49476 ogmrip-flac                        	       0        1        0        0        1
49477 ogmrip-mpeg                        	       0        1        1        0        0
49478 ogmrip-oggz                        	       0        1        0        0        1
49479 ogmrip-plugins                     	       0       10        0        0       10
49480 ogmrip-webm                        	       0        1        0        0        1
49481 ogmtools                           	       0       28       28        0        0
49482 ognibuild                          	       0        1        1        0        0
49483 ogre-1.9-doc                       	       0        1        0        0        1
49484 ogre-1.9-tools                     	       0        1        1        0        0
49485 ohcount                            	       0        2        2        0        0
49486 oidc-agent-cli                     	       0        1        1        0        0
49487 oidua                              	       0        1        1        0        0
49488 okteta-dev                         	       0        1        0        0        1
49489 okular-backend-odp                 	       0       13        0        0       13
49490 okular-backend-odt                 	       0       14        1        0       13
49491 okular-csp                         	       0        1        1        0        0
49492 okular-csp-cryptopro               	       0        1        0        0        1
49493 okular-csp-extra-backends          	       0        1        0        0        1
49494 okular-data                        	       0       17        1        0       16
49495 okular-dev                         	       0        3        3        0        0
49496 okular-doc                         	       0       17        0        0       17
49497 okular-extra-backends              	       0       80        4        0       76
49498 okular-mobile                      	       0       10       10        0        0
49499 ola                                	       0        4        4        0        0
49500 ola-python                         	       0        1        1        0        0
49501 olinuxino-overlays                 	       0        1        1        0        0
49502 olinuxino-rtk-hciattach            	       0        1        1        0        0
49503 olinuxino-tools                    	       0        1        1        0        0
49504 olive                              	       0        1        1        0        0
49505 olive-editor                       	       0       13       13        0        0
49506 ollama                             	       0        1        1        0        0
49507 olsrd                              	       0        1        1        0        0
49508 olsrd-plugins                      	       0        1        1        0        0
49509 olvwm                              	       0        2        2        0        0
49510 olwm                               	       0        1        1        0        0
49511 omadac                             	       0        1        1        0        0
49512 omake                              	       0        1        1        0        0
49513 omake-doc                          	       0        2        0        0        2
49514 omaque                             	       0        1        1        0        0
49515 omc                                	       0        1        1        0        0
49516 omc-common                         	       0        1        1        0        0
49517 omc-doc                            	       0        1        0        0        1
49518 omedit                             	       0        1        1        0        0
49519 omegat                             	       0        4        4        0        0
49520 omins                              	       0       15        0        0       15
49521 omlibrary                          	       0        1        0        0        1
49522 omniidl                            	       0        3        3        0        0
49523 omniorb                            	       0        1        1        0        0
49524 omniorb-idl                        	       0        1        0        0        1
49525 omnotebook                         	       0        1        1        0        0
49526 omodscan                           	       0        1        1        0        0
49527 omplapp-dev                        	       0        1        0        0        1
49528 omplot                             	       0        1        1        0        0
49529 omshell                            	       0        1        1        0        0
49530 omshell-terminal                   	       0        1        1        0        0
49531 omsimulator                        	       0        1        1        0        0
49532 onboard-data                       	       0       45        0        0       45
49533 ondir                              	       0        1        1        0        0
49534 onedrive                           	       0        7        6        1        0
49535 onedriver                          	       0        1        0        1        0
49536 oneisenough                        	       0        1        1        0        0
49537 onevpl-tools                       	       0        1        1        0        0
49538 onionbalance                       	       0        1        1        0        0
49539 onioncircuits                      	       0        4        4        0        0
49540 onionprobe                         	       0        1        1        0        0
49541 onionshare                         	       0        8        8        0        0
49542 onionshare-cli                     	       0        6        6        0        0
49543 onlykey                            	       0        1        1        0        0
49544 onlyoffice-desktopeditors          	       0       12       12        0        0
49545 onnxruntime-tools                  	       0        1        1        0        0
49546 ontospy                            	       0        1        1        0        0
49547 onvif-device-tool                  	       0        1        1        0        0
49548 onvif-tools                        	       0        6        6        0        0
49549 oofem                              	       0        1        1        0        0
49550 oofem-tests                        	       0        1        0        0        1
49551 oolite                             	       0        2        2        0        0
49552 oolite-build-deps                  	       0        1        0        0        1
49553 oolite-data                        	       0        3        0        0        3
49554 oolite-data-sounds                 	       0        3        0        0        3
49555 oolite-dbgsym                      	       0        1        1        0        0
49556 oolite-doc                         	       0        3        0        0        3
49557 oolitestarter                      	       0        2        2        0        0
49558 oomd                               	       0        1        1        0        0
49559 ooniprobe-cli                      	       0        1        1        0        0
49560 oonsoo                             	       0        1        1        0        0
49561 ooobasis3.0-base                   	       0        1        0        0        1
49562 ooobasis3.0-binfilter              	       0        1        0        0        1
49563 ooobasis3.0-calc                   	       0        1        0        0        1
49564 ooobasis3.0-core01                 	       0        1        0        0        1
49565 ooobasis3.0-core02                 	       0        1        0        0        1
49566 ooobasis3.0-core03                 	       0        1        0        0        1
49567 ooobasis3.0-core04                 	       0        1        0        0        1
49568 ooobasis3.0-core05                 	       0        1        0        0        1
49569 ooobasis3.0-core06                 	       0        1        0        0        1
49570 ooobasis3.0-core07                 	       0        1        0        0        1
49571 ooobasis3.0-draw                   	       0        1        0        0        1
49572 ooobasis3.0-extension-pdf-import   	       0        1        0        0        1
49573 ooobasis3.0-extension-presentation-minimizer	       0        1        0        0        1
49574 ooobasis3.0-gnome-integration      	       0        1        0        0        1
49575 ooobasis3.0-graphicfilter          	       0        1        0        0        1
49576 ooobasis3.0-images                 	       0        1        0        0        1
49577 ooobasis3.0-impress                	       0        1        0        0        1
49578 ooobasis3.0-javafilter             	       0        1        0        0        1
49579 ooobasis3.0-kde-integration        	       0        1        0        0        1
49580 ooobasis3.0-math                   	       0        1        0        0        1
49581 ooobasis3.0-onlineupdate           	       0        1        0        0        1
49582 ooobasis3.0-ooofonts               	       0        1        0        0        1
49583 ooobasis3.0-ooolinguistic          	       0        1        0        0        1
49584 ooobasis3.0-pyuno                  	       0        1        0        0        1
49585 ooobasis3.0-ru                     	       0        1        0        0        1
49586 ooobasis3.0-ru-base                	       0        1        0        0        1
49587 ooobasis3.0-ru-binfilter           	       0        1        0        0        1
49588 ooobasis3.0-ru-calc                	       0        1        0        0        1
49589 ooobasis3.0-ru-draw                	       0        1        0        0        1
49590 ooobasis3.0-ru-help                	       0        1        0        0        1
49591 ooobasis3.0-ru-impress             	       0        1        0        0        1
49592 ooobasis3.0-ru-math                	       0        1        0        0        1
49593 ooobasis3.0-ru-res                 	       0        1        0        0        1
49594 ooobasis3.0-ru-writer              	       0        1        0        0        1
49595 ooobasis3.0-testtool               	       0        1        0        0        1
49596 ooobasis3.0-writer                 	       0        1        0        0        1
49597 ooobasis3.0-xsltfilter             	       0        1        0        0        1
49598 ooohg                              	       0        7        0        0        7
49599 oops                               	       0        1        1        0        0
49600 oorexx                             	       0        1        0        1        0
49601 opal-prd                           	       0        1        1        0        0
49602 opal-utils                         	       0        1        1        0        0
49603 opam                               	       0       17       17        0        0
49604 opam-doc                           	       0        8        0        0        8
49605 opari2                             	       0        1        1        0        0
49606 open-ecard-app                     	       0        1        1        0        0
49607 open-eid                           	       0        2        1        0        1
49608 open-font-design-toolkit           	       0        2        0        0        2
49609 open-invaders-data                 	       0       16        0        0       16
49610 open-jtalk                         	       0        3        3        0        0
49611 open-jtalk-mecab-naist-jdic        	       0        3        0        0        3
49612 open-roms                          	       0        2        0        0        2
49613 open-vm-dkms                       	       0        1        1        0        0
49614 open-vm-tools-containerinfo        	       0        1        0        0        1
49615 open-vm-tools-dev                  	       0        1        1        0        0
49616 open-vm-tools-dkms                 	       0        2        2        0        0
49617 openafs-dbserver                   	       0        1        1        0        0
49618 openafs-doc                        	       0        1        0        0        1
49619 openafs-fileserver                 	       0        1        1        0        0
49620 openafs-fuse                       	       0        1        1        0        0
49621 openais                            	       0        2        2        0        0
49622 openal-info                        	       0        7        7        0        0
49623 openal-tools                       	       0        1        1        0        0
49624 openapi-specification              	       0        1        0        0        1
49625 openaptx                           	       0        1        1        0        0
49626 openaptx-utils                     	       0        2        2        0        0
49627 openarena-081-maps                 	       0       33        0        0       33
49628 openarena-081-misc                 	       0       33        0        0       33
49629 openarena-081-players              	       0       33        0        0       33
49630 openarena-081-players-mature       	       0       33        0        0       33
49631 openarena-081-textures             	       0       33        0        0       33
49632 openarena-085-data                 	       0       33        0        0       33
49633 openarena-088-data                 	       0       33        0        0       33
49634 openarena-data                     	       0       33        0        0       33
49635 openaudible                        	       0        1        1        0        0
49636 openbabel                          	       0       15       15        0        0
49637 openbabel-gui                      	       0        2        2        0        0
49638 openbazaar2                        	       0        1        1        0        0
49639 openbazaarclient                   	       0        1        1        0        0
49640 openbios-ppc                       	       0       24        0        0       24
49641 openbios-sparc                     	       0       23        0        0       23
49642 openbmap-logger                    	       0        1        1        0        0
49643 openboard                          	       0        5        5        0        0
49644 openboard-common                   	       0        5        0        0        5
49645 openboard-fonts-nonfree            	       0        1        0        0        1
49646 openboardview                      	       0        2        2        0        0
49647 openbox-dev                        	       0        1        1        0        0
49648 openbox-gnome-session              	       0        3        3        0        0
49649 openbox-kde-session                	       0        2        2        0        0
49650 openbox-themes                     	       0        2        0        0        2
49651 openbve                            	       0        3        3        0        0
49652 openbve-data                       	       0        1        1        0        0
49653 opencc                             	       0       11       11        0        0
49654 opencfu                            	       0        1        1        0        0
49655 openchrome-tool                    	       0        2        2        0        0
49656 opencity                           	       0       10        8        2        0
49657 opencity-data                      	       0       10        0        0       10
49658 opencl-1.2-html-doc                	       0        1        0        0        1
49659 opencl-1.2-man-doc                 	       0        1        0        0        1
49660 opencl-c-headers                   	       0       69       68        1        0
49661 opencl-clhpp-headers               	       0       67        0        0       67
49662 opencl-clhpp-headers-doc           	       0        2        0        0        2
49663 opencl-headers                     	       0       29        0        0       29
49664 opencl-orca-amdgpu-pro-icd         	       0        1        0        0        1
49665 openclipart                        	       0       21        0        0       21
49666 openclipart-png                    	       0       53        0        0       53
49667 openclipart-svg                    	       0       29        0        0       29
49668 openclipart2                       	       0        2        0        0        2
49669 openclipart2-libreoffice           	       0        5        5        0        0
49670 openclipart2-png                   	       0        5        0        0        5
49671 openclipart2-svg                   	       0        2        0        0        2
49672 openclonk-data                     	       0       10        0        0       10
49673 opencollada-dev                    	       0        5        5        0        0
49674 opencollada-tools                  	       0        4        4        0        0
49675 opencolorio-doc                    	       0        4        0        0        4
49676 opencolorio-tools                  	       0        3        3        0        0
49677 openconcerto                       	       0        1        0        0        1
49678 opencpn                            	       0        6        6        0        0
49679 opencpn-data                       	       0        6        0        0        6
49680 openctm-tools                      	       0        2        2        0        0
49681 opencu                             	       0        3        3        0        0
49682 opencubicplayer-doc                	       0       26        0        0       26
49683 opencv-data                        	       0      129        0        0      129
49684 opencv-dev-2.4.6.1                 	       0        1        1        0        0
49685 opencv-doc                         	       0        6        0        0        6
49686 opendbx-utils                      	       0        2        2        0        0
49687 opendnssec                         	       0        3        0        0        3
49688 opendnssec-common                  	       0        3        3        0        0
49689 opendnssec-doc                     	       0        1        0        0        1
49690 opendnssec-enforcer                	       0        3        3        0        0
49691 opendnssec-enforcer-sqlite3        	       0        3        3        0        0
49692 opendnssec-signer                  	       0        3        3        0        0
49693 openems                            	       0        6        6        0        0
49694 openexr                            	       0       11       11        0        0
49695 openexr-viewers                    	       0        4        4        0        0
49696 openfire                           	       0        5        0        0        5
49697 openfoam                           	       0        2        2        0        0
49698 openfoam-examples                  	       0        2        0        0        2
49699 opengl-4-man-doc                   	       0        1        0        0        1
49700 openglad                           	       0        1        1        0        0
49701 openhab-addons                     	       0        2        0        0        2
49702 openhackware                       	       0       30        0        0       30
49703 openimageio-tools                  	       0        5        5        0        0
49704 openjade                           	       0      181      178        3        0
49705 openjazz                           	       0        1        1        0        0
49706 openjdk-10-jdk-headless            	       0        1        0        0        1
49707 openjdk-10-jre                     	       0        4        0        0        4
49708 openjdk-10-jre-headless            	       0        7        7        0        0
49709 openjdk-11-dbg                     	       0        4        4        0        0
49710 openjdk-11-demo                    	       0        9        0        0        9
49711 openjdk-11-doc                     	       0       15        0        0       15
49712 openjdk-11-jdk                     	       0      130        8        0      122
49713 openjdk-11-jdk-headless            	       0      159        0        0      159
49714 openjdk-11-jre-dcevm               	       0        2        0        0        2
49715 openjdk-11-jre-zero                	       0        2        0        0        2
49716 openjdk-11-source                  	       0        8        8        0        0
49717 openjdk-14-jre                     	       0        1        0        0        1
49718 openjdk-14-jre-headless            	       0        1        1        0        0
49719 openjdk-15-jdk                     	       0        2        0        0        2
49720 openjdk-15-jdk-headless            	       0        3        0        0        3
49721 openjdk-15-jre                     	       0        2        0        0        2
49722 openjdk-15-jre-headless            	       0        4        4        0        0
49723 openjdk-16-jre                     	       0        2        0        0        2
49724 openjdk-16-jre-headless            	       0        2        2        0        0
49725 openjdk-17-dbg                     	       0        8        7        1        0
49726 openjdk-17-demo                    	       0        7        0        0        7
49727 openjdk-17-doc                     	       0       35        0        0       35
49728 openjdk-17-jdk                     	       0      227        7        4      216
49729 openjdk-17-jdk-headless            	       0      270        0        0      270
49730 openjdk-17-jre-zero                	       0        5        0        0        5
49731 openjdk-17-source                  	       0       11        7        4        0
49732 openjdk-18-jdk                     	       0        1        0        0        1
49733 openjdk-18-jdk-headless            	       0        1        0        0        1
49734 openjdk-18-jre                     	       0        2        0        0        2
49735 openjdk-18-jre-headless            	       0        2        2        0        0
49736 openjdk-19-jre                     	       0        1        0        0        1
49737 openjdk-19-jre-headless            	       0        1        1        0        0
49738 openjdk-21-doc                     	       0        7        0        0        7
49739 openjdk-21-jdk                     	       0       16        2        0       14
49740 openjdk-21-jdk-headless            	       0       18        0        0       18
49741 openjdk-21-source                  	       0        2        2        0        0
49742 openjdk-22-jdk                     	       0        2        0        0        2
49743 openjdk-22-jdk-headless            	       0        3        0        0        3
49744 openjdk-22-jre                     	       0        3        0        0        3
49745 openjdk-22-jre-headless            	       0        3        3        0        0
49746 openjdk-23-demo                    	       0        1        0        0        1
49747 openjdk-23-jdk                     	       0        3        1        0        2
49748 openjdk-23-jdk-headless            	       0        3        0        0        3
49749 openjdk-23-jre                     	       0        4        0        0        4
49750 openjdk-23-jre-headless            	       0        4        4        0        0
49751 openjdk-23-source                  	       0        1        1        0        0
49752 openjdk-24-dbg                     	       0        1        1        0        0
49753 openjdk-24-demo                    	       0        1        0        0        1
49754 openjdk-24-doc                     	       0        1        0        0        1
49755 openjdk-24-jdk                     	       0        2        1        0        1
49756 openjdk-24-jdk-headless            	       0        2        0        0        2
49757 openjdk-24-jre                     	       0        3        0        0        3
49758 openjdk-24-jre-headless            	       0        3        2        1        0
49759 openjdk-24-jre-zero                	       0        1        0        0        1
49760 openjdk-24-source                  	       0        1        1        0        0
49761 openjdk-7-doc                      	       0        3        0        0        3
49762 openjdk-7-jdk                      	       0        1        0        0        1
49763 openjdk-7-jre                      	       0       16        0        0       16
49764 openjdk-7-jre-lib                  	       0        5        0        0        5
49765 openjdk-8-demo                     	       0        2        0        0        2
49766 openjdk-8-doc                      	       0        5        0        0        5
49767 openjdk-8-jdk                      	       0       41        0        0       41
49768 openjdk-8-jdk-headless             	       0       44        1        0       43
49769 openjdk-8-jre                      	       0      175        0        0      175
49770 openjdk-8-jre-zero                 	       0        1        0        0        1
49771 openjdk-8-source                   	       0        2        2        0        0
49772 openjdk-9-jdk                      	       0        1        0        0        1
49773 openjdk-9-jdk-headless             	       0        1        0        0        1
49774 openjdk-9-jre                      	       0        2        0        0        2
49775 openjdk-9-jre-headless             	       0        5        5        0        0
49776 openjdk8-adoptopenjdk8-virtual     	       0        1        0        0        1
49777 openjfx                            	       0      103        0        0      103
49778 openjfx-source                     	       0       86        0        0       86
49779 openjpeg-doc                       	       0        1        0        0        1
49780 openlogic-openjdk-8-hotspot        	       0        1        1        0        0
49781 openlogic-openjdk-8-hotspot-jre    	       0        1        1        0        0
49782 openlp                             	       0        5        4        1        0
49783 openlugaru                         	       0        1        1        0        0
49784 openlugaru-data                    	       0        1        0        0        1
49785 openmodelica                       	       0        1        0        0        1
49786 openmotif                          	       0        1        0        0        1
49787 openmp-extras-dev                  	       0        3        2        1        0
49788 openmp-extras-runtime              	       0       15       13        2        0
49789 openmpi-common                     	       0      196        0        0      196
49790 openmpi-doc                        	       0        7        0        0        7
49791 openmpt123                         	       0        3        3        0        0
49792 openmsx                            	       0       11       10        1        0
49793 openmsx-catapult                   	       0        7        7        0        0
49794 openmsx-data                       	       0       11        0        0       11
49795 openmsx-debugger                   	       0        4        4        0        0
49796 openmw-cs-dbgsym                   	       0        1        1        0        0
49797 openmw-data                        	       0       14        0        0       14
49798 openmw-dbgsym                      	       0        1        1        0        0
49799 openmw-launcher-dbgsym             	       0        1        1        0        0
49800 openmx                             	       0        1        1        0        0
49801 openmx-data                        	       0        1        0        0        1
49802 opennebula                         	       0        1        1        0        0
49803 opennebula-common                  	       0        1        1        0        0
49804 opennebula-common-onescape         	       0        1        0        0        1
49805 opennebula-flow                    	       0        1        1        0        0
49806 opennebula-gate                    	       0        1        1        0        0
49807 opennebula-migration               	       0        1        1        0        0
49808 opennebula-rubygems                	       0        1        1        0        0
49809 opennebula-sunstone                	       0        1        1        0        0
49810 opennebula-tools                   	       0        1        1        0        0
49811 openni-doc                         	       0        1        0        0        1
49812 openni-utils                       	       0        5        5        0        0
49813 openni2-doc                        	       0        2        0        0        2
49814 openni2-utils                      	       0        4        4        0        0
49815 opennlp                            	       0        1        1        0        0
49816 openocd                            	       0       38       38        0        0
49817 openoffice                         	       0       15        3        0       12
49818 openoffice-base                    	       0       14        0        0       14
49819 openoffice-brand-base              	       0       14        0        0       14
49820 openoffice-brand-calc              	       0       14        0        0       14
49821 openoffice-brand-de                	       0        1        0        0        1
49822 openoffice-brand-draw              	       0       14        0        0       14
49823 openoffice-brand-en-gb             	       0        3        0        0        3
49824 openoffice-brand-en-us             	       0        7        0        0        7
49825 openoffice-brand-es                	       0        2        0        0        2
49826 openoffice-brand-impress           	       0       14        0        0       14
49827 openoffice-brand-math              	       0       12        0        0       12
49828 openoffice-brand-writer            	       0       14        0        0       14
49829 openoffice-calc                    	       0       14        2        0       12
49830 openoffice-core01                  	       0       15        3        0       12
49831 openoffice-core02                  	       0       15        3        0       12
49832 openoffice-core03                  	       0       15        3        0       12
49833 openoffice-core04                  	       0       15        3        0       12
49834 openoffice-core05                  	       0       15        3        0       12
49835 openoffice-core06                  	       0       15        0        0       15
49836 openoffice-core07                  	       0       15        0        0       15
49837 openoffice-de                      	       0        1        0        0        1
49838 openoffice-de-base                 	       0        1        0        0        1
49839 openoffice-de-calc                 	       0        1        0        0        1
49840 openoffice-de-draw                 	       0        1        0        0        1
49841 openoffice-de-help                 	       0        1        0        0        1
49842 openoffice-de-impress              	       0        1        0        0        1
49843 openoffice-de-math                 	       0        1        0        0        1
49844 openoffice-de-res                  	       0        1        0        0        1
49845 openoffice-de-writer               	       0        1        0        0        1
49846 openoffice-debian-menus            	       0       12       12        0        0
49847 openoffice-draw                    	       0       14        0        0       14
49848 openoffice-en-gb                   	       0        3        0        0        3
49849 openoffice-en-gb-base              	       0        3        0        0        3
49850 openoffice-en-gb-calc              	       0        3        0        0        3
49851 openoffice-en-gb-draw              	       0        3        0        0        3
49852 openoffice-en-gb-help              	       0        3        0        0        3
49853 openoffice-en-gb-impress           	       0        3        0        0        3
49854 openoffice-en-gb-math              	       0        3        0        0        3
49855 openoffice-en-gb-res               	       0        3        0        0        3
49856 openoffice-en-gb-writer            	       0        3        0        0        3
49857 openoffice-en-us                   	       0        8        0        0        8
49858 openoffice-en-us-base              	       0        8        0        0        8
49859 openoffice-en-us-calc              	       0        8        0        0        8
49860 openoffice-en-us-draw              	       0        8        0        0        8
49861 openoffice-en-us-help              	       0        8        0        0        8
49862 openoffice-en-us-impress           	       0        8        0        0        8
49863 openoffice-en-us-math              	       0        8        0        0        8
49864 openoffice-en-us-res               	       0        8        0        0        8
49865 openoffice-en-us-writer            	       0        8        0        0        8
49866 openoffice-es                      	       0        2        0        0        2
49867 openoffice-es-base                 	       0        2        0        0        2
49868 openoffice-es-calc                 	       0        2        0        0        2
49869 openoffice-es-draw                 	       0        2        0        0        2
49870 openoffice-es-help                 	       0        2        0        0        2
49871 openoffice-es-impress              	       0        2        0        0        2
49872 openoffice-es-math                 	       0        2        0        0        2
49873 openoffice-es-res                  	       0        2        0        0        2
49874 openoffice-es-writer               	       0        2        0        0        2
49875 openoffice-fr                      	       0        1        0        0        1
49876 openoffice-fr-writer               	       0        1        0        0        1
49877 openoffice-gnome-integration       	       0       11        0        0       11
49878 openoffice-graphicfilter           	       0       14        0        0       14
49879 openoffice-images                  	       0       15        0        0       15
49880 openoffice-impress                 	       0       14        0        0       14
49881 openoffice-javafilter              	       0       12        0        0       12
49882 openoffice-math                    	       0       12        0        0       12
49883 openoffice-ogltrans                	       0       12        0        0       12
49884 openoffice-onlineupdate            	       0       12        3        0        9
49885 openoffice-ooofonts                	       0       14        0        0       14
49886 openoffice-ooolinguistic           	       0       13        2        0       11
49887 openoffice-pyuno                   	       0       14       14        0        0
49888 openoffice-ure                     	       0       15        3        0       12
49889 openoffice-writer                  	       0       14        2        0       12
49890 openoffice-xsltfilter              	       0       14        0        0       14
49891 openoffice.org                     	       0        3        0        0        3
49892 openoffice.org-base                	       0        1        1        0        0
49893 openoffice.org-base-core           	       0        1        1        0        0
49894 openoffice.org-calc                	       0        3        3        0        0
49895 openoffice.org-common              	       0        5        5        0        0
49896 openoffice.org-core                	       0        1        1        0        0
49897 openoffice.org-draw                	       0        4        4        0        0
49898 openoffice.org-dtd-officedocument1.0	       0        3        3        0        0
49899 openoffice.org-emailmerge          	       0        1        0        0        1
49900 openoffice.org-evolution           	       0        1        0        0        1
49901 openoffice.org-filter-binfilter    	       0        1        1        0        0
49902 openoffice.org-filter-mobiledev    	       0        1        1        0        0
49903 openoffice.org-gcj                 	       0        1        1        0        0
49904 openoffice.org-gnome               	       0        1        0        0        1
49905 openoffice.org-gtk                 	       0        1        1        0        0
49906 openoffice.org-headless            	       0        1        1        0        0
49907 openoffice.org-help-en-gb          	       0        2        1        0        1
49908 openoffice.org-help-en-us          	       0        4        1        0        3
49909 openoffice.org-help-ru             	       0        1        0        0        1
49910 openoffice.org-hyphenation-de      	       0        1        0        0        1
49911 openoffice.org-hyphenation-en-us   	       0        2        0        0        2
49912 openoffice.org-hyphenation-fr      	       0        1        0        0        1
49913 openoffice.org-impress             	       0        4        4        0        0
49914 openoffice.org-java-common         	       0        5        1        0        4
49915 openoffice.org-kde                 	       0        1        1        0        0
49916 openoffice.org-l10n-de             	       0        2        0        0        2
49917 openoffice.org-l10n-en-gb          	       0        1        1        0        0
49918 openoffice.org-l10n-ru             	       0        1        0        0        1
49919 openoffice.org-l10n-sv             	       0        1        0        0        1
49920 openoffice.org-math                	       0        3        3        0        0
49921 openoffice.org-officebean          	       0        1        1        0        0
49922 openoffice.org-report-builder-bin  	       0        1        1        0        0
49923 openoffice.org-style-andromeda     	       0        1        1        0        0
49924 openoffice.org-style-crystal       	       0        1        1        0        0
49925 openoffice.org-style-tango         	       0        1        1        0        0
49926 openoffice.org-thesaurus-en-us     	       0        3        0        0        3
49927 openoffice.org-ure                 	       0        1        0        0        1
49928 openoffice.org-writer              	       0        5        5        0        0
49929 openoffice.org-writer2latex        	       0        1        1        0        0
49930 openoffice.org3                    	       0        1        0        0        1
49931 openoffice.org3-base               	       0        1        0        0        1
49932 openoffice.org3-calc               	       0        1        0        0        1
49933 openoffice.org3-dict-de            	       0        1        0        0        1
49934 openoffice.org3-dict-en            	       0        1        0        0        1
49935 openoffice.org3-dict-ru            	       0        1        0        0        1
49936 openoffice.org3-dict-uk            	       0        1        0        0        1
49937 openoffice.org3-draw               	       0        1        0        0        1
49938 openoffice.org3-impress            	       0        1        0        0        1
49939 openoffice.org3-math               	       0        1        0        0        1
49940 openoffice.org3-ru                 	       0        1        0        0        1
49941 openoffice.org3-writer             	       0        1        0        0        1
49942 openorienteering-mapper            	       0        1        1        0        0
49943 openpaperwork-core                 	       0       12       11        1        0
49944 openpaperwork-core-doc             	       0        1        0        0        1
49945 openpaperwork-gtk                  	       0       12       11        1        0
49946 openpaperwork-gtk-doc              	       0        1        0        0        1
49947 openpgp-applet                     	       0        1        1        0        0
49948 openprinting-ppds-postscript-epson 	       0        1        0        0        1
49949 openprinting-ppds-postscript-kyocera	       0        1        0        0        1
49950 openprinting-ppds-postscript-ricoh 	       0        1        0        0        1
49951 openprinting-ppds-postscript-xerox 	       0        1        0        0        1
49952 openprinting-ppds-pxlcolor-ricoh   	       0        1        0        0        1
49953 openprinting-ppds-pxlmono-samsung  	       0        2        0        0        2
49954 openproject                        	       0        3        3        0        0
49955 openra                             	       0        3        3        0        0
49956 openrazer-daemon                   	       0        2        2        0        0
49957 openrazer-meta                     	       0        1        0        0        1
49958 openrct2                           	       0        4        4        0        0
49959 openrct2-data                      	       0        4        0        0        4
49960 openrct2-objects                   	       0        4        0        0        4
49961 openrct2-openmsx                   	       0        1        0        0        1
49962 openrct2-opensfx                   	       0        1        0        0        1
49963 openrct2-title-sequences           	       0        4        0        0        4
49964 openrefine                         	       0        2        2        0        0
49965 openresty                          	       0        1        1        0        0
49966 openresty-openssl3                 	       0        1        1        0        0
49967 openresty-opm                      	       0        1        1        0        0
49968 openresty-pcre2                    	       0        1        1        0        0
49969 openresty-resty                    	       0        1        1        0        0
49970 openresty-zlib                     	       0        1        1        0        0
49971 openrgb                            	       0       14       14        0        0
49972 openrocket                         	       0        1        1        0        0
49973 opensaml-tools                     	       0        1        1        0        0
49974 opensbi                            	       0       22        0        0       22
49975 openscad-dbgsym                    	       0        1        1        0        0
49976 openscad-mcad                      	       0       74        0        0       74
49977 openscad-testing                   	       0        3        3        0        0
49978 openscad-testing-data              	       0        6        0        0        6
49979 openscap-common                    	       0        1        0        0        1
49980 openscap-scanner                   	       0        1        1        0        0
49981 openscap-utils                     	       0        1        1        0        0
49982 opense-basic                       	       0       12        0        0       12
49983 openseachest                       	       0        4        4        0        0
49984 openshift-imagebuilder             	       0        1        1        0        0
49985 openshot                           	       0       57        3        0       54
49986 openshot-doc                       	       0        9        0        0        9
49987 openshot-qt-doc                    	       0       22        0        0       22
49988 openslide-tools                    	       0        3        3        0        0
49989 opensmtpd-extras                   	       0        3        0        0        3
49990 opensmtpd-filter-dkimsign          	       0        1        1        0        0
49991 opensmtpd-filter-rspamd            	       0        1        1        0        0
49992 opensnitch                         	       0        6        6        0        0
49993 opensong                           	       0        1        0        0        1
49994 openspades                         	       0        1        0        0        1
49995 openssh-blacklist                  	       0       31        0        0       31
49996 openssh-blacklist-extra            	       0       26        0        0       26
49997 openssh-client-gssapi              	       0       12        0        0       12
49998 openssh-client-ssh1                	       0        5        5        0        0
49999 openssh-server-gssapi              	       0       12        0        0       12
50000 openssh-tests                      	       0        1        1        0        0
50001 openssl-1.1.1.orig                 	       0        1        0        0        1
50002 openssl-blacklist-extra            	       0        6        0        0        6
50003 openssl-build-deps                 	       0        1        0        0        1
50004 openssl-dbgsym                     	       0        1        1        0        0
50005 openssn                            	       0        3        3        0        0
50006 openssn-data                       	       0        3        0        0        3
50007 opensta                            	       0        4        4        0        0
50008 openstack-dashboard                	       0        1        0        0        1
50009 openstack-dashboard-apache         	       0        1        0        0        1
50010 openstack-debian-images            	       0        1        1        0        0
50011 openstack-deploy                   	       0        1        1        0        0
50012 openstack-pkg-tools                	       0        2        2        0        0
50013 openstereogram                     	       0        1        1        0        0
50014 openstreetmap-map-icons-classic    	       0        2        0        0        2
50015 openstreetmap-map-icons-scalable   	       0        1        0        0        1
50016 openstreetmap-map-icons-square     	       0        2        0        0        2
50017 openswan                           	       0        1        1        0        0
50018 opensync-plugin-irmc               	       0        1        1        0        0
50019 opentabletdriver                   	       0        1        1        0        0
50020 openthesaurus-de-text              	       0        1        0        0        1
50021 opentmpfiles                       	       0        1        1        0        0
50022 opentracker                        	       0        1        1        0        0
50023 openttd-data                       	       0       48        0        0       48
50024 openttd-opengfx                    	       0       46        0        0       46
50025 openttd-openmsx                    	       0       46        0        0       46
50026 openttd-opensfx                    	       0       41        0        0       41
50027 openturns-common                   	       0        3        0        0        3
50028 opentyrian                         	       0        2        2        0        0
50029 openuniverse                       	       0        8        8        0        0
50030 openuniverse-common                	       0        9        0        0        9
50031 openvas-cli                        	       0        1        1        0        0
50032 openvas-manager                    	       0        1        1        0        0
50033 openvas-manager-common             	       0        1        1        0        0
50034 openvas-scanner                    	       0        2        2        0        0
50035 openvpn-auth-ldap                  	       0        4        3        1        0
50036 openvpn-auth-radius                	       0        6        5        1        0
50037 openvpn-blacklist                  	       0        3        3        0        0
50038 openvpn-dbgsym                     	       0        2        2        0        0
50039 openvpn-dco-dkms                   	       0        9        8        1        0
50040 openvpn-nl                         	       0        1        1        0        0
50041 openvswitch-common                 	       0        4        4        0        0
50042 openvswitch-datapath-source        	       0        1        0        0        1
50043 openvswitch-ipsec                  	       0        1        1        0        0
50044 openvswitch-switch                 	       0        4        4        0        0
50045 openwebrx                          	       0        1        1        0        0
50046 openwince-include                  	       0        1        1        0        0
50047 openwince-jtag                     	       0        2        2        0        0
50048 openyahtzee                        	       0        4        4        0        0
50049 opera                              	       0        2        2        0        0
50050 opera-beta                         	       0        3        3        0        0
50051 opera-developer                    	       0        1        1        0        0
50052 opera-legacy                       	       0        1        1        0        0
50053 opgpcard                           	       0        3        3        0        0
50054 ophcrack                           	       0       13       13        0        0
50055 opie-client                        	       0        1        1        0        0
50056 opie-server                        	       0        1        1        0        0
50057 opl3-soundfont                     	       0       15        0        0       15
50058 oprofile                           	       0        1        1        0        0
50059 opt                                	       0        4        4        0        0
50060 opticalraytracer                   	       0        3        3        0        0
50061 opus-tools                         	       0       90       90        0        0
50062 opuscomment                        	       0        1        1        0        0
50063 opusfile-build-deps-depends        	       0        1        0        0        1
50064 opustags                           	       0        1        1        0        0
50065 ora2pg                             	       0        4        4        0        0
50066 oracle-instantclient11.2-basic     	       0        1        1        0        0
50067 oracle-instantclient11.2-devel     	       0        1        1        0        0
50068 oracle-instantclient11.2-sqlplus   	       0        1        1        0        0
50069 oracle-j2sdk1.7                    	       0        2        2        0        0
50070 oracle-java6-jdk                   	       0        1        1        0        0
50071 oracle-java7-installer             	       0        1        0        0        1
50072 oracle-java7-jdk                   	       0        1        1        0        0
50073 oracle-java7-jre                   	       0        1        1        0        0
50074 oracle-java8-doc                   	       0        1        0        0        1
50075 oracle-java8-installer             	       0        3        0        0        3
50076 oracle-java8-jdk                   	       0        3        3        0        0
50077 oracle-java8-jre                   	       0        3        3        0        0
50078 oracle-java8-set-default           	       0        3        0        0        3
50079 orange                             	       0        2        2        0        0
50080 orbit2                             	       0        7        7        0        0
50081 orbital-eunuchs-sniper             	       0        2        2        0        0
50082 orbital-eunuchs-sniper-data        	       0        2        0        0        2
50083 orca-sops                          	       0        1        1        0        0
50084 orchis-gtk-theme                   	       0       15        0        0       15
50085 oregano                            	       0       17       17        0        0
50086 org-mode                           	       0       13        0        0       13
50087 org-mode-doc                       	       0       10        0        0       10
50088 org-roam-doc                       	       0        2        0        0        2
50089 organize                           	       0        1        1        0        0
50090 origami-pdf                        	       0        4        4        0        0
50091 original-awk                       	       0       13       13        0        0
50092 oroborus                           	       0        5        5        0        0
50093 orosound-link                      	       0        1        1        0        0
50094 orpie                              	       0       10       10        0        0
50095 orthanc                            	       0        1        1        0        0
50096 orthanc-wsi                        	       0        2        2        0        0
50097 os-brick-common                    	       0        2        0        0        2
50098 os-uninstaller                     	       0        1        1        0        0
50099 os8                                	       0        1        1        0        0
50100 osc                                	       0        6        6        0        0
50101 oscar                              	       0        1        1        0        0
50102 osdclock                           	       0        8        8        0        0
50103 osdsh                              	       0        6        6        0        0
50104 oselas.toolchain-2016.06.1-i586-unknown-linux-gnu-gcc-5.4.0-glibc-2.23-binutils-2.26-kernel-4.6-sanitized	       0        1        1        0        0
50105 osgearth-data                      	       0        1        0        0        1
50106 osinfo-db                          	       0      620        0        0      620
50107 osinfo-db-tools                    	       0        6        6        0        0
50108 osk-sdl                            	       0        1        1        0        0
50109 osm2pgrouting                      	       0        1        1        0        0
50110 osm2pgsql                          	       0        6        6        0        0
50111 osmcoastline                       	       0        2        2        0        0
50112 osmctools                          	       0        4        4        0        0
50113 osmid                              	       0        3        3        0        0
50114 osmium-tool                        	       0        4        4        0        0
50115 osmo                               	       0       15       15        0        0
50116 osmo-fl2k                          	       0        1        1        0        0
50117 osmo-sdr                           	       0        7        7        0        0
50118 osmos                              	       0        2        2        0        0
50119 osmosis                            	       0        3        3        0        0
50120 osmpbf-bin                         	       0        2        2        0        0
50121 ospd-openvas                       	       0        1        1        0        0
50122 ospics                             	       0        2        0        0        2
50123 osquery                            	       0        2        2        0        0
50124 oss-preserve                       	       0        1        1        0        0
50125 oss4-dev                           	       0        2        2        0        0
50126 ossec-hids-agent                   	       0        1        0        0        1
50127 ossec-hids-server                  	       0        1        0        0        1
50128 ossim-core                         	       0        1        1        0        0
50129 osslsigncode                       	       0        4        4        0        0
50130 osspd-alsa                         	       0        3        2        1        0
50131 ostree                             	       0       18       18        0        0
50132 otb-bin                            	       0        2        2        0        0
50133 otb-bin-qt                         	       0        2        2        0        0
50134 otb-i18n                           	       0        2        0        0        2
50135 otb-qgis                           	       0        2        2        0        0
50136 otb-testdriver                     	       0        3        3        0        0
50137 otf-freefont                       	       0        4        0        0        4
50138 otf-ipaexfont                      	       0        1        0        0        1
50139 otf-ipaexfont-gothic               	       0        1        0        0        1
50140 otf-ipaexfont-mincho               	       0        1        0        0        1
50141 otf-ipafont                        	       0        1        0        0        1
50142 otf-ipafont-gothic                 	       0        1        0        0        1
50143 otf-ipafont-mincho                 	       0        1        0        0        1
50144 otf-stix                           	       0        2        0        0        2
50145 otf-symbols-circos                 	       0        1        0        0        1
50146 otf-thai-tlwg                      	       0        1        1        0        0
50147 otf2bdf                            	       0        3        3        0        0
50148 othman                             	       0        1        1        0        0
50149 otpw-bin                           	       0        1        1        0        0
50150 ots                                	       0        1        1        0        0
50151 otter-browser                      	       0        5        5        0        0
50152 out-of-order                       	       0        4        4        0        0
50153 overgod                            	       0        3        3        0        0
50154 overgod-data                       	       0        3        0        0        3
50155 overlay-boot                       	       0        5        5        0        0
50156 ovftool                            	       0        1        1        0        0
50157 ovh-rtm-metrics-toolkit            	       0        2        0        0        2
50158 ovmf                               	       0      543        0        0      543
50159 ovmf-ia32                          	       0        3        0        0        3
50160 ovtr                               	       0        1        0        0        1
50161 ow-shell                           	       0        1        1        0        0
50162 owfs                               	       0        3        0        0        3
50163 owfs-common                        	       0       14        0        0       14
50164 owfs-fuse                          	       0        5        5        0        0
50165 owftpd                             	       0        3        3        0        0
50166 owhttpd                            	       0        3        3        0        0
50167 owncloud                           	       0        1        0        0        1
50168 owncloud-client-cmd                	       0        2        2        0        0
50169 owncloud-client-data               	       0        1        0        0        1
50170 owncloud-client-doc                	       0        1        0        0        1
50171 owncloud-client-dolphin            	       0        3        1        0        2
50172 owncloud-client-l10n               	       0        2        0        0        2
50173 owncloud-client-overlays-icons     	       0        3        0        0        3
50174 owncloud-deps-php5                 	       0        1        0        0        1
50175 owncloud-files                     	       0        2        1        0        1
50176 owrx-connector                     	       0        1        0        0        1
50177 owserver                           	       0        7        7        0        0
50178 oxefmsynth                         	       0        1        1        0        0
50179 oxen-electron-wallet               	       0        1        1        0        0
50180 oxref                              	       0        2        2        0        0
50181 oxygen-icon-theme                  	       0      480        0        0      480
50182 oxygen-sounds                      	       0      565        0        0      565
50183 oxygencursors                      	       0       35        0        0       35
50184 oz                                 	       0        2        2        0        0
50185 p0f                                	       0       12       12        0        0
50186 p11-kit-doc                        	       0        7        0        0        7
50187 pacemaker-common                   	       0       11        0        0       11
50188 pachi                              	       0        2        2        0        0
50189 pachi-data                         	       0        2        0        0        2
50190 pack-cli                           	       0        1        1        0        0
50191 packagekit-backend-aptcc           	       0        1        0        0        1
50192 packagekit-command-not-found       	       0        3        1        0        2
50193 packagekit-docs                    	       0        1        0        0        1
50194 packagekit-gtk3-module             	       0        6        0        0        6
50195 packagekit-gtk3-module-dbgsym      	       0        3        3        0        0
50196 packages-microsoft-prod            	       0       35        0        0       35
50197 packagesearch                      	       0       11       10        1        0
50198 packaging-dev                      	       0        9        0        0        9
50199 packaging-tutorial                 	       0        8        0        0        8
50200 packer                             	       0        6        6        0        0
50201 packeth                            	       0       12       12        0        0
50202 packetsender                       	       0       11       11        0        0
50203 packettracer                       	       0       11       10        0        1
50204 pacman.c                           	       0        1        1        0        0
50205 paconvert                          	       0        1        0        1        0
50206 pacpl                              	       0       13       13        0        0
50207 padevchooser                       	       0        1        1        0        0
50208 padre                              	       0        1        1        0        0
50209 pads                               	       0        3        3        0        0
50210 padthv1-common                     	       0        1        0        0        1
50211 padthv1-lv2                        	       0        1        1        0        0
50212 paexec                             	       0        1        1        0        0
50213 page-crunch                        	       0        8        8        0        0
50214 pageedit                           	       0        6        6        0        0
50215 pagein                             	       0        1        1        0        0
50216 pagekite                           	       0        1        1        0        0
50217 pagemon                            	       0        2        2        0        0
50218 pagetools                          	       0        4        4        0        0
50219 pairs                              	       0        1        1        0        0
50220 pajackconnect                      	       0        1        1        0        0
50221 paje.app                           	       0        1        0        1        0
50222 pakcs                              	       0        2        2        0        0
50223 paketto                            	       0        1        1        0        0
50224 pal                                	       0        4        4        0        0
50225 pal2nal                            	       0        2        2        0        0
50226 palapeli                           	       0       64       61        3        0
50227 palapeli-data                      	       0       65        0        0       65
50228 palbart                            	       0        1        1        0        0
50229 palemoon-nonsse2                   	       0        1        1        0        0
50230 palp                               	       0       12       12        0        0
50231 pam-dbus-notify                    	       0        1        0        0        1
50232 pam-mkhomedir                      	       0        5        0        0        5
50233 pam-zfs-key                        	       0        1        1        0        0
50234 paman                              	       0       17       17        0        0
50235 paml                               	       0        2        2        0        0
50236 pampi                              	       0        2        2        0        0
50237 pamtester                          	       0        7        7        0        0
50238 pamu2fcfg                          	       0        7        7        0        0
50239 pan                                	       0       20       19        1        0
50240 pandoc-citeproc                    	       0        8        8        0        0
50241 pandoc-citeproc-preamble           	       0        5        5        0        0
50242 pandoc-data                        	       0      298        0        0      298
50243 pandoc-filter-diagram              	       0        1        1        0        0
50244 pandoc-plantuml-filter             	       0        6        6        0        0
50245 pandoc-sidenote                    	       0        5        5        0        0
50246 pango1.0-tests                     	       0        1        1        0        0
50247 pangoterm                          	       0        2        2        0        0
50248 pangzero                           	       0        3        3        0        0
50249 pantum                             	       0        2        2        0        0
50250 papaya                             	       0        1        1        0        0
50251 paper-icon-theme                   	       0       33        0        0       33
50252 papers-common                      	       0        1        0        0        1
50253 paperwork-backend                  	       0       14       13        1        0
50254 paperwork-backend-doc              	       0        3        0        0        3
50255 paperwork-gtk                      	       0       14       13        1        0
50256 paperwork-gtk-l10n-de              	       0        4        0        0        4
50257 paperwork-gtk-l10n-en              	       0        3        0        0        3
50258 paperwork-gtk-l10n-es              	       0        2        0        0        2
50259 paperwork-gtk-l10n-fr              	       0        2        0        0        2
50260 paperwork-gtk-l10n-uk              	       0        1        0        0        1
50261 paperwork-shell                    	       0        2        2        0        0
50262 papirus-icon-theme                 	       0      344        0        0      344
50263 paprefs                            	       0       54       52        2        0
50264 paps                               	       0        9        8        1        0
50265 paq8                               	       0        1        1        0        0
50266 paq9                               	       0        1        1        0        0
50267 par                                	       0       12       12        0        0
50268 paraclu                            	       0        2        2        0        0
50269 parafly                            	       0        1        1        0        0
50270 paranoia                           	       0        1        1        0        0
50271 paratext-resources-8.0             	       0        1        1        0        0
50272 paraview                           	       0        3        3        0        0
50273 paraview-doc                       	       0        5        0        0        5
50274 parchives                          	       0        6        0        0        6
50275 parcimonie                         	       0        3        3        0        0
50276 pari-doc                           	       0       15       15        0        0
50277 pari-elldata                       	       0       14        0        0       14
50278 pari-galdata                       	       0       15        0        0       15
50279 pari-galpol                        	       0       11        0        0       11
50280 pari-gp                            	       0       17       17        0        0
50281 pari-gp2c                          	       0       10       10        0        0
50282 pari-seadata                       	       0       15        0        0       15
50283 parlatype                          	       0        3        3        0        0
50284 parlatype-common                   	       0        1        0        0        1
50285 parley                             	       0       51       49        2        0
50286 parley-data                        	       0       52        0        0       52
50287 parmetis-doc                       	       0        2        0        0        2
50288 parmetis-test                      	       0        1        1        0        0
50289 parole-dev                         	       0        1        1        0        0
50290 parolottero                        	       0        4        4        0        0
50291 parolottero-data-sv                	       0        3        0        0        3
50292 parolottero-data-us                	       0        1        0        0        1
50293 parprouted                         	       0        3        3        0        0
50294 parsec                             	       0        2        2        0        0
50295 parsec47-data                      	       0        5        0        0        5
50296 parsero                            	       0        1        1        0        0
50297 parsinsert                         	       0        1        1        0        0
50298 partclone-utils                    	       0        1        1        0        0
50299 parted-doc                         	       0       30        0        0       30
50300 partimage-doc                      	       0        6        0        0        6
50301 partimage-server                   	       0        3        3        0        0
50302 partlibrary                        	       0        1        0        0        1
50303 pasdoc                             	       0        2        2        0        0
50304 pasmo                              	       0        5        5        0        0
50305 pass-extension-otp                 	       0       15        2        0       13
50306 pass-extension-tail                	       0        2        2        0        0
50307 pass-extension-tomb                	       0        2        2        0        0
50308 pass-extension-update              	       0        1        1        0        0
50309 pass-git-helper                    	       0        1        1        0        0
50310 pass-otp                           	       0       24       23        1        0
50311 passage                            	       0        1        1        0        0
50312 passenger-doc                      	       0        3        0        0        3
50313 passepartout                       	       0        1        1        0        0
50314 passt                              	       0       33       31        2        0
50315 passwdqc                           	       0        6        5        1        0
50316 passwin                            	       0        2        0        0        2
50317 passwordgenerator-stt              	       0        1        1        0        0
50318 passwordmaker-cli                  	       0        3        3        0        0
50319 passwordsafe-common                	       0       22        0        0       22
50320 patat                              	       0        2        2        0        0
50321 patchage                           	       0       10       10        0        0
50322 patchelf                           	       0       32       32        0        0
50323 pathogen                           	       0       11       11        0        0
50324 patman                             	       0        1        1        0        0
50325 patroneo                           	       0        1        1        0        0
50326 paul                               	       0        1        1        0        0
50327 paulstretch                        	       0        2        2        0        0
50328 pavucontrol-qt-l10n                	       0      186        0        0      186
50329 pavuk                              	       0        3        3        0        0
50330 pavumeter                          	       0       82       79        3        0
50331 paw++                              	       0        1        1        0        0
50332 paw-common                         	       0        1        1        0        0
50333 paw-demos                          	       0        1        1        0        0
50334 pax-britannica                     	       0        8        8        0        0
50335 pax-britannica-data                	       0        8        0        0        8
50336 paxctl                             	       0        1        1        0        0
50337 pbbamtools                         	       0        1        1        0        0
50338 pbis-open                          	       0        1        1        0        0
50339 pbis-open-upgrade                  	       0        1        0        0        1
50340 pbskids-dl                         	       0        1        1        0        0
50341 pbskids-dl-keyring                 	       0        1        0        0        1
50342 pcal                               	       0       11       11        0        0
50343 pcalendar                          	       0        1        1        0        0
50344 pcb                                	       0       21        0        0       21
50345 pcb-common                         	       0       23        0        0       23
50346 pcb-gtk                            	       0       23       23        0        0
50347 pcb-lesstif                        	       0        3        3        0        0
50348 pcb-rnd                            	       0       16        1        0       15
50349 pcb-rnd-auto                       	       0       15       15        0        0
50350 pcb-rnd-cloud                      	       0       15       15        0        0
50351 pcb-rnd-core                       	       0       15       15        0        0
50352 pcb-rnd-doc                        	       0       16       10        0        6
50353 pcb-rnd-export                     	       0       15       15        0        0
50354 pcb-rnd-export-extra               	       0       15       15        0        0
50355 pcb-rnd-export-sim                 	       0       15       15        0        0
50356 pcb-rnd-extra                      	       0       15       15        0        0
50357 pcb-rnd-hid-gtk2-gdk               	       0        3        3        0        0
50358 pcb-rnd-hid-gtk2-gl                	       0        3        3        0        0
50359 pcb-rnd-import-geo                 	       0        2        2        0        0
50360 pcb-rnd-import-net                 	       0       15       15        0        0
50361 pcb-rnd-io-alien                   	       0       15       15        0        0
50362 pcb-rnd-io-standard                	       0       15       15        0        0
50363 pcb-rnd-lib-gl                     	       0        3        3        0        0
50364 pcb-rnd-lib-gtk                    	       0        3        3        0        0
50365 pcb-rnd-lib-gui                    	       0       15       15        0        0
50366 pcb-rnd-lib-io                     	       0       15       15        0        0
50367 pcb2gcode                          	       0        4        4        0        0
50368 pccts                              	       0        1        1        0        0
50369 pcf2bdf                            	       0       28       28        0        0
50370 pchar                              	       0        4        4        0        0
50371 pci.ids                            	       0     3647        0        0     3647
50372 pcm                                	       0        3        3        0        0
50373 pcmanfm-dbg                        	       0        1        0        0        1
50374 pcmanfm-dbgsym                     	       0        1        1        0        0
50375 pconf-detect                       	       0        3        3        0        0
50376 pconsole                           	       0        3        3        0        0
50377 pcp                                	       0        6        6        0        0
50378 pcp-conf                           	       0        7        6        0        1
50379 pcp-doc                            	       0        1        0        0        1
50380 pcp-gui                            	       0        2        2        0        0
50381 pcre2-utils                        	       0        5        5        0        0
50382 pcregrep                           	       0       11       11        0        0
50383 pcs                                	       0        6        6        0        0
50384 pcsc-lite                          	       0        1        1        0        0
50385 pcsc-tools                         	       0       52       51        1        0
50386 pcsx2                              	       0        2        2        0        0
50387 pct-scanner-scripts                	       0        3        3        0        0
50388 pcvatfreebridge                    	       0        1        1        0        0
50389 pd                                 	       0        2        2        0        0
50390 pd-3dp                             	       0        2        2        0        0
50391 pd-ableton-link                    	       0        5        5        0        0
50392 pd-ambix                           	       0        3        3        0        0
50393 pd-arraysize                       	       0        2        2        0        0
50394 pd-autopreset                      	       0        3        3        0        0
50395 pd-bassemu                         	       0        3        3        0        0
50396 pd-beatpipe                        	       0        3        3        0        0
50397 pd-boids                           	       0        3        3        0        0
50398 pd-bsaylor                         	       0        2        2        0        0
50399 pd-chaos                           	       0        2        2        0        0
50400 pd-cmos                            	       0        2        2        0        0
50401 pd-comport                         	       0        5        5        0        0
50402 pd-creb                            	       0        2        2        0        0
50403 pd-csound                          	       0        3        3        0        0
50404 pd-cxc                             	       0        2        2        0        0
50405 pd-cyclone                         	       0        7        7        0        0
50406 pd-deken                           	       0        3        3        0        0
50407 pd-deken-apt                       	       0        3        0        0        3
50408 pd-earplug                         	       0        2        2        0        0
50409 pd-ekext                           	       0        2        2        0        0
50410 pd-ext13                           	       0        2        2        0        0
50411 pd-extendedview                    	       0        4        4        0        0
50412 pd-fftease                         	       0        2        2        0        0
50413 pd-flext-dev                       	       0        4        4        0        0
50414 pd-flext-doc                       	       0        5        0        0        5
50415 pd-flite                           	       0        2        2        0        0
50416 pd-freeverb                        	       0        3        3        0        0
50417 pd-ggee                            	       0        7        7        0        0
50418 pd-gil                             	       0        4        4        0        0
50419 pd-hcs                             	       0        3        3        0        0
50420 pd-hexloader                       	       0        2        2        0        0
50421 pd-hid                             	       0        3        3        0        0
50422 pd-iem                             	       0        3        3        0        0
50423 pd-iemambi                         	       0        2        2        0        0
50424 pd-iemguts                         	       0        2        2        0        0
50425 pd-iemlib                          	       0        3        3        0        0
50426 pd-iemmatrix                       	       0        2        2        0        0
50427 pd-iemnet                          	       0        7        7        0        0
50428 pd-iemutils                        	       0        3        3        0        0
50429 pd-jmmmp                           	       0        3        3        0        0
50430 pd-jsusfx                          	       0        2        2        0        0
50431 pd-kollabs                         	       0        5        5        0        0
50432 pd-lib-builder                     	       0        5        0        0        5
50433 pd-libdir                          	       0       12       12        0        0
50434 pd-list-abs                        	       0        7        7        0        0
50435 pd-log                             	       0        2        2        0        0
50436 pd-lua                             	       0        2        2        0        0
50437 pd-lyonpotpourri                   	       0        2        2        0        0
50438 pd-mapping                         	       0        4        4        0        0
50439 pd-markex                          	       0        2        2        0        0
50440 pd-maxlib                          	       0        4        4        0        0
50441 pd-mediasettings                   	       0        2        2        0        0
50442 pd-mjlib                           	       0        2        2        0        0
50443 pd-moonlib                         	       0        3        3        0        0
50444 pd-motex                           	       0        2        2        0        0
50445 pd-mrpeach                         	       0        3        3        0        0
50446 pd-mrpeach-net                     	       0        2        2        0        0
50447 pd-nusmuk                          	       0        2        2        0        0
50448 pd-osc                             	       0        6        6        0        0
50449 pd-pan                             	       0        3        3        0        0
50450 pd-pddp                            	       0        7        7        0        0
50451 pd-pdogg                           	       0        2        2        0        0
50452 pd-pdp                             	       0        2        2        0        0
50453 pd-pdstring                        	       0        2        2        0        0
50454 pd-pduino                          	       0        4        4        0        0
50455 pd-plugin                          	       0        2        2        0        0
50456 pd-pmpd                            	       0        2        2        0        0
50457 pd-pool                            	       0        2        2        0        0
50458 pd-puremapping                     	       0        3        3        0        0
50459 pd-purepd                          	       0        5        5        0        0
50460 pd-purest-json                     	       0        2        2        0        0
50461 pd-readanysf                       	       0        2        2        0        0
50462 pd-rtclib                          	       0        4        4        0        0
50463 pd-scaf                            	       0        2        2        0        0
50464 pd-sigpack                         	       0        2        2        0        0
50465 pd-slip                            	       0        2        2        0        0
50466 pd-smlib                           	       0        2        2        0        0
50467 pd-syslog                          	       0        3        3        0        0
50468 pd-tclpd                           	       0        2        2        0        0
50469 pd-testtools                       	       0        3        3        0        0
50470 pd-unauthorized                    	       0        2        2        0        0
50471 pd-upp                             	       0        3        3        0        0
50472 pd-vbap                            	       0        2        2        0        0
50473 pd-wiimote                         	       0        2        2        0        0
50474 pd-windowing                       	       0        2        2        0        0
50475 pd-xbee                            	       0        2        2        0        0
50476 pd-xsample                         	       0        2        2        0        0
50477 pd-zexy                            	       0        7        7        0        0
50478 pdf-presenter-console              	       0        6        6        0        0
50479 pdf-redact-tools                   	       0        3        3        0        0
50480 pdf.js-common                      	       0        7        0        0        7
50481 pdf2djvu                           	       0       89       86        3        0
50482 pdf2htmlex                         	       0        1        1        0        0
50483 pdf2svg                            	       0       26       24        2        0
50484 pdfbooklet                         	       0        1        1        0        0
50485 pdfchain                           	       0       41       40        1        0
50486 pdfcook                            	       0        1        1        0        0
50487 pdfcrack-dbgsym                    	       0        1        1        0        0
50488 pdfcube                            	       0       14       14        0        0
50489 pdfedit                            	       0        3        3        0        0
50490 pdfjam                             	       0        4        0        0        4
50491 pdfminer-data                      	       0        6        0        0        6
50492 pdfmixtool                         	       0        1        1        0        0
50493 pdfmod-dbg                         	       0        1        1        0        0
50494 pdfposter                          	       0       29       29        0        0
50495 pdfproctools                       	       0        9        9        0        0
50496 pdfsam-visual                      	       0        1        1        0        0
50497 pdfsandwich                        	       0       13       13        0        0
50498 pdfshuffler                        	       0       16        1        0       15
50499 pdfstudioviewer                    	       0        1        1        0        0
50500 pdftk                              	       0      254        8        0      246
50501 pdftoipe                           	       0        5        5        0        0
50502 pdk                                	       0        1        1        0        0
50503 pdl                                	       0        6        6        0        0
50504 pdmenu                             	       0        4        4        0        0
50505 pdns-backend-bind                  	       0        5        4        0        1
50506 pdns-backend-lua2                  	       0        1        0        0        1
50507 pdns-backend-odbc                  	       0        1        0        0        1
50508 pdns-backend-pipe                  	       0        1        0        0        1
50509 pdns-backend-sqlite3               	       0        2        1        0        1
50510 pdns-backend-tinydns               	       0        2        0        0        2
50511 pdns-recursor                      	       0        5        5        0        0
50512 pdns-tools                         	       0        5        5        0        0
50513 pdnsd                              	       0        4        4        0        0
50514 pdsh                               	       0        3        3        0        0
50515 peazip                             	       0       11       10        0        1
50516 pebble                             	       0        2        2        0        0
50517 peco                               	       0        2        2        0        0
50518 peek                               	       0       14       14        0        0
50519 peercast-handlers                  	       0        1        1        0        0
50520 peercoin-qt                        	       0        1        1        0        0
50521 peercoin-tx                        	       0        1        1        0        0
50522 peercoind                          	       0        1        1        0        0
50523 peerunity                          	       0        1        1        0        0
50524 peg                                	       0        2        2        0        0
50525 peg-e                              	       0        3        3        0        0
50526 peg-solitaire                      	       0        3        3        0        0
50527 pegasus-frontend                   	       0        1        1        0        0
50528 pegsolitaire                       	       0        2        2        0        0
50529 pekka-kana-2                       	       0        3        3        0        0
50530 pekka-kana-2-data                  	       0        3        0        0        3
50531 pekwm                              	       0       11       11        0        0
50532 pekwm-themes                       	       0        3        0        0        3
50533 pelican                            	       0        7        7        0        0
50534 pelican-doc                        	       0        3        0        0        3
50535 pem                                	       0        1        1        0        0
50536 pencil                             	       0        3        0        0        3
50537 pencil2d                           	       0       15       15        0        0
50538 penguin-command                    	       0        3        3        0        0
50539 penguin-subtitle-player            	       0        1        1        0        0
50540 pengupop                           	       0        1        1        0        0
50541 pentobi                            	       0        2        2        0        0
50542 peony                              	       0        5        5        0        0
50543 peony-admin                        	       0        1        0        0        1
50544 peony-common                       	       0        6        0        0        6
50545 peony-extension-computer-view      	       0        5        0        0        5
50546 peony-extensions                   	       0        5        0        0        5
50547 peony-extensions-common            	       0        1        0        0        1
50548 peony-open-terminal                	       0        5        0        0        5
50549 peony-set-wallpaper                	       0        5        0        0        5
50550 peony-share                        	       0        4        0        0        4
50551 pep8                               	       0        6        6        0        0
50552 pepper                             	       0        3        3        0        0
50553 pepperflashplugin-nonfree          	       0       26       26        0        0
50554 perccli                            	       0        2        0        0        2
50555 perceptualdiff                     	       0        2        2        0        0
50556 percol                             	       0        1        1        0        0
50557 percona-release                    	       0        2        2        0        0
50558 percona-server-client-5.7          	       0        3        3        0        0
50559 percona-server-common-5.7          	       0        3        3        0        0
50560 percona-server-rocksdb-5.7         	       0        1        1        0        0
50561 percona-server-server-5.7          	       0        3        3        0        0
50562 percona-server-tokudb-5.7          	       0        1        1        0        0
50563 percona-toolkit                    	       0        5        5        0        0
50564 percona-xtrabackup-24              	       0        1        1        0        0
50565 percona-zabbix-templates           	       0        1        1        0        0
50566 perf-tools-unstable                	       0        5        5        0        0
50567 performous-composer                	       0        2        2        0        0
50568 performous-tools                   	       0        3        3        0        0
50569 perl-depends                       	       0        2        2        0        0
50570 perl-doc-html                      	       0        2        0        0        2
50571 perl-modules-5.26                  	       0        3        3        0        0
50572 perl-modules-5.30                  	       0        9        9        0        0
50573 perl-modules-5.34                  	       0       18       18        0        0
50574 perl6                              	       0        1        0        0        1
50575 perl6-readline                     	       0        1        1        0        0
50576 perl6-zef                          	       0        1        1        0        0
50577 perlbrew                           	       0        4        4        0        0
50578 perlconsole                        	       0        4        4        0        0
50579 perlmagick                         	       0       52        0        0       52
50580 perlprimer                         	       0        3        3        0        0
50581 perlprimer-doc                     	       0        4        0        0        4
50582 perlrdf                            	       0        1        1        0        0
50583 perlsgml                           	       0        1        1        0        0
50584 perltidier                         	       0        1        1        0        0
50585 perm                               	       0        1        1        0        0
50586 persepolis                         	       0        3        3        0        0
50587 peruse                             	       0        6        6        0        0
50588 peruse-common                      	       0        7        0        0        7
50589 pesign                             	       0        2        2        0        0
50590 pet                                	       0        1        1        0        0
50591 petit                              	       0        1        1        0        0
50592 petri-foo                          	       0        2        2        0        0
50593 pex                                	       0        1        1        0        0
50594 pexec                              	       0        2        2        0        0
50595 pflask                             	       0        1        1        0        0
50596 pfm                                	       0        5        5        0        0
50597 pforth                             	       0        3        3        0        0
50598 pfring-dkms                        	       0        1        1        0        0
50599 pfsglview                          	       0        3        3        0        0
50600 pfstmo                             	       0        3        3        0        0
50601 pfstools                           	       0        3        3        0        0
50602 pfsview                            	       0        3        3        0        0
50603 pftools                            	       0        2        2        0        0
50604 pg-auto-failover-cli               	       0        1        1        0        0
50605 pg-bsd-indent                      	       0        1        1        0        0
50606 pg-gvm                             	       0        1        1        0        0
50607 pg-top                             	       0        1        1        0        0
50608 pgaccess                           	       0        1        1        0        0
50609 pgadmin3                           	       0       33       33        0        0
50610 pgadmin3-data                      	       0       35        0        0       35
50611 pgadmin4                           	       0        3        0        0        3
50612 pgadmin4-desktop                   	       0       14       13        1        0
50613 pgadmin4-web                       	       0        4        4        0        0
50614 pgagent                            	       0       25       25        0        0
50615 pgbadger                           	       0        5        5        0        0
50616 pgcharts                           	       0        1        1        0        0
50617 pgcli                              	       0        4        4        0        0
50618 pgdbf                              	       0        7        7        0        0
50619 pgdesigner                         	       0        1        1        0        0
50620 pgdg-keyring                       	       0        5        0        0        5
50621 pgdocs-pdf-a4                      	       0        1        0        0        1
50622 pgf                                	       0        6        0        0        6
50623 pgformatter                        	       0        8        8        0        0
50624 pgloader                           	       0        8        8        0        0
50625 pgmfindclip                        	       0        1        1        0        0
50626 pgmodeler                          	       0        8        8        0        0
50627 pgmodeler-common                   	       0        8        0        0        8
50628 pgn-extract                        	       0        5        5        0        0
50629 pgn2web                            	       0        4        4        0        0
50630 pgpgpg                             	       0       13       13        0        0
50631 pgplot5                            	       0        3        3        0        0
50632 pgpool2                            	       0        2        2        0        0
50633 pgreplay                           	       0        4        4        0        0
50634 pgsnap                             	       0        1        1        0        0
50635 pgstaging                          	       0        1        1        0        0
50636 pgstaging-client                   	       0        1        0        0        1
50637 pgstat                             	       0        3        3        0        0
50638 pgtap-doc                          	       0        1        0        0        1
50639 pgtune                             	       0        1        1        0        0
50640 pgxnclient                         	       0        1        1        0        0
50641 phantomjs                          	       0      133      132        1        0
50642 pharo6-64                          	       0        1        1        0        0
50643 pharo6-64-dbgsym                   	       0        1        1        0        0
50644 pharo6-64-ui                       	       0        1        1        0        0
50645 pharo6-64-ui-dbgsym                	       0        1        1        0        0
50646 pharo6-sources-files               	       0        1        0        0        1
50647 pharo6-ui-common                   	       0        1        0        0        1
50648 phasex                             	       0        3        3        0        0
50649 phat-utils                         	       0        1        1        0        0
50650 phatch                             	       0        1        1        0        0
50651 phatch-cli                         	       0        1        1        0        0
50652 phatch-doc                         	       0        1        0        0        1
50653 phipack                            	       0        1        1        0        0
50654 phlipple                           	       0       11       11        0        0
50655 phlipple-data                      	       0       11        0        0       11
50656 phlipple-dbg                       	       0        1        1        0        0
50657 phnxdeco                           	       0        3        3        0        0
50658 pho                                	       0        1        1        0        0
50659 phoenixtsi-cloud                   	       0        2        2        0        0
50660 phonon                             	       0       50        0        0       50
50661 phonon-backend-gstreamer           	       0       43        1        0       42
50662 phonon-backend-gstreamer-common    	       0       87        0        0       87
50663 phonon-backend-vlc                 	       0       10        0        0       10
50664 phonon-backend-vlc-common          	       0       69        0        0       69
50665 phonon4qt5                         	       0      973        0        0      973
50666 phonon4qt5-backend-gstreamer       	       0       28        1        0       27
50667 phonon4qt5-backend-null            	       0        9        0        0        9
50668 phonon4qt5settings                 	       0        9        9        0        0
50669 phonon4qt6                         	       0       32        0        0       32
50670 phonon4qt6-backend-vlc             	       0       32        0        0       32
50671 phoronix-test-suite                	       0        5        5        0        0
50672 phosh-doc                          	       0        1        0        0        1
50673 photocollage                       	       0        7        7        0        0
50674 photofilmstrip                     	       0       10       10        0        0
50675 photoflare                         	       0        6        6        0        0
50676 photoflow                          	       0        2        2        0        0
50677 photoquick                         	       0        1        1        0        0
50678 photoquick-plugins                 	       0        1        0        0        1
50679 phototonic                         	       0       15       15        0        0
50680 php                                	       0      207        0        0      207
50681 php-all-dev                        	       0        4        0        0        4
50682 php-apc                            	       0        5        0        0        5
50683 php-auth                           	       0        3        3        0        0
50684 php-auth-http                      	       0        1        1        0        0
50685 php-auth-sasl                      	       0       29       29        0        0
50686 php-bacon-qr-code                  	       0        1        1        0        0
50687 php-bcmath                         	       0       59        0        0       59
50688 php-brick-math                     	       0        1        1        0        0
50689 php-bz2                            	       0       81        0        0       81
50690 php-cache                          	       0        1        1        0        0
50691 php-cache-tag-interop              	       0        1        1        0        0
50692 php-cas                            	       0        3        3        0        0
50693 php-christianriesen-base32         	       0        1        1        0        0
50694 php-christianriesen-otp            	       0        1        1        0        0
50695 php-cli-prompt                     	       0        1        1        0        0
50696 php-code-lts-u2f-php-server        	       0        1        1        0        0
50697 php-codecoverage                   	       0        2        2        0        0
50698 php-codeigniter-framework-doc      	       0        1        0        0        1
50699 php-codesniffer                    	       0        7        7        0        0
50700 php-console-commandline            	       0        2        2        0        0
50701 php-console-table                  	       0        3        3        0        0
50702 php-crypt-blowfish                 	       0        1        1        0        0
50703 php-crypt-gpg                      	       0        1        1        0        0
50704 php-curl                           	       0      185        0        0      185
50705 php-dasprid-enum                   	       0        1        1        0        0
50706 php-date                           	       0        6        5        1        0
50707 php-db                             	       0       13       12        1        0
50708 php-deepcopy                       	       0        2        2        0        0
50709 php-dev                            	       0       22       20        1        1
50710 php-dflydev-dot-access-data        	       0        1        1        0        0
50711 php-doc                            	       0        2        0        0        2
50712 php-doctrine-annotations           	       0        2        2        0        0
50713 php-doctrine-cache                 	       0        1        1        0        0
50714 php-doctrine-collections           	       0        1        1        0        0
50715 php-doctrine-common                	       0        1        1        0        0
50716 php-doctrine-dbal                  	       0        1        1        0        0
50717 php-doctrine-deprecations          	       0        5        5        0        0
50718 php-doctrine-event-manager         	       0        2        2        0        0
50719 php-doctrine-inflector             	       0        2        2        0        0
50720 php-doctrine-instantiator          	       0        3        3        0        0
50721 php-doctrine-lexer                 	       0        5        5        0        0
50722 php-doctrine-persistence           	       0        2        2        0        0
50723 php-dompdf                         	       0        5        5        0        0
50724 php-dompdf-svg-lib                 	       0        3        3        0        0
50725 php-dragonmantank-cron-expression  	       0        1        1        0        0
50726 php-elisp                          	       0        2        1        0        1
50727 php-eluceo-ical                    	       0        1        1        0        0
50728 php-email-validator                	       0        5        5        0        0
50729 php-enchant                        	       0        3        0        0        3
50730 php-file                           	       0        1        1        0        0
50731 php-file-iterator                  	       0        4        4        0        0
50732 php-font-lib                       	       0        6        6        0        0
50733 php-fpdf                           	       0        8        8        0        0
50734 php-fpm                            	       0       71        0        0       71
50735 php-fxsl                           	       0        1        1        0        0
50736 php-gd                             	       0      200        0        0      200
50737 php-gearman                        	       0        1        1        0        0
50738 php-geshi                          	       0        8        8        0        0
50739 php-getid3                         	       0       15       15        0        0
50740 php-gettext                        	       0       21       21        0        0
50741 php-gmagick                        	       0        3        0        0        3
50742 php-gmp                            	       0       55        0        0       55
50743 php-gnupg                          	       0        3        0        0        3
50744 php-graham-campbell-result-type    	       0        1        1        0        0
50745 php-gregwar-captcha                	       0        1        1        0        0
50746 php-guzzlehttp-guzzle              	       0        7        7        0        0
50747 php-guzzlehttp-promises            	       0        7        7        0        0
50748 php-guzzlehttp-psr7                	       0        7        7        0        0
50749 php-horde-css-parser               	       0        3        3        0        0
50750 php-html-safe                      	       0        1        1        0        0
50751 php-http                           	       0        3        0        0        3
50752 php-http-request                   	       0        1        1        0        0
50753 php-http-request2                  	       0        1        1        0        0
50754 php-illuminate-auth                	       0        1        1        0        0
50755 php-illuminate-broadcasting        	       0        1        1        0        0
50756 php-illuminate-bus                 	       0        1        1        0        0
50757 php-illuminate-cache               	       0        1        1        0        0
50758 php-illuminate-collections         	       0        1        1        0        0
50759 php-illuminate-config              	       0        1        1        0        0
50760 php-illuminate-console             	       0        1        1        0        0
50761 php-illuminate-container           	       0        1        1        0        0
50762 php-illuminate-contracts           	       0        1        1        0        0
50763 php-illuminate-cookie              	       0        1        1        0        0
50764 php-illuminate-database            	       0        1        1        0        0
50765 php-illuminate-encryption          	       0        1        1        0        0
50766 php-illuminate-events              	       0        1        1        0        0
50767 php-illuminate-filesystem          	       0        1        1        0        0
50768 php-illuminate-hashing             	       0        1        1        0        0
50769 php-illuminate-http                	       0        1        1        0        0
50770 php-illuminate-log                 	       0        1        1        0        0
50771 php-illuminate-macroable           	       0        1        1        0        0
50772 php-illuminate-mail                	       0        1        1        0        0
50773 php-illuminate-notifications       	       0        1        1        0        0
50774 php-illuminate-pagination          	       0        1        1        0        0
50775 php-illuminate-pipeline            	       0        1        1        0        0
50776 php-illuminate-queue               	       0        1        1        0        0
50777 php-illuminate-redis               	       0        1        1        0        0
50778 php-illuminate-routing             	       0        1        1        0        0
50779 php-illuminate-session             	       0        1        1        0        0
50780 php-illuminate-support             	       0        1        1        0        0
50781 php-illuminate-testing             	       0        1        1        0        0
50782 php-illuminate-translation         	       0        1        1        0        0
50783 php-illuminate-validation          	       0        1        1        0        0
50784 php-illuminate-view                	       0        1        1        0        0
50785 php-image-text                     	       0        2        1        1        0
50786 php-imap                           	       0       30        0        0       30
50787 php-intl                           	       0      150        0        0      150
50788 php-invoker                        	       0        2        2        0        0
50789 php-json                           	       0       59        0        0       59
50790 php-kolab                          	       0        1        1        0        0
50791 php-kolabformat                    	       0        1        1        0        0
50792 php-laravel-framework              	       0        1        1        0        0
50793 php-laravel-serializable-closure   	       0        1        1        0        0
50794 php-ldap                           	       0       57        0        0       57
50795 php-league-commonmark              	       0        1        1        0        0
50796 php-league-config                  	       0        1        1        0        0
50797 php-league-flysystem               	       0        1        1        0        0
50798 php-league-mime-type-detection     	       0        1        1        0        0
50799 php-letodms-core                   	       0        1        1        0        0
50800 php-letodms-lucene                 	       0        1        1        0        0
50801 php-log                            	       0        4        4        0        0
50802 php-luasandbox                     	       0        9        9        0        0
50803 php-lz4                            	       0        1        0        0        1
50804 php-mail                           	       0       12       11        1        0
50805 php-mail-mime                      	       0       28       27        1        0
50806 php-mail-mimedecode                	       0        1        1        0        0
50807 php-markdown                       	       0        2        2        0        0
50808 php-masterminds-html5              	       0       13       13        0        0
50809 php-matthiasmullie-minify          	       0        1        1        0        0
50810 php-matthiasmullie-path-converter  	       0        1        1        0        0
50811 php-mbstring                       	       0      230        0        0      230
50812 php-mcrypt                         	       0       18        0        0       18
50813 php-mdb2                           	       0        8        7        1        0
50814 php-mdb2-driver-mysql              	       0        5        5        0        0
50815 php-mdb2-driver-pgsql              	       0        1        1        0        0
50816 php-mdb2-schema                    	       0        1        1        0        0
50817 php-memcached                      	       0       14        2        0       12
50818 php-mongodb                        	       0        1        1        0        0
50819 php-monolog                        	       0        3        3        0        0
50820 php-msgpack                        	       0       12        3        0        9
50821 php-mysql                          	       0      216        0        0      216
50822 php-nesbot-carbon                  	       0        1        1        0        0
50823 php-net-dime                       	       0        2        2        0        0
50824 php-net-dns2                       	       0        2        2        0        0
50825 php-net-ftp                        	       0        4        4        0        0
50826 php-net-idna2                      	       0        2        2        0        0
50827 php-net-imap                       	       0        4        4        0        0
50828 php-net-ipv6                       	       0        1        1        0        0
50829 php-net-ldap2                      	       0        4        3        1        0
50830 php-net-ldap3                      	       0        4        3        1        0
50831 php-net-nntp                       	       0        1        0        1        0
50832 php-net-sieve                      	       0       19       18        1        0
50833 php-net-smtp                       	       0       30       29        1        0
50834 php-net-socket                     	       0       31       31        0        0
50835 php-net-url                        	       0        7        6        1        0
50836 php-net-url2                       	       0        8        8        0        0
50837 php-net-whois                      	       0        1        1        0        0
50838 php-nette-schema                   	       0        1        1        0        0
50839 php-nette-utils                    	       0        1        1        0        0
50840 php-nrk-predis                     	       0        1        1        0        0
50841 php-oauth                          	       0        1        0        0        1
50842 php-odbc                           	       0        3        0        0        3
50843 php-opis-closure                   	       0        1        1        0        0
50844 php-parsedown                      	       0        1        1        0        0
50845 php-patchwork-utf8                 	       0        1        1        0        0
50846 php-pgsql                          	       0       49        0        0       49
50847 php-phar-io-manifest               	       0        3        3        0        0
50848 php-phar-io-version                	       0        4        4        0        0
50849 php-phpdbg                         	       0        2        2        0        0
50850 php-phpdocumentor-reflection-common	       0        2        2        0        0
50851 php-phpdocumentor-reflection-docblock	       0        2        2        0        0
50852 php-phpdocumentor-type-resolver    	       0        2        2        0        0
50853 php-phpoption                      	       0        1        1        0        0
50854 php-phpspec-prophecy               	       0        2        2        0        0
50855 php-predis                         	       0        1        1        0        0
50856 php-proxy-manager                  	       0        1        1        0        0
50857 php-pspell                         	       0       13        0        0       13
50858 php-psr-event-dispatcher           	       0        4        4        0        0
50859 php-psr-http-client                	       0        7        7        0        0
50860 php-psr-link                       	       0        1        1        0        0
50861 php-ramsey-collection              	       0        1        1        0        0
50862 php-ramsey-uuid                    	       0        1        1        0        0
50863 php-raphf                          	       0        3        0        0        3
50864 php-readline                       	       0       11        0        0       11
50865 php-recode                         	       0        2        0        0        2
50866 php-sabre-dav                      	       0        2        2        0        0
50867 php-sabre-dav-2.1                  	       0        1        1        0        0
50868 php-sabre-event                    	       0        1        1        0        0
50869 php-sabre-http-3                   	       0        1        1        0        0
50870 php-sabre-vobject                  	       0        2        2        0        0
50871 php-sabre-vobject-3                	       0        1        1        0        0
50872 php-sabredav                       	       0        1        0        0        1
50873 php-services-weather               	       0        1        1        0        0
50874 php-snmp                           	       0        6        0        0        6
50875 php-soap                           	       0       40        0        0       40
50876 php-sql-formatter                  	       0        1        0        1        0
50877 php-sqlite3                        	       0       47        0        0       47
50878 php-swiftmailer                    	       0        2        2        0        0
50879 php-symfony                        	       0        1        1        0        0
50880 php-symfony-amqp-messenger         	       0        1        1        0        0
50881 php-symfony-asset                  	       0        1        1        0        0
50882 php-symfony-browser-kit            	       0        1        1        0        0
50883 php-symfony-class-loader           	       0        3        3        0        0
50884 php-symfony-contracts              	       0        1        1        0        0
50885 php-symfony-css-selector           	       0        2        2        0        0
50886 php-symfony-debug                  	       0        5        5        0        0
50887 php-symfony-debug-bundle           	       0        1        1        0        0
50888 php-symfony-doctrine-bridge        	       0        1        1        0        0
50889 php-symfony-doctrine-messenger     	       0        1        1        0        0
50890 php-symfony-dom-crawler            	       0        3        3        0        0
50891 php-symfony-dotenv                 	       0        1        1        0        0
50892 php-symfony-error-handler          	       0        2        2        0        0
50893 php-symfony-event-dispatcher       	       0        4        4        0        0
50894 php-symfony-event-dispatcher-contracts	       0        4        4        0        0
50895 php-symfony-form                   	       0        1        1        0        0
50896 php-symfony-framework-bundle       	       0        1        1        0        0
50897 php-symfony-http-client            	       0        1        1        0        0
50898 php-symfony-http-client-contracts  	       0        1        1        0        0
50899 php-symfony-http-foundation        	       0        2        2        0        0
50900 php-symfony-http-kernel            	       0        2        2        0        0
50901 php-symfony-inflector              	       0        1        1        0        0
50902 php-symfony-intl                   	       0        1        1        0        0
50903 php-symfony-ldap                   	       0        1        1        0        0
50904 php-symfony-lock                   	       0        1        1        0        0
50905 php-symfony-mailer                 	       0        2        2        0        0
50906 php-symfony-messenger              	       0        1        1        0        0
50907 php-symfony-mime                   	       0        3        3        0        0
50908 php-symfony-monolog-bridge         	       0        1        1        0        0
50909 php-symfony-notifier               	       0        1        1        0        0
50910 php-symfony-options-resolver       	       0        1        1        0        0
50911 php-symfony-password-hasher        	       0        1        1        0        0
50912 php-symfony-polyfill-php55         	       0        1        1        0        0
50913 php-symfony-polyfill-php81         	       0        1        1        0        0
50914 php-symfony-polyfill-php84         	       0        1        1        0        0
50915 php-symfony-property-access        	       0        2        2        0        0
50916 php-symfony-property-info          	       0        1        1        0        0
50917 php-symfony-proxy-manager-bridge   	       0        1        1        0        0
50918 php-symfony-rate-limiter           	       0        1        1        0        0
50919 php-symfony-redis-messenger        	       0        1        1        0        0
50920 php-symfony-routing                	       0        3        3        0        0
50921 php-symfony-security-bundle        	       0        1        1        0        0
50922 php-symfony-security-core          	       0        1        1        0        0
50923 php-symfony-security-csrf          	       0        1        1        0        0
50924 php-symfony-security-guard         	       0        1        1        0        0
50925 php-symfony-security-http          	       0        1        1        0        0
50926 php-symfony-semaphore              	       0        1        1        0        0
50927 php-symfony-serializer             	       0        2        2        0        0
50928 php-symfony-stopwatch              	       0        1        1        0        0
50929 php-symfony-templating             	       0        1        1        0        0
50930 php-symfony-translation            	       0        2        2        0        0
50931 php-symfony-translation-contracts  	       0        2        2        0        0
50932 php-symfony-twig-bridge            	       0        1        1        0        0
50933 php-symfony-twig-bundle            	       0        1        1        0        0
50934 php-symfony-uid                    	       0        1        1        0        0
50935 php-symfony-validator              	       0        1        1        0        0
50936 php-symfony-var-dumper             	       0        2        2        0        0
50937 php-symfony-web-link               	       0        1        1        0        0
50938 php-symfony-web-profiler-bundle    	       0        1        1        0        0
50939 php-symfony-workflow               	       0        1        1        0        0
50940 php-text-password                  	       0        1        1        0        0
50941 php-text-template                  	       0        3        3        0        0
50942 php-tidy                           	       0        7        0        0        7
50943 php-tijsverkoyen-css-to-inline-styles	       0        1        1        0        0
50944 php-timer                          	       0        3        3        0        0
50945 php-token-stream                   	       0        2        2        0        0
50946 php-tokenizer                      	       0        4        4        0        0
50947 php-twig-doc                       	       0        2        0        0        2
50948 php-twig-extensions                	       0        2        2        0        0
50949 php-uuid                           	       0        5        0        0        5
50950 php-validate                       	       0        1        1        0        0
50951 php-vlucas-phpdotenv               	       0        1        1        0        0
50952 php-voku-portable-ascii            	       0        1        1        0        0
50953 php-wpcli                          	       0        1        1        0        0
50954 php-xdebug                         	       0       11        2        0        9
50955 php-xml                            	       0      257        0        0      257
50956 php-xml-dtd                        	       0        1        1        0        0
50957 php-xml-htmlsax3                   	       0        1        1        0        0
50958 php-xml-parser                     	       0        3        3        0        0
50959 php-xml-serializer                 	       0        2        2        0        0
50960 php-xml-svg                        	       0        2        1        1        0
50961 php-xmlrpc                         	       0        5        0        0        5
50962 php-zend-code                      	       0        2        2        0        0
50963 php-zend-eventmanager              	       0        1        1        0        0
50964 php-zend-hydrator                  	       0        1        1        0        0
50965 php-zend-stdlib                    	       0        1        1        0        0
50966 php-zip                            	       0      138        0        0      138
50967 php-zmq                            	       0        1        0        0        1
50968 php5                               	       0       35        0        0       35
50969 php5-cgi                           	       0       11       11        0        0
50970 php5-dev                           	       0        4        4        0        0
50971 php5-fpm                           	       0        5        5        0        0
50972 php5-geoip                         	       0        1        1        0        0
50973 php5-imagick                       	       0        3        3        0        0
50974 php5-ldap                          	       0        4        4        0        0
50975 php5-memcache                      	       0        1        1        0        0
50976 php5-mysqlnd                       	       0        2        2        0        0
50977 php5-pgsql                         	       0        8        8        0        0
50978 php5-pspell                        	       0        3        3        0        0
50979 php5-rrd                           	       0        1        1        0        0
50980 php5-sasl                          	       0        1        1        0        0
50981 php5-sqlite                        	       0        7        7        0        0
50982 php5-ssh2                          	       0        1        1        0        0
50983 php5-tidy                          	       0        3        3        0        0
50984 php5-xcache                        	       0        2        2        0        0
50985 php5-xmlrpc                        	       0        2        2        0        0
50986 php5-xsl                           	       0        2        2        0        0
50987 php5.6                             	       0        8        0        0        8
50988 php5.6-cgi                         	       0        6        6        0        0
50989 php5.6-dev                         	       0        2        2        0        0
50990 php5.6-imagick                     	       0        1        1        0        0
50991 php5.6-mbstring-dbgsym             	       0        1        1        0        0
50992 php5.6-sqlite3-dbgsym              	       0        1        1        0        0
50993 php5.6-xdebug                      	       0        2        2        0        0
50994 php5.6-xsl                         	       0        4        0        0        4
50995 php5.6-yaml                        	       0        1        1        0        0
50996 php7.0                             	       0       23        0        0       23
50997 php7.0-apcu                        	       0        4        4        0        0
50998 php7.0-cgi                         	       0        7        7        0        0
50999 php7.0-dev                         	       0        4        4        0        0
51000 php7.0-odbc                        	       0        1        1        0        0
51001 php7.0-phpdbg                      	       0        2        2        0        0
51002 php7.0-pspell                      	       0        7        7        0        0
51003 php7.0-recode                      	       0        4        4        0        0
51004 php7.0-snmp                        	       0        1        1        0        0
51005 php7.0-xsl                         	       0        4        0        0        4
51006 php7.1                             	       0        2        0        0        2
51007 php7.1-apcu                        	       0        2        2        0        0
51008 php7.1-bcmath                      	       0        1        1        0        0
51009 php7.1-cgi                         	       0        2        2        0        0
51010 php7.1-curl                        	       0        2        2        0        0
51011 php7.1-gd                          	       0        3        3        0        0
51012 php7.1-imagick                     	       0        1        1        0        0
51013 php7.1-imap                        	       0        1        1        0        0
51014 php7.1-intl                        	       0        1        1        0        0
51015 php7.1-mbstring                    	       0        3        3        0        0
51016 php7.1-mysql                       	       0        4        4        0        0
51017 php7.1-phpdbg                      	       0        2        2        0        0
51018 php7.1-soap                        	       0        1        1        0        0
51019 php7.1-xdebug                      	       0        1        1        0        0
51020 php7.1-xml                         	       0        4        4        0        0
51021 php7.1-xmlrpc                      	       0        1        1        0        0
51022 php7.1-xsl                         	       0        1        0        0        1
51023 php7.1-zip                         	       0        2        2        0        0
51024 php7.2                             	       0        2        0        0        2
51025 php7.2-apcu                        	       0        2        2        0        0
51026 php7.2-cgi                         	       0        2        2        0        0
51027 php7.2-fpm                         	       0        1        1        0        0
51028 php7.2-imap                        	       0        2        2        0        0
51029 php7.2-phpdbg                      	       0        1        1        0        0
51030 php7.2-soap                        	       0        1        1        0        0
51031 php7.2-tidy                        	       0        1        1        0        0
51032 php7.2-xsl                         	       0        2        0        0        2
51033 php7.3                             	       0       33        0        0       33
51034 php7.3-apcu-bc                     	       0        1        1        0        0
51035 php7.3-cgi                         	       0       11       11        0        0
51036 php7.3-dba                         	       0        1        1        0        0
51037 php7.3-dev                         	       0        3        3        0        0
51038 php7.3-enchant                     	       0        2        2        0        0
51039 php7.3-igbinary                    	       0        2        2        0        0
51040 php7.3-imagick                     	       0        1        1        0        0
51041 php7.3-memcache                    	       0        1        1        0        0
51042 php7.3-memcached                   	       0        1        1        0        0
51043 php7.3-msgpack                     	       0        1        1        0        0
51044 php7.3-odbc                        	       0        1        1        0        0
51045 php7.3-phpdbg                      	       0        4        4        0        0
51046 php7.3-pspell                      	       0        7        7        0        0
51047 php7.3-recode                      	       0        4        4        0        0
51048 php7.3-snmp                        	       0        1        1        0        0
51049 php7.3-sqlite3-dbgsym              	       0        1        1        0        0
51050 php7.3-tidy                        	       0        4        4        0        0
51051 php7.3-xsl                         	       0        7        0        0        7
51052 php7.4                             	       0       60        0        0       60
51053 php7.4-apcu-bc-dbgsym              	       0        1        1        0        0
51054 php7.4-apcu-dbgsym                 	       0        1        1        0        0
51055 php7.4-dev                         	       0        6        6        0        0
51056 php7.4-geoip                       	       0        1        1        0        0
51057 php7.4-memcache                    	       0        1        1        0        0
51058 php7.4-xdebug                      	       0        1        1        0        0
51059 php7.4-xsl                         	       0       12        0        0       12
51060 php8.0                             	       0       11        0        0       11
51061 php8.0-cgi                         	       0        8        8        0        0
51062 php8.0-dev                         	       0        2        2        0        0
51063 php8.0-memcache                    	       0        1        1        0        0
51064 php8.0-memcached                   	       0        1        1        0        0
51065 php8.0-msgpack                     	       0        1        1        0        0
51066 php8.0-xsl                         	       0        7        0        0        7
51067 php8.1                             	       0       12        0        0       12
51068 php8.1-apcu                        	       0        7        7        0        0
51069 php8.1-apcu-dbgsym                 	       0        2        2        0        0
51070 php8.1-bcmath                      	       0        9        9        0        0
51071 php8.1-bcmath-dbgsym               	       0        1        1        0        0
51072 php8.1-bz2-dbgsym                  	       0        1        1        0        0
51073 php8.1-cgi                         	       0        5        5        0        0
51074 php8.1-cgi-dbgsym                  	       0        1        1        0        0
51075 php8.1-cli-dbgsym                  	       0        1        1        0        0
51076 php8.1-common-dbgsym               	       0        1        1        0        0
51077 php8.1-curl-dbgsym                 	       0        1        1        0        0
51078 php8.1-dba                         	       0        1        1        0        0
51079 php8.1-dba-dbgsym                  	       0        1        1        0        0
51080 php8.1-dev                         	       0        1        1        0        0
51081 php8.1-enchant                     	       0        1        1        0        0
51082 php8.1-enchant-dbgsym              	       0        1        1        0        0
51083 php8.1-fpm-dbgsym                  	       0        1        1        0        0
51084 php8.1-gd-dbgsym                   	       0        1        1        0        0
51085 php8.1-gmp                         	       0        9        9        0        0
51086 php8.1-gmp-dbgsym                  	       0        1        1        0        0
51087 php8.1-igbinary                    	       0        5        5        0        0
51088 php8.1-igbinary-dbgsym             	       0        1        1        0        0
51089 php8.1-imap                        	       0        8        8        0        0
51090 php8.1-imap-dbgsym                 	       0        1        1        0        0
51091 php8.1-interbase                   	       0        1        1        0        0
51092 php8.1-interbase-dbgsym            	       0        1        1        0        0
51093 php8.1-intl-dbgsym                 	       0        1        1        0        0
51094 php8.1-ldap                        	       0        3        3        0        0
51095 php8.1-ldap-dbgsym                 	       0        1        1        0        0
51096 php8.1-lz4                         	       0        1        1        0        0
51097 php8.1-maxminddb                   	       0        1        1        0        0
51098 php8.1-maxminddb-dbgsym            	       0        1        1        0        0
51099 php8.1-mbstring-dbgsym             	       0        1        1        0        0
51100 php8.1-mcrypt                      	       0        2        2        0        0
51101 php8.1-memcache                    	       0        2        2        0        0
51102 php8.1-memcached                   	       0        2        2        0        0
51103 php8.1-msgpack                     	       0        2        2        0        0
51104 php8.1-mysql-dbgsym                	       0        1        1        0        0
51105 php8.1-oauth                       	       0        1        1        0        0
51106 php8.1-odbc                        	       0        1        1        0        0
51107 php8.1-odbc-dbgsym                 	       0        1        1        0        0
51108 php8.1-opcache-dbgsym              	       0        1        1        0        0
51109 php8.1-pgsql-dbgsym                	       0        1        1        0        0
51110 php8.1-phpdbg                      	       0        1        1        0        0
51111 php8.1-phpdbg-dbgsym               	       0        1        1        0        0
51112 php8.1-pspell                      	       0        6        6        0        0
51113 php8.1-pspell-dbgsym               	       0        1        1        0        0
51114 php8.1-readline-dbgsym             	       0        1        1        0        0
51115 php8.1-redis                       	       0        3        3        0        0
51116 php8.1-redis-dbgsym                	       0        1        1        0        0
51117 php8.1-snmp                        	       0        3        3        0        0
51118 php8.1-snmp-dbgsym                 	       0        1        1        0        0
51119 php8.1-soap-dbgsym                 	       0        1        1        0        0
51120 php8.1-sqlite3                     	       0        8        8        0        0
51121 php8.1-sqlite3-dbgsym              	       0        1        1        0        0
51122 php8.1-sybase                      	       0        1        1        0        0
51123 php8.1-sybase-dbgsym               	       0        1        1        0        0
51124 php8.1-tidy                        	       0        5        5        0        0
51125 php8.1-tidy-dbgsym                 	       0        1        1        0        0
51126 php8.1-xdebug                      	       0        2        2        0        0
51127 php8.1-xml-dbgsym                  	       0        1        1        0        0
51128 php8.1-xsl                         	       0        5        0        0        5
51129 php8.1-yaml                        	       0        3        3        0        0
51130 php8.1-yaml-dbgsym                 	       0        1        1        0        0
51131 php8.1-zip-dbgsym                  	       0        1        1        0        0
51132 php8.2                             	       0      166        0        0      166
51133 php8.2-apcu-dbgsym                 	       0        1        1        0        0
51134 php8.2-dba                         	       0        1        1        0        0
51135 php8.2-ds                          	       0        1        1        0        0
51136 php8.2-enchant                     	       0        3        3        0        0
51137 php8.2-gnupg-dbgsym                	       0        1        1        0        0
51138 php8.2-igbinary-dbgsym             	       0        1        1        0        0
51139 php8.2-interbase                   	       0        1        1        0        0
51140 php8.2-lz4                         	       0        1        1        0        0
51141 php8.2-maxminddb                   	       0        1        1        0        0
51142 php8.2-oauth                       	       0        1        1        0        0
51143 php8.2-odbc                        	       0        2        2        0        0
51144 php8.2-snmp                        	       0        5        5        0        0
51145 php8.2-sybase                      	       0        1        1        0        0
51146 php8.2-tideways                    	       0        1        1        0        0
51147 php8.2-uploadprogress              	       0        5        5        0        0
51148 php8.2-xsl                         	       0       13        0        0       13
51149 php8.2-yaml                        	       0       11       11        0        0
51150 php8.2-yaml-dbgsym                 	       0        1        1        0        0
51151 php8.3                             	       0        7        0        0        7
51152 php8.3-cgi                         	       0        1        1        0        0
51153 php8.3-igbinary                    	       0        2        2        0        0
51154 php8.3-lz4                         	       0        1        1        0        0
51155 php8.3-memcache                    	       0        2        2        0        0
51156 php8.3-memcached                   	       0        1        1        0        0
51157 php8.3-msgpack                     	       0        1        1        0        0
51158 php8.3-phpdbg                      	       0        4        4        0        0
51159 php8.3-pspell                      	       0        1        1        0        0
51160 php8.3-redis                       	       0        2        2        0        0
51161 php8.3-snmp                        	       0        1        1        0        0
51162 php8.3-soap                        	       0        2        2        0        0
51163 php8.3-sqlite3                     	       0        1        1        0        0
51164 php8.3-xdebug                      	       0        2        2        0        0
51165 php8.3-yaml                        	       0        1        1        0        0
51166 php8.4                             	       0        3        0        0        3
51167 php8.4-cgi                         	       0        1        1        0        0
51168 php8.4-dev                         	       0        1        1        0        0
51169 php8.4-gnupg                       	       0        1        1        0        0
51170 php8.4-memcache                    	       0        1        1        0        0
51171 php8.4-phpdbg                      	       0        4        4        0        0
51172 php8.4-soap                        	       0        1        1        0        0
51173 php8.4-sqlite3                     	       0        1        1        0        0
51174 php8.4-xsl                         	       0        1        0        0        1
51175 phpliteadmin                       	       0        3        3        0        0
51176 phpqrcode                          	       0        3        3        0        0
51177 phpunit                            	       0        2        2        0        0
51178 phpunit-cli-parser                 	       0        2        2        0        0
51179 phpunit-code-unit                  	       0        2        2        0        0
51180 phpunit-code-unit-reverse-lookup   	       0        2        2        0        0
51181 phpunit-comparator                 	       0        3        3        0        0
51182 phpunit-complexity                 	       0        2        2        0        0
51183 phpunit-diff                       	       0        3        3        0        0
51184 phpunit-environment                	       0        3        3        0        0
51185 phpunit-exporter                   	       0        3        3        0        0
51186 phpunit-global-state               	       0        3        3        0        0
51187 phpunit-lines-of-code              	       0        2        2        0        0
51188 phpunit-object-enumerator          	       0        2        2        0        0
51189 phpunit-object-reflector           	       0        3        3        0        0
51190 phpunit-recursion-context          	       0        3        3        0        0
51191 phpunit-resource-operations        	       0        2        2        0        0
51192 phpunit-type                       	       0        2        2        0        0
51193 phpunit-version                    	       0        3        3        0        0
51194 phybin                             	       0        1        1        0        0
51195 phylip                             	       0        4        4        0        0
51196 phyml                              	       0        5        5        0        0
51197 physlock                           	       0        1        1        0        0
51198 phyutility                         	       0        1        1        0        0
51199 pi                                 	       0        5        5        0        0
51200 pianobar                           	       0        2        2        0        0
51201 pianobooster                       	       0       10       10        0        0
51202 picard-tools                       	       0        5        5        0        0
51203 picasm                             	       0        1        1        0        0
51204 pick                               	       0        1        1        0        0
51205 picmi                              	       0       56       53        3        0
51206 pico-sdk-source                    	       0        1        1        0        0
51207 picolibc-arm-none-eabi             	       0        2        0        0        2
51208 picolibc-riscv64-unknown-elf       	       0        3        0        0        3
51209 picolisp                           	       0        6        6        0        0
51210 picon-domains                      	       0        1        1        0        0
51211 picon-misc                         	       0        1        1        0        0
51212 picon-unknown                      	       0        1        0        0        1
51213 picon-users                        	       0        1        0        0        1
51214 picon-weather                      	       0        2        0        0        2
51215 picosat                            	       0        1        1        0        0
51216 picoscope                          	       0        4        4        0        0
51217 picotool                           	       0        1        1        0        0
51218 picprog                            	       0        1        1        0        0
51219 pidcat                             	       0        1        1        0        0
51220 pidentd-des                        	       0        1        0        0        1
51221 pidgin-audacious                   	       0        5        0        0        5
51222 pidgin-awayonlock                  	       0        5        0        0        5
51223 pidgin-blinklight                  	       0        5        4        1        0
51224 pidgin-build-deps                  	       0        1        0        0        1
51225 pidgin-dbgsym                      	       0        1        1        0        0
51226 pidgin-dev                         	       0        4        3        1        0
51227 pidgin-encryption                  	       0        8        8        0        0
51228 pidgin-festival                    	       0        6        5        1        0
51229 pidgin-lastfm                      	       0        3        2        1        0
51230 pidgin-latex                       	       0        8        7        1        0
51231 pidgin-libnotify                   	       0        4        4        0        0
51232 pidgin-librvp                      	       0        5        1        0        4
51233 pidgin-mattermost                  	       0        1        1        0        0
51234 pidgin-mpris                       	       0        2        2        0        0
51235 pidgin-mra                         	       0        4        3        1        0
51236 pidgin-mra-dbg                     	       0        2        1        1        0
51237 pidgin-nateon                      	       0        4        1        0        3
51238 pidgin-nateon-dbg                  	       0        2        1        1        0
51239 pidgin-openfetion                  	       0        1        1        0        0
51240 pidgin-openpgp                     	       0        3        3        0        0
51241 pidgin-opensteamworks              	       0        1        1        0        0
51242 pidgin-privacy-please              	       0       11        1        0       10
51243 pidgin-skype                       	       0        6        1        0        5
51244 pidgin-skype-common                	       0        7        6        1        0
51245 pidgin-skype-dbg                   	       0        1        0        1        0
51246 pidgin-themes                      	       0       17        0        0       17
51247 piglit                             	       0        1        1        0        0
51248 pigpio                             	       0        1        0        0        1
51249 pigpio-tools                       	       0        2        2        0        0
51250 pigpiod                            	       0        1        1        0        0
51251 pikchr                             	       0        1        1        0        0
51252 pike7.8                            	       0        1        0        0        1
51253 pike7.8-core                       	       0        2        2        0        0
51254 pike7.8-dev                        	       0        1        1        0        0
51255 pike7.8-doc                        	       0        2        0        0        2
51256 pike7.8-gdbm                       	       0        1        1        0        0
51257 pike7.8-image                      	       0        2        2        0        0
51258 pike7.8-manual                     	       0        2        0        0        2
51259 pike7.8-mysql                      	       0        1        1        0        0
51260 pike7.8-pcre                       	       0        1        1        0        0
51261 pike7.8-pg                         	       0        1        1        0        0
51262 pike7.8-reference                  	       0        2        0        0        2
51263 pike7.8-sdl                        	       0        1        1        0        0
51264 pike7.8-svg                        	       0        1        1        0        0
51265 pike8.0                            	       0        4        0        0        4
51266 pike8.0-core                       	       0        8        8        0        0
51267 pike8.0-dev                        	       0        5        5        0        0
51268 pike8.0-doc                        	       0        3        0        0        3
51269 pike8.0-fuse                       	       0        1        1        0        0
51270 pike8.0-gdbm                       	       0        4        4        0        0
51271 pike8.0-gtk                        	       0        1        1        0        0
51272 pike8.0-image                      	       0        6        6        0        0
51273 pike8.0-mysql                      	       0        4        4        0        0
51274 pike8.0-pcre                       	       0        4        4        0        0
51275 pike8.0-pg                         	       0        4        4        0        0
51276 pike8.0-sdl                        	       0        1        1        0        0
51277 piler                              	       0        1        1        0        0
51278 pilot                              	       0        3        3        0        0
51279 pilot-link                         	       0        6        6        0        0
51280 pinball-data                       	       0       18        0        0       18
51281 pinball-table-gnu                  	       0        5        0        0        5
51282 pinball-table-gnu-data             	       0        5        0        0        5
51283 pinball-table-hurd                 	       0        5        0        0        5
51284 pinball-table-hurd-data            	       0        5        0        0        5
51285 pinentry-doc                       	       0       20        0        0       20
51286 pinentry-fltk                      	       0        6        6        0        0
51287 pinentry-qt                        	       0      183      179        4        0
51288 pinentry-qt4                       	       0        9        9        0        0
51289 pinentry-tqt                       	       0       13       12        1        0
51290 pinentry-x2go                      	       0        3        3        0        0
51291 pingus-data                        	       0       35        0        0       35
51292 pinhole                            	       0        2        2        0        0
51293 pink-pony                          	       0        2        2        0        0
51294 pink-pony-data                     	       0        2        0        0        2
51295 pinokio                            	       0        3        3        0        0
51296 pinot                              	       0        1        1        0        0
51297 pinpoint                           	       0        4        4        0        0
51298 pinta                              	       0        8        8        0        0
51299 pinthread                          	       0        3        0        0        3
51300 pioasm                             	       0        1        1        0        0
51301 pioneers-console-data              	       0       14        1        0       13
51302 pioneers-data                      	       0       14        0        0       14
51303 pioneers-meta-server               	       0        1        0        0        1
51304 pioneers-metaserver                	       0        3        3        0        0
51305 pip-check-reqs                     	       0        5        5        0        0
51306 pipemeter                          	       0        5        5        0        0
51307 pipenightdreams                    	       0        9        9        0        0
51308 pipenightdreams-data               	       0        9        0        0        9
51309 piper                              	       0        9        9        0        0
51310 pipes-sh                           	       0        3        3        0        0
51311 pipette-stt                        	       0        2        2        0        0
51312 pipewalker                         	       0       12       12        0        0
51313 pipewire-audio                     	       0      159        0        0      159
51314 pipewire-audio-client-libraries    	       0       18        2        0       16
51315 pipewire-doc                       	       0       24        0        0       24
51316 pipewire-media-session             	       0       27       27        0        0
51317 pipewire-media-session-pulseaudio  	       0        1        0        0        1
51318 pipewire-module-xrdp               	       0        7        0        0        7
51319 pipewire-tests                     	       0       15        0        0       15
51320 pipewire-v4l2                      	       0       22       19        3        0
51321 pipsi                              	       0        2        2        0        0
51322 pirs                               	       0        1        1        0        0
51323 pirs-profiles                      	       0        1        0        0        1
51324 pitcheddelay-lv2                   	       0        1        1        0        0
51325 pithos                             	       0        3        2        1        0
51326 piuparts                           	       0       23       23        0        0
51327 piuparts-common                    	       0       23       23        0        0
51328 piuparts-slave                     	       0        1        1        0        0
51329 pixbros                            	       0        1        1        0        0
51330 pixelize                           	       0        2        2        0        0
51331 pixelmed-apps                      	       0        2        2        0        0
51332 pixfrogger                         	       0        1        1        0        0
51333 pixmap                             	       0        4        4        0        0
51334 pizmidi-plugins                    	       0        1        1        0        0
51335 pkcs11-data                        	       0        3        3        0        0
51336 pkcs11-dump                        	       0        1        1        0        0
51337 pkg                                	       0        2        2        0        0
51338 pkg-components                     	       0        1        1        0        0
51339 pkg-js-autopkgtest                 	       0        1        0        0        1
51340 pkg-js-tools                       	       0        1        1        0        0
51341 pkg-mozilla-archive-keyring        	       0       15        0        0       15
51342 pkg-my-archive-keyring             	       0        1        0        0        1
51343 pkg-perl-tools                     	       0        1        1        0        0
51344 pkglab                             	       0        1        1        0        0
51345 pktools                            	       0        4        4        0        0
51346 pktools-dev                        	       0        2        2        0        0
51347 pktstat                            	       0        5        5        0        0
51348 pkwalify                           	       0        2        2        0        0
51349 placnet                            	       0        1        1        0        0
51350 plakativ                           	       0        4        4        0        0
51351 plan                               	       0        7        7        0        0
51352 planarity                          	       0       10       10        0        0
51353 planetblupi                        	       0        4        4        0        0
51354 planetblupi-common                 	       0        4        0        0        4
51355 planetblupi-music-ogg              	       0        4        0        0        4
51356 planetpenguin-racer                	       0        1        0        0        1
51357 planetpenguin-racer-extras         	       0        1        0        0        1
51358 planets                            	       0        8        8        0        0
51359 planner                            	       0       27       26        1        0
51360 planner-data                       	       0       29        0        0       29
51361 planner-dev                        	       0        1        1        0        0
51362 planner-doc                        	       0       27        0        0       27
51363 plasma-applet-redshift-control     	       0        6        1        0        5
51364 plasma-bigscreen                   	       0        2        2        0        0
51365 plasma-calendar-addons             	       0        6        0        0        6
51366 plasma-dataengines-yawp            	       0        1        1        0        0
51367 plasma-desktop-dev                 	       0        7        0        0        7
51368 plasma-desktop-doc                 	       0       19        0        0       19
51369 plasma-desktopthemes-artwork       	       0        4        0        0        4
51370 plasma-firewall                    	       0       31       28        3        0
51371 plasma-framework-dev               	       0        1        0        0        1
51372 plasma-gmailfeed                   	       0        1        0        0        1
51373 plasma-kdevelop                    	       0       13        2        0       11
51374 plasma-marble                      	       0        4        1        0        3
51375 plasma-mediacenter                 	       0        4        0        0        4
51376 plasma-nano                        	       0        2        0        0        2
51377 plasma-netbook                     	       0        1        1        0        0
51378 plasma-runner-installer            	       0       10        1        0        9
51379 plasma-runner-telepathy-contact    	       0        8        3        0        5
51380 plasma-scriptengine-googlegadgets  	       0        1        1        0        0
51381 plasma-scriptengine-qedje          	       0        1        1        0        0
51382 plasma-scriptengine-ruby           	       0        3        0        0        3
51383 plasma-scriptengines               	       0        2        0        0        2
51384 plasma-sdk                         	       0        7        7        0        0
51385 plasma-theme-oxygen                	       0       40        0        0       40
51386 plasma-wayland-protocols           	       0       11        0        0       11
51387 plasma-widget-cwp                  	       0        1        1        0        0
51388 plasma-widget-message-indicator    	       0        1        1        0        0
51389 plasma-widget-networkmanagement    	       0        1        0        0        1
51390 plasma-widget-x2go                 	       0        1        0        0        1
51391 plasma-widget-yawp                 	       0        1        1        0        0
51392 plasma-workspace-dev               	       0       10       10        0        0
51393 plasma-workspace-doc               	       0       22        0        0       22
51394 plasma-workspace-wallpapers        	       0       60        0        0       60
51395 plasmidomics                       	       0        1        1        0        0
51396 plaso                              	       0       15        1        0       14
51397 plast                              	       0        1        1        0        0
51398 plastex                            	       0        2        2        0        0
51399 plastic                            	       0        1        1        0        0
51400 plastimatch                        	       0        2        2        0        0
51401 plater                             	       0        6        6        0        0
51402 platformio                         	       0        1        1        0        0
51403 platformio-doc                     	       0        1        0        0        1
51404 play.it                            	       0        1        1        0        0
51405 playdeb                            	       0        1        0        0        1
51406 playit                             	       0        1        1        0        0
51407 playitslowly                       	       0        2        2        0        0
51408 playmidi                           	       0       16       16        0        0
51409 plc-utils                          	       0        1        1        0        0
51410 plee-the-bear                      	       0        4        4        0        0
51411 plee-the-bear-data                 	       0        4        0        0        4
51412 plextor-tool                       	       0        1        1        0        0
51413 plink                              	       0        2        2        0        0
51414 plink1.9                           	       0        1        1        0        0
51415 ploop                              	       0        1        1        0        0
51416 plopfolio.app                      	       0        2        1        1        0
51417 plotdrop                           	       0        1        1        0        0
51418 ploticus                           	       0        3        3        0        0
51419 plotnetcfg                         	       0        1        1        0        0
51420 plotutils                          	       0        6        6        0        0
51421 plou                               	       0        1        1        0        0
51422 plover                             	       0        2        2        0        0
51423 plowshare                          	       0        1        1        0        0
51424 plowshare-modules                  	       0        1        0        0        1
51425 plowshare4                         	       0        1        0        0        1
51426 plplot-doc                         	       0        2        0        0        2
51427 plplot-driver-cairo                	       0        3        0        0        3
51428 plplot-driver-qt                   	       0        4        0        0        4
51429 plplot-driver-wxwidgets            	       0        4        0        0        4
51430 plplot-driver-xwin                 	       0        5        0        0        5
51431 plplot-examples                    	       0        1        1        0        0
51432 plplot-tcl                         	       0        3        0        0        3
51433 plplot-tcl-bin                     	       0        3        2        1        0
51434 plplot-tcl-dev                     	       0        2        1        1        0
51435 plptools                           	       0        3        2        1        0
51436 pluginlib-dev                      	       0        2        0        0        2
51437 pluma-dev                          	       0        1        1        0        0
51438 pluma-doc                          	       0        5        0        0        5
51439 pluma-plugin-bookmarks             	       0        1        0        0        1
51440 pluma-plugin-bracketcompletion     	       0        1        0        0        1
51441 pluma-plugin-codecomment           	       0        1        0        0        1
51442 pluma-plugin-quickhighlight        	       0        1        0        0        1
51443 pluma-plugin-smartspaces           	       0        1        0        0        1
51444 pluma-plugin-sourcecodebrowser     	       0        1        0        0        1
51445 pluma-plugin-synctex               	       0        2        0        0        2
51446 pluma-plugin-terminal              	       0        1        0        0        1
51447 pluma-plugin-wordcompletion        	       0        1        0        0        1
51448 pluma-plugins                      	       0        1        0        0        1
51449 pluma-plugins-common               	       0        2        0        0        2
51450 plume-creator                      	       0        4        4        0        0
51451 pluto-keyring                      	       0        1        0        0        1
51452 plutonia-wad                       	       0        3        0        0        3
51453 plymouth-label                     	       0       29        0        0       29
51454 plymouth-theme-breeze              	       0       12        0        0       12
51455 plymouth-theme-hamara              	       0        2        0        0        2
51456 plymouth-themes                    	       0       27        0        0       27
51457 plymouth-x11                       	       0        6        0        0        6
51458 pmacct                             	       0        2        2        0        0
51459 pmailq                             	       0        1        1        0        0
51460 pmars                              	       0        2        1        1        0
51461 pmbootstrap                        	       0        1        1        0        0
51462 pmccabe                            	       0        7        7        0        0
51463 pms                                	       0        3        3        0        0
51464 pmtools                            	       0        2        2        0        0
51465 pmw                                	       0        1        1        0        0
51466 pmx                                	       0        2        1        0        1
51467 pnc                                	       0        2        1        1        0
51468 png-definitive-guide               	       0       15        0        0       15
51469 png22pnm                           	       0        1        1        0        0
51470 png23d                             	       0        4        4        0        0
51471 png2html                           	       0        5        5        0        0
51472 png2pdf                            	       0        1        1        0        0
51473 pngmcposterize                     	       0        1        1        0        0
51474 pngnq                              	       0       11       11        0        0
51475 pngnq-s9                           	       0        1        1        0        0
51476 pngoptimizer                       	       0        1        1        0        0
51477 pngout                             	       0        1        1        0        0
51478 pngphoon                           	       0        5        5        0        0
51479 pngquadtree                        	       0        1        1        0        0
51480 pngtools                           	       0       20       20        0        0
51481 pnm2ppa                            	       0        6        0        0        6
51482 pnmtodjvurle                       	       0        1        1        0        0
51483 pnp4nagios                         	       0        1        0        0        1
51484 pnp4nagios-bin                     	       0        1        1        0        0
51485 pnp4nagios-web                     	       0        1        1        0        0
51486 pnp4nagios-web-config-nagios3      	       0        1        1        0        0
51487 pnpbios-tools                      	       0        1        0        0        1
51488 pnputils                           	       0        1        1        0        0
51489 po4a                               	       0       26       26        0        0
51490 po4a-build-deps                    	       0        2        0        0        2
51491 poa                                	       0        3        3        0        0
51492 poc-streamer                       	       0        4        4        0        0
51493 pocketnet                          	       0        3        2        0        1
51494 pocketsphinx-en-us                 	       0     2806        0        0     2806
51495 pocketsphinx-testdata              	       0        1        0        0        1
51496 pocl-doc                           	       0        1        0        0        1
51497 pocl-opencl-icd                    	       0       54        0        0       54
51498 pod2pandoc                         	       0        3        3        0        0
51499 pod2pdf                            	       0        3        3        0        0
51500 podman-compose                     	       0       14       13        1        0
51501 podman-desktop-companion           	       0        1        0        0        1
51502 podman-docker                      	       0        6        5        1        0
51503 podman-remote                      	       0        1        1        0        0
51504 podman-toolbox                     	       0        3        3        0        0
51505 podpisgov                          	       0        1        1        0        0
51506 podsleuth                          	       0        1        1        0        0
51507 poe.app                            	       0        2        1        1        0
51508 poedit                             	       0       13       13        0        0
51509 poedit-common                      	       0       13        0        0       13
51510 poezio                             	       0        1        1        0        0
51511 poke                               	       0        2        2        0        0
51512 poke-elf                           	       0        2        0        0        2
51513 pokerth-data                       	       0       17        0        0       17
51514 polari                             	       0       14       14        0        0
51515 policy-rcd-declarative             	       0        1        1        0        0
51516 policy-rcd-declarative-deny-all    	       0        1        0        0        1
51517 policycoreutils-dbus               	       0        1        0        0        1
51518 policycoreutils-dev                	       0        4        4        0        0
51519 policycoreutils-gui                	       0        1        1        0        0
51520 policycoreutils-python-utils       	       0        4        4        0        0
51521 policykit                          	       0        1        1        0        0
51522 policykit-1-dbgsym                 	       0        1        1        0        0
51523 policykit-1-doc                    	       0       16        0        0       16
51524 policykit-1-gnome-dbgsym           	       0        1        1        0        0
51525 policykit-gnome                    	       0        1        1        0        0
51526 policyrcd-script-zg2               	       0        2        2        0        0
51527 polipo                             	       0        5        5        0        0
51528 polychromatic                      	       0        2        0        0        2
51529 polychromatic-cli                  	       0        2        2        0        0
51530 polychromatic-common               	       0        2        2        0        0
51531 polychromatic-controller           	       0        2        2        0        0
51532 polychromatic-tray-applet          	       0        2        2        0        0
51533 polygen                            	       0        7        7        0        0
51534 polygen-data                       	       0        7        7        0        0
51535 polyglot-linear-a                  	       0        1        1        0        0
51536 polylib-utils                      	       0        1        1        0        0
51537 polymake                           	       0        3        3        0        0
51538 polymake-common                    	       0        3        3        0        0
51539 polyml                             	       0        2        2        0        0
51540 polyml-modules                     	       0        2        0        0        2
51541 polyorb-servers                    	       0        1        1        0        0
51542 polyphone                          	       0        7        7        0        0
51543 pommed                             	       0        3        3        0        0
51544 pomodoro-logger                    	       0        1        0        0        1
51545 pong2                              	       0        7        6        1        0
51546 ponyprog                           	       0        5        5        0        0
51547 poorman-ids                        	       0        1        1        0        0
51548 popa3d                             	       0        1        1        0        0
51549 popcorn-time                       	       0        2        2        0        0
51550 populations                        	       0        1        1        0        0
51551 poretools                          	       0        1        1        0        0
51552 porg                               	       0        3        3        0        0
51553 portaudio19-doc                    	       0        1        1        0        0
51554 portofino                          	       0        1        0        0        1
51555 portproton                         	       0        2        2        0        0
51556 posh                               	       0        4        4        0        0
51557 positron                           	       0        1        1        0        0
51558 posixtestsuite                     	       0        1        0        0        1
51559 post-el                            	       0        1        1        0        0
51560 postal                             	       0        1        1        0        0
51561 postbird                           	       0        1        0        0        1
51562 poster                             	       0       52       52        0        0
51563 posterazor                         	       0       16       16        0        0
51564 postfix-cdb                        	       0        6        5        1        0
51565 postfix-doc                        	       0       37        0        0       37
51566 postfix-gld                        	       0        1        1        0        0
51567 postfix-ldap                       	       0        9        8        1        0
51568 postfix-lmdb                       	       0        4        4        0        0
51569 postfix-mta-sts-resolver           	       0       25       25        0        0
51570 postfixadmin                       	       0        3        3        0        0
51571 postfwd                            	       0        1        1        0        0
51572 postgis                            	       0       19       19        0        0
51573 postgis-doc                        	       0       19        0        0       19
51574 postgis-gui                        	       0        1        1        0        0
51575 postgrespro-1c-13                  	       0        1        0        0        1
51576 postgrespro-1c-13-client           	       0        1        1        0        0
51577 postgrespro-1c-13-contrib          	       0        1        1        0        0
51578 postgrespro-1c-13-libs             	       0        1        0        0        1
51579 postgrespro-1c-13-server           	       0        1        1        0        0
51580 postgresql                         	       0      189        0        0      189
51581 postgresql-10-postgis-2.4          	       0        1        1        0        0
51582 postgresql-10-postgis-2.4-scripts  	       0        1        0        0        1
51583 postgresql-11-postgis-2.5          	       0        4        4        0        0
51584 postgresql-11-postgis-2.5-scripts  	       0        4        0        0        4
51585 postgresql-11-repmgr               	       0        1        1        0        0
51586 postgresql-11-rum                  	       0        1        1        0        0
51587 postgresql-11-slony1-2             	       0        1        1        0        0
51588 postgresql-12                      	       0        6        6        0        0
51589 postgresql-12-ip4r                 	       0        1        1        0        0
51590 postgresql-12-postgis-3            	       0        1        1        0        0
51591 postgresql-12-postgis-3-scripts    	       0        1        0        0        1
51592 postgresql-13-cron                 	       0        1        1        0        0
51593 postgresql-13-postgis-3            	       0        7        7        0        0
51594 postgresql-13-postgis-3-scripts    	       0        7        0        0        7
51595 postgresql-13-repmgr               	       0        1        1        0        0
51596 postgresql-13-slony1-2             	       0        1        1        0        0
51597 postgresql-14-debversion           	       0        1        1        0        0
51598 postgresql-14-first-last-agg       	       0        1        1        0        0
51599 postgresql-14-ip4r                 	       0        1        1        0        0
51600 postgresql-14-mimeo                	       0        1        1        0        0
51601 postgresql-14-mysql-fdw            	       0        1        1        0        0
51602 postgresql-14-numeral              	       0        1        1        0        0
51603 postgresql-14-orafce               	       0        1        1        0        0
51604 postgresql-14-pgrouting            	       0        1        1        0        0
51605 postgresql-14-pgrouting-doc        	       0        1        0        0        1
51606 postgresql-14-pgrouting-scripts    	       0        1        0        0        1
51607 postgresql-14-pldebugger           	       0        1        1        0        0
51608 postgresql-14-postgis-3            	       0        2        2        0        0
51609 postgresql-14-postgis-3-dbgsym     	       0        1        1        0        0
51610 postgresql-14-postgis-3-scripts    	       0        2        0        0        2
51611 postgresql-14-rum                  	       0        1        1        0        0
51612 postgresql-15-ip4r                 	       0        1        1        0        0
51613 postgresql-15-jsquery              	       0        1        1        0        0
51614 postgresql-15-postgis-3-scripts    	       0        7        0        0        7
51615 postgresql-15-repmgr               	       0        1        1        0        0
51616 postgresql-15-slony1-2             	       0        1        1        0        0
51617 postgresql-16-auto-failover        	       0        1        1        0        0
51618 postgresql-16-timescaledb          	       0        1        1        0        0
51619 postgresql-17-pgvector             	       0        1        1        0        0
51620 postgresql-17-postgis-3            	       0        1        1        0        0
51621 postgresql-17-postgis-3-scripts    	       0        1        0        0        1
51622 postgresql-8.3                     	       0        1        1        0        0
51623 postgresql-9.1                     	       0        3        3        0        0
51624 postgresql-9.3                     	       0        2        2        0        0
51625 postgresql-9.4-postgis-scripts     	       0        1        0        0        1
51626 postgresql-9.6-postgis-2.3         	       0        2        2        0        0
51627 postgresql-9.6-postgis-2.3-scripts 	       0        2        0        0        2
51628 postgresql-9.6-preprepare          	       0        1        1        0        0
51629 postgresql-all                     	       0        4        0        0        4
51630 postgresql-autodoc                 	       0        3        3        0        0
51631 postgresql-client                  	       0       89        0        0       89
51632 postgresql-client-12               	       0       10       10        0        0
51633 postgresql-client-8.3              	       0        1        1        0        0
51634 postgresql-client-8.4              	       0        2        2        0        0
51635 postgresql-client-9.1              	       0        5        5        0        0
51636 postgresql-client-9.2              	       0        1        1        0        0
51637 postgresql-client-9.3              	       0        3        3        0        0
51638 postgresql-client-9.5              	       0        1        1        0        0
51639 postgresql-common-dev              	       0        4        1        3        0
51640 postgresql-comparator              	       0        5        5        0        0
51641 postgresql-contrib                 	       0       32        0        0       32
51642 postgresql-contrib-8.4             	       0        1        1        0        0
51643 postgresql-contrib-9.1             	       0        2        2        0        0
51644 postgresql-contrib-9.4             	       0        8        8        0        0
51645 postgresql-doc                     	       0       24        0        0       24
51646 postgresql-doc-10                  	       0        1        0        0        1
51647 postgresql-doc-11                  	       0        7        0        0        7
51648 postgresql-doc-13                  	       0       13        0        0       13
51649 postgresql-doc-15                  	       0       19        0        0       19
51650 postgresql-doc-16                  	       0        5        0        0        5
51651 postgresql-doc-17                  	       0        5        0        0        5
51652 postgresql-doc-9.1                 	       0        3        0        0        3
51653 postgresql-doc-9.4                 	       0        5        0        0        5
51654 postgresql-doc-9.6                 	       0        8        0        0        8
51655 postgresql-filedump                	       0        3        3        0        0
51656 postgresql-filedump-8.3            	       0        1        1        0        0
51657 postgresql-pgsphere                	       0        2        2        0        0
51658 postgresql-plperl-12               	       0        1        1        0        0
51659 postgresql-plperl-13               	       0        5        5        0        0
51660 postgresql-plperl-15               	       0        2        2        0        0
51661 postgresql-plperl-9.1              	       0        1        1        0        0
51662 postgresql-plpython-11             	       0        1        1        0        0
51663 postgresql-plpython3-10            	       0        1        1        0        0
51664 postgresql-plpython3-11            	       0        3        3        0        0
51665 postgresql-plpython3-12            	       0        1        1        0        0
51666 postgresql-plpython3-13            	       0        4        4        0        0
51667 postgresql-plpython3-15            	       0        2        2        0        0
51668 postgresql-pltcl-12                	       0        1        1        0        0
51669 postgresql-pltcl-13                	       0        4        4        0        0
51670 postgresql-pltcl-15                	       0        3        2        1        0
51671 postgresql-pltcl-17                	       0        1        1        0        0
51672 postgresql-pltcl-8.4               	       0        1        1        0        0
51673 postgresql-pltcl-9.1               	       0        2        2        0        0
51674 postgresql-pltcl-9.4               	       0        1        1        0        0
51675 postgresql-postgis                 	       0        7        0        0        7
51676 postgresql-postgis-scripts         	       0        7        0        0        7
51677 postgresql-q3c                     	       0        2        2        0        0
51678 postgresql-server-dev-10           	       0        1        1        0        0
51679 postgresql-server-dev-11           	       0        3        3        0        0
51680 postgresql-server-dev-12           	       0        2        2        0        0
51681 postgresql-server-dev-13           	       0        9        9        0        0
51682 postgresql-server-dev-14           	       0        1        1        0        0
51683 postgresql-server-dev-15           	       0        9        9        0        0
51684 postgresql-server-dev-16           	       0        1        1        0        0
51685 postgresql-server-dev-17           	       0        1        1        0        0
51686 postgresql-server-dev-9.4          	       0        1        1        0        0
51687 postgresql-server-dev-9.6          	       0        1        1        0        0
51688 postgresql-server-dev-all          	       0        9        9        0        0
51689 postinstall                        	       0        1        1        0        0
51690 postsrsd                           	       0        1        1        0        0
51691 potion                             	       0        1        1        0        0
51692 potool                             	       0        3        3        0        0
51693 povray                             	       0       30       30        0        0
51694 povray-doc                         	       0       13        0        0       13
51695 povray-examples                    	       0       16        0        0       16
51696 povray-includes                    	       0       27        0        0       27
51697 powder                             	       0        3        3        0        0
51698 power-calibrate                    	       0        4        4        0        0
51699 power-profiles-daemon              	       0      329      295       34        0
51700 powercap-utils                     	       0        3        3        0        0
51701 powerdebug                         	       0        2        2        0        0
51702 powerdevil-dev                     	       0        1        0        0        1
51703 powerkit                           	       0        5        5        0        0
51704 powerline                          	       0       16       14        2        0
51705 powerline-doc                      	       0        2        0        0        2
51706 powerline-gitstatus                	       0        1        0        0        1
51707 powerman                           	       0        1        1        0        0
51708 powermanga-data                    	       0       12        0        0       12
51709 powerpanel                         	       0        5        5        0        0
51710 powerpc-ibm-utils                  	       0        3        3        0        0
51711 powerpc-utils                      	       0        3        0        0        3
51712 powershell-lts                     	       0        1        1        0        0
51713 powershield                        	       0        1        1        0        0
51714 powerstat                          	       0       17       17        0        0
51715 powersupply-gtk                    	       0        1        1        0        0
51716 powertweak                         	       0        1        0        0        1
51717 powertweak-extra                   	       0        1        1        0        0
51718 powertweak-gtk                     	       0        1        1        0        0
51719 powertweakd                        	       0        1        1        0        0
51720 poxml                              	       0       45       43        2        0
51721 poxml-trinity                      	       0        1        1        0        0
51722 pp-popularity-contest              	       0        1        1        0        0
51723 ppa-purge                          	       0        1        1        0        0
51724 ppcoin                             	       0        1        1        0        0
51725 ppd-files-dkaes                    	       0        3        0        0        3
51726 ppdfilt-dbgsym                     	       0        1        1        0        0
51727 ppl-dev                            	       0        9        9        0        0
51728 ppmdescreen                        	       0        1        1        0        0
51729 ppp-dev                            	       0        7        7        0        0
51730 ppp-gatekeeper                     	       0        1        1        0        0
51731 pppdcapiplugin                     	       0        1        1        0        0
51732 pprompt                            	       0        1        0        0        1
51733 ppthtml                            	       0        2        2        0        0
51734 pptpd                              	       0        5        5        0        0
51735 pptview                            	       0        1        1        0        0
51736 pqiv                               	       0       20       20        0        0
51737 pr3287                             	       0        1        1        0        0
51738 praat                              	       0       11       11        0        0
51739 pragha                             	       0        9        8        1        0
51740 prank                              	       0        4        4        0        0
51741 praw-doc                           	       0        2        0        0        2
51742 prayer                             	       0        2        2        0        0
51743 prayer-accountd                    	       0        2        2        0        0
51744 prayer-templates-dev               	       0        1        1        0        0
51745 prayer-templates-src               	       0        1        0        0        1
51746 prboom                             	       0        1        1        0        0
51747 prboom-plus                        	       0       27        9        0       18
51748 prboom-plus-game-server            	       0        5        3        0        2
51749 pre-commit                         	       0        6        6        0        0
51750 predict                            	       0        1        1        0        0
51751 predict-gsat                       	       0        1        1        0        0
51752 predictnls                         	       0        1        1        0        0
51753 prelink                            	       0       11       11        0        0
51754 prelude-utils                      	       0        4        4        0        0
51755 premail                            	       0        1        1        0        0
51756 premake4                           	       0        5        5        0        0
51757 presage                            	       0       26       25        1        0
51758 presentty                          	       0        1        1        0        0
51759 prettyping                         	       0        6        6        0        0
51760 prevent-networkmanager             	       0        1        0        0        1
51761 prevent-puppet                     	       0        1        0        0        1
51762 prevent-ruby                       	       0        1        0        0        1
51763 prevent-systemd-running            	       0        1        0        0        1
51764 prevent-unattended-upgrades        	       0        1        0        0        1
51765 preview-latex-style                	       0      442        0        0      442
51766 preview.app                        	       0        4        3        1        0
51767 previsat                           	       0        1        1        0        0
51768 price.app                          	       0        9        8        1        0
51769 prime-phylo                        	       0        1        1        0        0
51770 primecount-bin                     	       0        9        9        0        0
51771 primer3                            	       0        4        4        0        0
51772 primesieve-bin                     	       0       13       13        0        0
51773 primesieve-doc                     	       0        1        0        0        1
51774 primrose                           	       0        8        8        0        0
51775 primus                             	       0       12       12        0        0
51776 primus-libs                        	       0       20        0        0       20
51777 primus-libs-ia32                   	       0        1        0        0        1
51778 primus-nvidia                      	       0        6        0        0        6
51779 primus-vk                          	       0        2        2        0        0
51780 primus-vk-nvidia                   	       0        2        0        0        2
51781 prince                             	       0        1        1        0        0
51782 printconf                          	       0        1        1        0        0
51783 printcore                          	       0        9        9        0        0
51784 printemf                           	       0        1        1        0        0
51785 printer-driver-all                 	       0      572        0        0      572
51786 printer-driver-all-enforce         	       0        3        0        0        3
51787 printmyfonts-stt                   	       0        2        2        0        0
51788 printop                            	       0        2        2        0        0
51789 printrun                           	       0        7        1        0        6
51790 printrun-common                    	       0       10       10        0        0
51791 prips                              	       0        1        1        0        0
51792 prism2-usb-firmware-installer      	       0        1        1        0        0
51793 prismstumbler                      	       0        1        1        0        0
51794 pristine-lfs                       	       0        2        2        0        0
51795 pritunl-client-electron            	       0        1        1        0        0
51796 privatenotes                       	       0        1        1        0        0
51797 prl-nettool                        	       0        1        1        0        0
51798 proalign                           	       0        1        1        0        0
51799 probabel                           	       0        1        1        0        0
51800 probalign                          	       0        4        4        0        0
51801 probcons                           	       0        4        4        0        0
51802 procmail-lib                       	       0        4        4        0        0
51803 procmail-wrapper                   	       0        1        1        0        0
51804 procmeter3                         	       0        6        6        0        0
51805 procmon                            	       0        1        1        0        0
51806 procs                              	       0        1        1        0        0
51807 procserv                           	       0        3        3        0        0
51808 proda                              	       0        4        4        0        0
51809 prodigal                           	       0        1        1        0        0
51810 profanity-light                    	       0        1        1        0        0
51811 profile-sync-daemon                	       0        2        2        0        0
51812 profisis                           	       0        1        1        0        0
51813 profnet-bval                       	       0        1        1        0        0
51814 profnet-chop                       	       0        1        1        0        0
51815 profnet-con                        	       0        1        1        0        0
51816 profnet-isis                       	       0        1        1        0        0
51817 profnet-md                         	       0        1        1        0        0
51818 profnet-norsnet                    	       0        1        1        0        0
51819 profnet-prof                       	       0        1        1        0        0
51820 profnet-snapfun                    	       0        1        1        0        0
51821 profphd                            	       0        1        1        0        0
51822 profphd-net                        	       0        1        1        0        0
51823 profphd-utils                      	       0        1        1        0        0
51824 proftmb                            	       0        1        1        0        0
51825 proftpd-basic                      	       0       14        3        0       11
51826 proftpd-doc                        	       0       30        0        0       30
51827 proftpd-mod-geoip                  	       0        2        2        0        0
51828 proftpd-mod-ldap                   	       0        2        2        0        0
51829 proftpd-mod-mysql                  	       0        3        3        0        0
51830 proftpd-mod-odbc                   	       0        1        1        0        0
51831 proftpd-mod-pgsql                  	       0        2        2        0        0
51832 proftpd-mod-snmp                   	       0        1        1        0        0
51833 proftpd-mod-sqlite                 	       0        1        1        0        0
51834 progress                           	       0       34       33        1        0
51835 proguard                           	       0        1        0        0        1
51836 proguard-cli                       	       0       32       32        0        0
51837 proguard-gui                       	       0        3        3        0        0
51838 progvis                            	       0        1        1        0        0
51839 progvis-examples                   	       0        1        0        0        1
51840 proj                               	       0        2        0        0        2
51841 proj-data                          	       0      715        0        0      715
51842 proj-ps-doc                        	       0        1        0        0        1
51843 projectcenter.app                  	       0        2        1        1        0
51844 projectl                           	       0        1        1        0        0
51845 projectlibre                       	       0        5        5        0        0
51846 projectm-data                      	       0       53        0        0       53
51847 projectm-jack                      	       0       11       11        0        0
51848 projectm-sdl                       	       0        4        4        0        0
51849 proll                              	       0        2        0        0        2
51850 prometheus-apache-exporter         	       0        1        1        0        0
51851 prometheus-bind-exporter           	       0        1        1        0        0
51852 prometheus-frr-exporter            	       0        1        1        0        0
51853 prometheus-nginx-exporter          	       0        1        1        0        0
51854 prometheus-node-exporter-collectors	       0        4        4        0        0
51855 prometheus-postgres-exporter       	       0        2        2        0        0
51856 prometheus-process-exporter        	       0        1        1        0        0
51857 promoe                             	       0        1        1        0        0
51858 pronsole                           	       0        9        9        0        0
51859 pronterface                        	       0        8        8        0        0
51860 proofgeneral                       	       0        1        1        0        0
51861 proot                              	       0        9        9        0        0
51862 propaganda-debian                  	       0        2        0        0        2
51863 prosody-modules                    	       0        1        1        0        0
51864 prosper                            	       0       26        0        0       26
51865 proteinortho                       	       0        1        1        0        0
51866 protobuf-c-compiler                	       0       24       24        0        0
51867 protobuf-compiler-grpc-java-plugin 	       0        2        2        0        0
51868 protoc-gen-go                      	       0        2        2        0        0
51869 proton-caller                      	       0        9        8        1        0
51870 proton-mail                        	       0        5        5        0        0
51871 proton-vpn-gnome-desktop           	       0       11        0        0       11
51872 protonmail-bridge                  	       0        5        5        0        0
51873 protonmail-import-export-app       	       0        1        1        0        0
51874 protontricks                       	       0       18       17        1        0
51875 protonvpn                          	       0        4        0        0        4
51876 protonvpn-cli                      	       0        4        4        0        0
51877 protonvpn-gui                      	       0        3        3        0        0
51878 protonvpn-stable-release           	       0       25        0        0       25
51879 protracker                         	       0        2        0        0        2
51880 protrekkr                          	       0        2        2        0        0
51881 prottest                           	       0        1        1        0        0
51882 prove6                             	       0        8        7        1        0
51883 prover9                            	       0        3        3        0        0
51884 proxmox-auto-install-assistant     	       0        1        1        0        0
51885 proxsmtp                           	       0        1        1        0        0
51886 proxychains                        	       0       22       22        0        0
51887 proxycheck                         	       0        3        3        0        0
51888 proxytunnel                        	       0        2        2        0        0
51889 prt                                	       0        1        1        0        0
51890 prusa-slicer-dbgsym                	       0        1        1        0        0
51891 psallinux                          	       0        1        1        0        0
51892 psautohint                         	       0        2        2        0        0
51893 psbasic                            	       0        5        5        0        0
51894 pscan                              	       0        1        1        0        0
51895 pseudo                             	       0        4        4        0        0
51896 psf-unifont                        	       0      109        0        0      109
51897 psfex                              	       0        2        2        0        0
51898 psfontmgr                          	       0        1        1        0        0
51899 psgml                              	       0        7        7        0        0
51900 psi-l10n                           	       0       20        1        0       19
51901 psi-plus-common                    	       0       19        0        0       19
51902 psi-plus-dbg                       	       0        1        1        0        0
51903 psi-plus-l10n                      	       0       17        1        0       16
51904 psi-plus-plugin-psimedia           	       0        1        1        0        0
51905 psi-plus-skins                     	       0        7        0        0        7
51906 psi-plus-sounds                    	       0       18        0        0       18
51907 psi-plus-webkit                    	       0        4        4        0        0
51908 psi-translations                   	       0        1        0        0        1
51909 psi3                               	       0        2        2        0        0
51910 psi4                               	       0        1        1        0        0
51911 psi4-data                          	       0        1        0        0        1
51912 psignifit                          	       0        2        2        0        0
51913 psk31lx                            	       0        6        6        0        0
51914 pskc-utils                         	       0        1        1        0        0
51915 pskctool                           	       0        1        1        0        0
51916 psl                                	       0        2        2        0        0
51917 psl-make-dafsa                     	       0        1        1        0        0
51918 pslib-dev                          	       0        3        3        0        0
51919 pslib1                             	       0        8        0        0        8
51920 pspp                               	       0       10        9        1        0
51921 pstack                             	       0        5        5        0        0
51922 psychopy                           	       0        1        1        0        0
51923 psychtoolbox-3-common              	       0        3        3        0        0
51924 psychtoolbox-3-lib                 	       0        3        3        0        0
51925 pt2-clone                          	       0        4        4        0        0
51926 pt2-clone-dbgsym                   	       0        1        1        0        0
51927 ptask                              	       0        1        1        0        0
51928 ptop                               	       0        1        0        0        1
51929 ptpd                               	       0        3        3        0        0
51930 ptpython                           	       0        2        2        0        0
51931 ptscotch                           	       0        1        1        0        0
51932 ptunnel                            	       0        3        3        0        0
51933 ptxdist-1.99.13-common             	       0        1        0        0        1
51934 pub2odg                            	       0        2        2        0        0
51935 publib-dev                         	       0        1        1        0        0
51936 publican                           	       0        2        2        0        0
51937 publican-debian                    	       0        2        0        0        2
51938 publii                             	       0        2        2        0        0
51939 puf                                	       0        4        4        0        0
51940 pugixml-doc                        	       0        1        0        0        1
51941 pulldown-cmark                     	       0        1        1        0        0
51942 pulse                              	       0        1        0        0        1
51943 pulseaudio-build-deps              	       0        1        0        0        1
51944 pulseaudio-dbgsym                  	       0        1        1        0        0
51945 pulseaudio-dlna                    	       0        7        7        0        0
51946 pulseaudio-esound-compat           	       0        3        3        0        0
51947 pulseaudio-module-bluetooth-dbgsym 	       0        1        1        0        0
51948 pulseaudio-module-lirc             	       0       14       14        0        0
51949 pulseaudio-module-raop             	       0        7        7        0        0
51950 pulseaudio-utils-dbgsym            	       0        1        1        0        0
51951 pulseview                          	       0       25       23        2        0
51952 pump                               	       0        3        3        0        0
51953 pup                                	       0        2        2        0        0
51954 puppet                             	       0       21       14        0        7
51955 puppet-common                      	       0       12       10        0        2
51956 puppet-lint                        	       0        2        2        0        0
51957 puppet-master                      	       0        1        0        0        1
51958 puppet-module-heat                 	       0        1        1        0        0
51959 puppet-module-keystone             	       0        1        1        0        0
51960 puppet-module-nanliu-staging       	       0        1        1        0        0
51961 puppet-module-openstacklib         	       0        1        1        0        0
51962 puppet-module-oslo                 	       0        1        1        0        0
51963 puppet-module-puppetlabs-apache    	       0        1        1        0        0
51964 puppet-module-puppetlabs-apt       	       0        1        1        0        0
51965 puppet-module-puppetlabs-augeas-core	       0        1        1        0        0
51966 puppet-module-puppetlabs-concat    	       0        1        1        0        0
51967 puppet-module-puppetlabs-cron-core 	       0        1        1        0        0
51968 puppet-module-puppetlabs-host-core 	       0        1        1        0        0
51969 puppet-module-puppetlabs-inifile   	       0        1        1        0        0
51970 puppet-module-puppetlabs-mount-core	       0        1        1        0        0
51971 puppet-module-puppetlabs-mysql     	       0        1        1        0        0
51972 puppet-module-puppetlabs-postgresql	       0        1        1        0        0
51973 puppet-module-puppetlabs-rabbitmq  	       0        1        1        0        0
51974 puppet-module-puppetlabs-selinux-core	       0        1        1        0        0
51975 puppet-module-puppetlabs-sshkeys-core	       0        1        1        0        0
51976 puppet-module-puppetlabs-stdlib    	       0        1        1        0        0
51977 puppet-terminus-puppetdb           	       0        1        1        0        0
51978 pure-ftpd-ldap                     	       0        1        1        0        0
51979 puredata                           	       0       20        0        0       20
51980 puredata-common                    	       0        3        3        0        0
51981 puredata-core                      	       0       21       21        0        0
51982 puredata-dev                       	       0       20       20        0        0
51983 puredata-doc                       	       0       20        0        0       20
51984 puredata-extra                     	       0       20       20        0        0
51985 puredata-gui                       	       0       20       20        0        0
51986 puredata-gui-l10n                  	       0       19       19        0        0
51987 puredata-import                    	       0        9        9        0        0
51988 puredata-utils                     	       0       20       20        0        0
51989 purify                             	       0        2        2        0        0
51990 purifyeps                          	       0      101       97        4        0
51991 purity                             	       0        4        4        0        0
51992 purity-ng                          	       0        1        1        0        0
51993 purity-off                         	       0        1        0        0        1
51994 purple-facebook                    	       0        2        2        0        0
51995 purple-libsteam                    	       0        1        1        0        0
51996 purple-libsteam-build-deps         	       0        1        0        0        1
51997 purple-matrix                      	       0        3        3        0        0
51998 purple-xmpp-carbons                	       0        3        3        0        0
51999 purple-xmpp-http-upload            	       0        2        1        0        1
52000 pushover                           	       0        5        5        0        0
52001 pushover-data                      	       0        5        0        0        5
52002 putty-doc                          	       0       12        0        0       12
52003 puzzle-jigsaw                      	       0        4        4        0        0
52004 pv-grub-menu                       	       0        1        1        0        0
52005 pvm                                	       0        8        8        0        0
52006 pvrg-jpeg                          	       0        8        8        0        0
52007 pwauth                             	       0        9        9        0        0
52008 pwget                              	       0        4        4        0        0
52009 pwm                                	       0        1        1        0        0
52010 pwndbg                             	       0        1        1        0        0
52011 pwr60                              	       0        1        1        0        0
52012 pwrdemo60                          	       0        1        1        0        0
52013 pwrrt                              	       0        1        1        0        0
52014 px                                 	       0        8        8        0        0
52015 pxe                                	       0        1        1        0        0
52016 pxelinux                           	       0       21       21        0        0
52017 pxfw                               	       0        3        3        0        0
52018 pxlib-dev                          	       0        3        3        0        0
52019 pxlib1                             	       0      135        0        0      135
52020 pxlinux                            	       0        1        1        0        0
52021 pxsl-tools                         	       0        1        1        0        0
52022 py-cpuinfo                         	       0        2        2        0        0
52023 pybik-bin                          	       0       11       10        1        0
52024 pybind11-dev                       	       0       60       59        1        0
52025 pybind11-doc                       	       0        1        0        0        1
52026 pybootchartgui                     	       0        1        1        0        0
52027 pybtctool                          	       0        1        1        0        0
52028 pybtex                             	       0        2        2        0        0
52029 pybuild-plugin-pyproject           	       0        5        0        0        5
52030 pycadf-common                      	       0        5        0        0        5
52031 pycharm-community                  	       0        1        1        0        0
52032 pychecker                          	       0        2        2        0        0
52033 pycode-browser                     	       0        2        2        0        0
52034 pyconfigure                        	       0        1        1        0        0
52035 pycorrfit                          	       0        1        1        0        0
52036 pydevd                             	       0        1        1        0        0
52037 pydocstyle                         	       0        3        3        0        0
52038 pydoctor                           	       0        2        2        0        0
52039 pyecm                              	       0        1        1        0        0
52040 pyensembl                          	       0        1        1        0        0
52041 pyflakes                           	       0        3        3        0        0
52042 pyformex-extra                     	       0        1        0        0        1
52043 pygame-build-deps-depends          	       0        1        0        0        1
52044 pygopherd                          	       0        2        2        0        0
52045 pyhoca-gui                         	       0        3        3        0        0
52046 pyjoke                             	       0        1        1        0        0
52047 pykaraoke                          	       0        1        1        0        0
52048 pykaraoke-bin                      	       0        1        1        0        0
52049 pykml                              	       0        3        3        0        0
52050 pykolab                            	       0        1        1        0        0
52051 pylama                             	       0        3        3        0        0
52052 pyliblo-utils                      	       0        3        3        0        0
52053 pylint-doc                         	       0        8        0        0        8
52054 pylint3                            	       0       10        3        0        7
52055 pymacs                             	       0        1        1        0        0
52056 pymca-data                         	       0        2        0        0        2
52057 pymissile                          	       0        1        1        0        0
52058 pymoctool                          	       0        1        1        0        0
52059 pymol                              	       0        9        9        0        0
52060 pymol-data                         	       0        9        0        0        9
52061 pympress                           	       0        1        1        0        0
52062 pynag                              	       0        1        1        0        0
52063 pynagram                           	       0        1        1        0        0
52064 pyneighborhood                     	       0        2        2        0        0
52065 pyosmium                           	       0        1        1        0        0
52066 pyotherside-doc                    	       0        1        0        0        1
52067 pyotherside-tests                  	       0        2        0        0        2
52068 pyp                                	       0        2        2        0        0
52069 pypi2deb                           	       0        2        2        0        0
52070 pyppd                              	       0        1        1        0        0
52071 pypy-asn1crypto                    	       0        1        1        0        0
52072 pypy-dev                           	       0        3        3        0        0
52073 pypy-doc                           	       0        2        0        0        2
52074 pypy-lib-testsuite                 	       0        2        2        0        0
52075 pypy-pkg-resources                 	       0        3        3        0        0
52076 pypy-setuptools                    	       0        2        2        0        0
52077 pypy-simplejson                    	       0        1        1        0        0
52078 pypy-six                           	       0        2        2        0        0
52079 pypy-stem                          	       0        1        1        0        0
52080 pypy-tk                            	       0        3        3        0        0
52081 pypy3-dev                          	       0        3        3        0        0
52082 pypy3-doc                          	       0        2        0        0        2
52083 pypy3-lib                          	       0       14       14        0        0
52084 pypy3-tk                           	       0        5        5        0        0
52085 pypy3-venv                         	       0        1        0        0        1
52086 pyqi                               	       0        2        2        0        0
52087 pyqso                              	       0        6        5        1        0
52088 pyqt-builder-doc                   	       0        1        0        0        1
52089 pyqt4-dev-tools                    	       0        1        1        0        0
52090 pyqt5-doc                          	       0        3        0        0        3
52091 pyqt5-examples                     	       0        4        0        0        4
52092 pyqt5.qsci-dev                     	       0        8        7        0        1
52093 pyqt5chart-dev                     	       0        2        2        0        0
52094 pyqt6-charts-dev                   	       0        2        2        0        0
52095 pyqt6-dev                          	       0        2        2        0        0
52096 pyqt6-examples                     	       0        2        0        0        2
52097 pyqt6-webengine-dev                	       0        1        1        0        0
52098 pyqt6.qsci-dev                     	       0        1        1        0        0
52099 pyracerz                           	       0        2        2        0        0
52100 pyro4                              	       0        1        0        0        1
52101 pyro4-doc                          	       0        1        0        0        1
52102 pysassc                            	       0        6        6        0        0
52103 pysatellites                       	       0        1        1        0        0
52104 pysdl2-doc                         	       0        2        0        0        2
52105 pyside-tools                       	       0        7        7        0        0
52106 pyside2-tools                      	       0        8        7        1        0
52107 pysiogame                          	       0       11       11        0        0
52108 pysolfc-cardsets                   	       0        4        0        0        4
52109 pysph-viewer                       	       0        1        1        0        0
52110 pysubs2                            	       0        1        1        0        0
52111 pysycache                          	       0        2        2        0        0
52112 pysycache-buttons-ice              	       0        2        0        0        2
52113 pysycache-click-dinosaurs          	       0        2        0        0        2
52114 pysycache-dblclick-appleandpear    	       0        2        0        0        2
52115 pysycache-i18n                     	       0        2        0        0        2
52116 pysycache-images                   	       0        2        0        0        2
52117 pysycache-move-animals             	       0        2        0        0        2
52118 pysycache-puzzle-cartoons          	       0        2        0        0        2
52119 pysycache-sounds                   	       0        2        0        0        2
52120 pytagsfs                           	       0        1        1        0        0
52121 pytdeextensions-trinity            	       0        2        2        0        0
52122 pytest-benchmark                   	       0        1        1        0        0
52123 python-acme                        	       0        4        4        0        0
52124 python-acme-doc                    	       0        2        0        0        2
52125 python-acoustid                    	       0        1        1        0        0
52126 python-adns                        	       0        5        5        0        0
52127 python-aiml                        	       0        1        1        0        0
52128 python-aioeventlet                 	       0        1        1        0        0
52129 python-aiosqlite-doc               	       0        1        0        0        1
52130 python-alabaster                   	       0        9        9        0        0
52131 python-alembic                     	       0        1        1        0        0
52132 python-all                         	       0       63        0        0       63
52133 python-all-dbg                     	       0        4        0        0        4
52134 python-all-dev                     	       0       52        0        0       52
52135 python-alsaaudio                   	       0        6        6        0        0
52136 python-amqp                        	       0        1        1        0        0
52137 python-amqp-doc                    	       0        1        0        0        1
52138 python-amqplib                     	       0        1        1        0        0
52139 python-ansible-runner-doc          	       0        1        0        0        1
52140 python-antlr                       	       0       11       11        0        0
52141 python-anyjson                     	       0        1        1        0        0
52142 python-aodh                        	       0        1        1        0        0
52143 python-aodhclient                  	       0        1        1        0        0
52144 python-apipkg                      	       0        1        1        0        0
52145 python-appdirs                     	       0        1        1        0        0
52146 python-appindicator                	       0        3        3        0        0
52147 python-application                 	       0        1        1        0        0
52148 python-apptools                    	       0        1        1        0        0
52149 python-apptools-doc                	       0        1        0        0        1
52150 python-apsw                        	       0       22       22        0        0
52151 python-apsw-doc                    	       0        4        0        0        4
52152 python-apt-common                  	       0     3959        0        0     3959
52153 python-apt-common-devuan           	       0     1574        0        0     1574
52154 python-apt-dev                     	       0        1        1        0        0
52155 python-apt-doc                     	       0        6        0        0        6
52156 python-aptdaemon                   	       0        2        2        0        0
52157 python-aptdaemon-gtk               	       0        1        0        0        1
52158 python-aptdaemon.gtk3widgets       	       0        2        2        0        0
52159 python-aptdaemon.gtkwidgets        	       0        1        1        0        0
52160 python-ara-doc                     	       0        1        0        0        1
52161 python-argcomplete                 	       0        4        4        0        0
52162 python-argh                        	       0        1        1        0        0
52163 python-argparse                    	       0        1        1        0        0
52164 python-artifacts                   	       0        1        1        0        0
52165 python-asn1crypto                  	       0       82       81        1        0
52166 python-astroid                     	       0        4        4        0        0
52167 python-astrometry                  	       0        1        1        0        0
52168 python-astroplan-doc               	       0        1        0        0        1
52169 python-astropy                     	       0        1        1        0        0
52170 python-astropy-doc                 	       0        1        0        0        1
52171 python-astroquery-doc              	       0        1        0        0        1
52172 python-attr-doc                    	       0        7        0        0        7
52173 python-audioread                   	       0        1        1        0        0
52174 python-audit                       	       0        1        1        0        0
52175 python-augeas                      	       0        1        1        0        0
52176 python-autobahn                    	       0        2        2        0        0
52177 python-automat                     	       0       21       21        0        0
52178 python-automaton                   	       0        1        1        0        0
52179 python-avogadro                    	       0        1        1        0        0
52180 python-axiom                       	       0        1        1        0        0
52181 python-babel-localedata            	       0      510        0        0      510
52182 python-backports-abc               	       0        6        6        0        0
52183 python-backports-shutil-get-terminal-size	       0        6        6        0        0
52184 python-backports.os                	       0        1        1        0        0
52185 python-backports.ssl-match-hostname	       0        1        1        0        0
52186 python-barbicanclient              	       0        1        1        0        0
52187 python-bcrypt                      	       0       19       19        0        0
52188 python-beaker                      	       0        2        2        0        0
52189 python-beautifulsoup               	       0       11       11        0        0
52190 python-bibtex                      	       0        1        1        0        0
52191 python-bibtexparser                	       0        1        1        0        0
52192 python-binplist                    	       0        1        1        0        0
52193 python-biopython-doc               	       0        2        0        0        2
52194 python-biplist                     	       0        1        1        0        0
52195 python-bitarray                    	       0        1        1        0        0
52196 python-bitcoin                     	       0        1        1        0        0
52197 python-bittorrent                  	       0        5        5        0        0
52198 python-black-doc                   	       0        2        0        0        2
52199 python-bleach                      	       0        1        1        0        0
52200 python-bleach-doc                  	       0        1        0        0        1
52201 python-blinker-doc                 	       0        7        0        0        7
52202 python-blosc-doc                   	       0        1        0        0        1
52203 python-bluez                       	       0       10       10        0        0
52204 python-boto                        	       0        2        2        0        0
52205 python-bottle                      	       0        1        1        0        0
52206 python-bottleneck-doc              	       0        2        0        0        2
52207 python-brial                       	       0        1        1        0        0
52208 python-brlapi                      	       0        2        2        0        0
52209 python-bs4-doc                     	       0        4        0        0        4
52210 python-bson                        	       0        6        6        0        0
52211 python-bson-ext                    	       0        5        5        0        0
52212 python-bugbuddy                    	       0        1        0        0        1
52213 python-bz2file                     	       0        1        1        0        0
52214 python-bzrlib                      	       0       13       13        0        0
52215 python-cached-property             	       0        1        1        0        0
52216 python-cachetools                  	       0        1        1        0        0
52217 python-cairo-dev                   	       0        1        1        0        0
52218 python-cairocffi                   	       0        3        3        0        0
52219 python-cairocffi-doc               	       0        7        0        0        7
52220 python-cairosvg                    	       0        1        1        0        0
52221 python-caja                        	       0        5        0        0        5
52222 python-caja-common                 	       0       94        0        0       94
52223 python-caldav                      	       0        1        1        0        0
52224 python-canmatrix                   	       0        1        1        0        0
52225 python-cartopy-data                	       0       11        0        0       11
52226 python-castellan                   	       0        1        1        0        0
52227 python-cbor                        	       0        2        2        0        0
52228 python-ceilometerclient            	       0        1        1        0        0
52229 python-celementtree                	       0        1        1        0        0
52230 python-celery-common               	       0        1        0        0        1
52231 python-ceph                        	       0        1        0        0        1
52232 python-cephfs                      	       0        2        2        0        0
52233 python-cerealizer                  	       0        2        2        0        0
52234 python-certbot                     	       0        4        4        0        0
52235 python-certbot-apache              	       0       28        0        0       28
52236 python-certbot-apache-doc          	       0        2        0        0        2
52237 python-certbot-doc                 	       0        5        0        0        5
52238 python-certbot-nginx               	       0        7        1        0        6
52239 python-certifi                     	       0       44       44        0        0
52240 python-cfflib                      	       0        1        1        0        0
52241 python-characteristic              	       0        8        8        0        0
52242 python-chardet-whl                 	       0        6        0        0        6
52243 python-cheetah                     	       0        6        6        0        0
52244 python-cheetah-doc                 	       0        2        0        0        2
52245 python-chm                         	       0        4        4        0        0
52246 python-cinder                      	       0        1        1        0        0
52247 python-cinderclient                	       0        1        1        0        0
52248 python-cjson                       	       0        1        1        0        0
52249 python-clang                       	       0        1        0        0        1
52250 python-clang-8                     	       0        1        1        0        0
52251 python-cliapp                      	       0        3        3        0        0
52252 python-click                       	       0       26       26        0        0
52253 python-click-plugins               	       0        1        1        0        0
52254 python-cliff                       	       0        1        1        0        0
52255 python-cliff-doc                   	       0        1        0        0        1
52256 python-cligj                       	       0        1        1        0        0
52257 python-cloudfiles                  	       0        1        1        0        0
52258 python-cmd2                        	       0        1        1        0        0
52259 python-coherence                   	       0        1        1        0        0
52260 python-collada                     	       0        7        7        0        0
52261 python-colorama                    	       0       31       31        0        0
52262 python-colorama-whl                	       0        6        0        0        6
52263 python-comedilib                   	       0        1        1        0        0
52264 python-compizconfig                	       0        1        1        0        0
52265 python-concurrent.futures          	       0       15       14        1        0
52266 python-configargparse              	       0        4        4        0        0
52267 python-configobj                   	       0       28       28        0        0
52268 python-configobj-doc               	       0        8        0        0        8
52269 python-congressclient              	       0        1        1        0        0
52270 python-constantly                  	       0       28       28        0        0
52271 python-contextlib2                 	       0        1        1        0        0
52272 python-cotyledon                   	       0        1        1        0        0
52273 python-coverage                    	       0        4        4        0        0
52274 python-coverage-doc                	       0        4        0        0        4
52275 python-cracklib                    	       0        1        1        0        0
52276 python-croniter                    	       0        2        2        0        0
52277 python-cropper-tk                  	       0        1        1        0        0
52278 python-crypto-doc                  	       0        2        0        0        2
52279 python-cryptography-doc            	       0       11        0        0       11
52280 python-cryptography-vectors        	       0        3        3        0        0
52281 python-css-parser                  	       0       17       17        0        0
52282 python-csscompressor               	       0        1        1        0        0
52283 python-cssselect                   	       0       20       20        0        0
52284 python-cssselect2-doc              	       0        1        0        0        1
52285 python-cssutils                    	       0       20       20        0        0
52286 python-cupsutils                   	       0        1        0        0        1
52287 python-cursive                     	       0        1        1        0        0
52288 python-cvxopt                      	       0        1        1        0        0
52289 python-cvxopt-doc                  	       0        1        0        0        1
52290 python-cycler                      	       0       26       26        0        0
52291 python-cycler-doc                  	       0        5        0        0        5
52292 python-cypari2                     	       0        1        1        0        0
52293 python-cysignals-pari              	       0        1        1        0        0
52294 python-dask-doc                    	       0        1        0        0        1
52295 python-dateutil                    	       0      105      103        1        1
52296 python-dbg                         	       0        4        4        0        0
52297 python-dbus-dbg                    	       0        1        1        0        0
52298 python-dbus-doc                    	       0        7        0        0        7
52299 python-dbus.mainloop.pyqt5         	       0        8        8        0        0
52300 python-debtagshw                   	       0        1        1        0        0
52301 python-debtcollector               	       0        2        2        0        0
52302 python-decorator                   	       0       56       53        1        2
52303 python-defer                       	       0        7        7        0        0
52304 python-demgengeo                   	       0        1        1        0        0
52305 python-demjson                     	       0        1        1        0        0
52306 python-designateclient             	       0        1        1        0        0
52307 python-dev-is-python2              	       0       14       14        0        0
52308 python-dev-is-python3              	       0       30       28        2        0
52309 python-dfdatetime                  	       0        1        1        0        0
52310 python-dfvfs                       	       0        1        1        0        0
52311 python-dfwinreg                    	       0        1        1        0        0
52312 python-dicom                       	       0        2        0        0        2
52313 python-diff-match-patch            	       0        1        1        0        0
52314 python-distlib                     	       0        5        5        0        0
52315 python-distlib-whl                 	       0        6        0        0        6
52316 python-distributed-doc             	       0        3        0        0        3
52317 python-distro                      	       0        6        6        0        0
52318 python-distro-info                 	       0        5        5        0        0
52319 python-distutils-extra             	       0        2        2        0        0
52320 python-django                      	       0        2        2        0        0
52321 python-django-appconf              	       0        1        1        0        0
52322 python-django-babel                	       0        1        1        0        0
52323 python-django-common               	       0        4        4        0        0
52324 python-django-compressor           	       0        1        1        0        0
52325 python-django-doc                  	       0        5        0        0        5
52326 python-django-haystack-doc         	       0        1        0        0        1
52327 python-django-horizon              	       0        1        1        0        0
52328 python-django-openstack-auth       	       0        1        1        0        0
52329 python-django-pyscss               	       0        1        1        0        0
52330 python-django-wkhtmltopdf          	       0        1        1        0        0
52331 python-dmidecode-data              	       0        3        0        0        3
52332 python-dns                         	       0        5        5        0        0
52333 python-doc                         	       0        1        0        0        1
52334 python-doc8-doc                    	       0        1        0        0        1
52335 python-docker                      	       0        1        1        0        0
52336 python-dockerpty                   	       0        1        1        0        0
52337 python-docopt                      	       0        2        2        0        0
52338 python-docutils-build-deps         	       0        1        0        0        1
52339 python-dogpile.cache               	       0        1        1        0        0
52340 python-dsv                         	       0        1        1        0        0
52341 python-dtcwt-doc                   	       0        1        0        0        1
52342 python-dtfabric                    	       0        1        1        0        0
52343 python-dulwich                     	       0        1        1        0        0
52344 python-easyprocess                 	       0        1        1        0        0
52345 python-ecdsa                       	       0        4        4        0        0
52346 python-editobj                     	       0        3        3        0        0
52347 python-editor                      	       0        1        1        0        0
52348 python-efilter                     	       0        1        1        0        0
52349 python-egenix-mx-base-dbg          	       0        1        1        0        0
52350 python-egenix-mx-base-dev          	       0        2        2        0        0
52351 python-egenix-mxbeebase            	       0        2        2        0        0
52352 python-egenix-mxbeebase-doc        	       0        2        0        0        2
52353 python-egenix-mxdatetime-doc       	       0        4        0        0        4
52354 python-egenix-mxproxy              	       0        2        2        0        0
52355 python-egenix-mxproxy-doc          	       0        2        0        0        2
52356 python-egenix-mxqueue              	       0        2        2        0        0
52357 python-egenix-mxqueue-doc          	       0        2        0        0        2
52358 python-egenix-mxstack              	       0        2        2        0        0
52359 python-egenix-mxstack-doc          	       0        2        0        0        2
52360 python-egenix-mxtexttools          	       0        3        3        0        0
52361 python-egenix-mxtexttools-doc      	       0        3        0        0        3
52362 python-egenix-mxtools              	       0       34       34        0        0
52363 python-egenix-mxtools-doc          	       0        3        0        0        3
52364 python-egenix-mxuid                	       0        2        2        0        0
52365 python-egenix-mxuid-doc            	       0        2        0        0        2
52366 python-egenix-mxurl                	       0        2        2        0        0
52367 python-egenix-mxurl-doc            	       0        2        0        0        2
52368 python-elasticsearch               	       0        1        1        0        0
52369 python-electrum                    	       0        2        2        0        0
52370 python-elementtree                 	       0        2        0        0        2
52371 python-elib.intl                   	       0        2        2        0        0
52372 python-enchant                     	       0       10       10        0        0
52373 python-entrypoints                 	       0       29       28        1        0
52374 python-enum34-doc                  	       0        2        0        0        2
52375 python-envisage                    	       0        1        1        0        0
52376 python-epsilon                     	       0        2        2        0        0
52377 python-ethtool                     	       0        1        1        0        0
52378 python-evdev-doc                   	       0        3        0        0        3
52379 python-eventlet                    	       0        1        1        0        0
52380 python-eventlib                    	       0        1        1        0        0
52381 python-evolution                   	       0        1        1        0        0
52382 python-examples                    	       0        1        0        0        1
52383 python-excelerator                 	       0        2        2        0        0
52384 python-execnet                     	       0        1        1        0        0
52385 python-extras                      	       0        3        3        0        0
52386 python-eyed3                       	       0       10       10        0        0
52387 python-fasteners                   	       0       12       12        0        0
52388 python-fastimport                  	       0        2        2        0        0
52389 python-faulthandler                	       0        1        1        0        0
52390 python-fiona                       	       0        1        1        0        0
52391 python-fisx-common                 	       0        2        0        0        2
52392 python-fixtures                    	       0        3        3        0        0
52393 python-flask-autoindex             	       0        1        1        0        0
52394 python-flask-babel                 	       0        1        1        0        0
52395 python-flask-doc                   	       0        6        0        0        6
52396 python-flask-oldsessions           	       0        1        1        0        0
52397 python-flask-openid                	       0        1        1        0        0
52398 python-flask-restful-doc           	       0        1        0        0        1
52399 python-flask-silk                  	       0        1        1        0        0
52400 python-fontforge                   	       0        1        1        0        0
52401 python-fonttools-doc               	       0        1        0        0        1
52402 python-foomatic                    	       0        1        1        0        0
52403 python-formencode                  	       0        3        3        0        0
52404 python-fpylll                      	       0        1        1        0        0
52405 python-fs                          	       0        1        1        0        0
52406 python-fsapfs                      	       0        1        1        0        0
52407 python-fsspec-doc                  	       0        1        0        0        1
52408 python-fstab                       	       0        1        0        0        1
52409 python-funcsigs-doc                	       0        3        0        0        3
52410 python-functools32                 	       0       12       12        0        0
52411 python-fuse                        	       0        5        5        0        0
52412 python-future                      	       0       16       16        0        0
52413 python-future-doc                  	       0        4        0        0        4
52414 python-futurist                    	       0        1        1        0        0
52415 python-gamera                      	       0        1        1        0        0
52416 python-gamin                       	       0        2        2        0        0
52417 python-gammu                       	       0        4        4        0        0
52418 python-gammu-doc                   	       0        1        0        0        1
52419 python-gconf                       	       0       17        0        0       17
52420 python-gdal                        	       0        8        8        0        0
52421 python-gdata                       	       0        6        6        0        0
52422 python-gdata-doc                   	       0        2        0        0        2
52423 python-gdbm-dbg                    	       0        1        1        0        0
52424 python-genshi-doc                  	       0        5        0        0        5
52425 python-geoip                       	       0        6        6        0        0
52426 python-gflags                      	       0        1        1        0        0
52427 python-gi-dbg                      	       0        1        1        0        0
52428 python-git                         	       0        3        3        0        0
52429 python-git-doc                     	       0        2        0        0        2
52430 python-gitdb                       	       0        3        3        0        0
52431 python-gitlab-doc                  	       0        2        0        0        2
52432 python-glade-1.2                   	       0        1        0        0        1
52433 python-glade2                      	       0      161        0        0      161
52434 python-glance                      	       0        1        1        0        0
52435 python-glance-store                	       0        1        1        0        0
52436 python-glanceclient                	       0        1        1        0        0
52437 python-gmenu                       	       0        1        1        0        0
52438 python-gmpy                        	       0        6        6        0        0
52439 python-gmpy-doc                    	       0        3        0        0        3
52440 python-gmpy2                       	       0        2        2        0        0
52441 python-gmpy2-common                	       0       15        0        0       15
52442 python-gmpy2-doc                   	       0        1        0        0        1
52443 python-gnocchiclient               	       0        1        1        0        0
52444 python-gnome2                      	       0       14        0        0       14
52445 python-gnomedesktop                	       0        1        1        0        0
52446 python-gnomekeyring                	       0        2        2        0        0
52447 python-gnucash                     	       0        2        2        0        0
52448 python-gnupg                       	       0        3        3        0        0
52449 python-gnupginterface              	       0        4        0        0        4
52450 python-gnuplot                     	       0        1        1        0        0
52451 python-gnutls                      	       0        1        1        0        0
52452 python-gobject                     	       0       70        0        0       70
52453 python-gobject-2-dbg               	       0        2        2        0        0
52454 python-gobject-2-dev               	       0        1        1        0        0
52455 python-gobject-dbg                 	       0        1        0        0        1
52456 python-goocalendar                 	       0        1        1        0        0
52457 python-googleapi                   	       0        2        2        0        0
52458 python-gpg                         	       0       50       50        0        0
52459 python-gpod                        	       0        1        1        0        0
52460 python-gps                         	       0        4        4        0        0
52461 python-graphy                      	       0        1        1        0        0
52462 python-greenlet-dev                	       0        2        2        0        0
52463 python-greenlet-doc                	       0        2        0        0        2
52464 python-grib-doc                    	       0        4        0        0        4
52465 python-gridfs                      	       0        5        5        0        0
52466 python-gst-1.0                     	       0        2        2        0        0
52467 python-gtk-1.2                     	       0        1        1        0        0
52468 python-gtk2                        	       0      204        5        0      199
52469 python-gtk2-doc                    	       0        3        3        0        0
52470 python-gtkglext1                   	       0        6        6        0        0
52471 python-gtksourceview2              	       0        1        1        0        0
52472 python-gtkspell                    	       0        2        2        0        0
52473 python-gtkspellcheck               	       0        3        3        0        0
52474 python-gudev                       	       0        1        1        0        0
52475 python-h5py                        	       0        1        1        0        0
52476 python-h5py-doc                    	       0        1        0        0        1
52477 python-hachoir-core                	       0        1        1        0        0
52478 python-hachoir-metadata            	       0        1        1        0        0
52479 python-hachoir-parser              	       0        1        1        0        0
52480 python-hamcrest                    	       0        4        4        0        0
52481 python-heat                        	       0        1        1        0        0
52482 python-heatclient                  	       0        1        1        0        0
52483 python-hippocanvas                 	       0        1        1        0        0
52484 python-hiredis                     	       0        1        1        0        0
52485 python-hl7                         	       0        2        2        0        0
52486 python-hp3parclient                	       0        1        1        0        0
52487 python-html5-parser                	       0       12       12        0        0
52488 python-html5lib-whl                	       0        6        0        0        6
52489 python-hyperlink                   	       0       21       21        0        0
52490 python-hypothesis-doc              	       0        1        0        0        1
52491 python-icalendar                   	       0        2        2        0        0
52492 python-id3                         	       0        2        2        0        0
52493 python-imageio-doc                 	       0        1        0        0        1
52494 python-imagesize                   	       0        9        9        0        0
52495 python-imaging-doc-html            	       0        1        0        0        1
52496 python-imaging-doc-pdf             	       0        2        0        0        2
52497 python-imaging-tk                  	       0        2        0        0        2
52498 python-imaplib2                    	       0        1        1        0        0
52499 python-imdbpy                      	       0        1        1        0        0
52500 python-imexam-doc                  	       0        1        0        0        1
52501 python-impacket                    	       0        1        1        0        0
52502 python-incremental                 	       0       28       28        0        0
52503 python-iniparse                    	       0        6        6        0        0
52504 python-inotifyx                    	       0        1        1        0        0
52505 python-ipy                         	       0        8        8        0        0
52506 python-ipykernel                   	       0        2        2        0        0
52507 python-ipython                     	       0        4        4        0        0
52508 python-ipython-doc                 	       0        3        0        0        3
52509 python-ipython-genutils            	       0        7        7        0        0
52510 python-ipywidgets                  	       0        1        1        0        0
52511 python-ipywidgets-doc              	       0        1        0        0        1
52512 python-irc                         	       0        2        2        0        0
52513 python-iso8601                     	       0        1        1        0        0
52514 python-isodate                     	       0        2        2        0        0
52515 python-isort                       	       0        4        4        0        0
52516 python-jabber                      	       0        1        1        0        0
52517 python-jedi                        	       0        2        2        0        0
52518 python-jinja2-doc                  	       0       10        0        0       10
52519 python-joblib                      	       0        1        1        0        0
52520 python-josepy                      	       0        2        2        0        0
52521 python-jpylyzer-doc                	       0        1        0        0        1
52522 python-json-pointer                	       0        1        1        0        0
52523 python-jsonpatch                   	       0        1        1        0        0
52524 python-jsonrpclib                  	       0        2        2        0        0
52525 python-jsonschema                  	       0        3        3        0        0
52526 python-jsonschema-doc              	       0        1        0        0        1
52527 python-junitxml                    	       0        2        2        0        0
52528 python-jupyter-client              	       0        2        2        0        0
52529 python-jupyter-console             	       0        1        1        0        0
52530 python-jupyter-core                	       0        2        2        0        0
52531 python-jwt                         	       0       22       22        0        0
52532 python-kaa-base                    	       0        2        2        0        0
52533 python-kaa-imlib2                  	       0        1        1        0        0
52534 python-kaa-metadata                	       0        2        2        0        0
52535 python-kafka                       	       0        1        1        0        0
52536 python-kazoo                       	       0        1        1        0        0
52537 python-kerberos                    	       0        1        1        0        0
52538 python-keybinder                   	       0        1        1        0        0
52539 python-keyczar                     	       0        1        1        0        0
52540 python-keyring                     	       0       48       47        1        0
52541 python-keystone                    	       0        1        1        0        0
52542 python-keystoneauth1               	       0        1        1        0        0
52543 python-keystoneclient              	       0        1        1        0        0
52544 python-keystonemiddleware          	       0        1        1        0        0
52545 python-kid                         	       0        1        1        0        0
52546 python-kivy-examples               	       0        1        0        0        1
52547 python-kiwisolver                  	       0       18       18        0        0
52548 python-kolab                       	       0        1        1        0        0
52549 python-kolabformat                 	       0        1        1        0        0
52550 python-kombu                       	       0        1        1        0        0
52551 python-l20n                        	       0        1        1        0        0
52552 python-laditools                   	       0        1        1        0        0
52553 python-langdetect                  	       0        1        1        0        0
52554 python-launchpadlib                	       0        8        8        0        0
52555 python-lazr.restfulclient          	       0        9        9        0        0
52556 python-lazr.uri                    	       0        9        9        0        0
52557 python-lazy-object-proxy           	       0        4        4        0        0
52558 python-ldap                        	       0        3        3        0        0
52559 python-ldappool                    	       0        1        1        0        0
52560 python-levenshtein                 	       0        2        2        0        0
52561 python-libbde                      	       0        1        1        0        0
52562 python-libdiscid-doc               	       0        1        0        0        1
52563 python-libesedb                    	       0        1        1        0        0
52564 python-libevt                      	       0        1        1        0        0
52565 python-libevtx                     	       0        1        1        0        0
52566 python-libewf                      	       0        1        1        0        0
52567 python-libfsntfs                   	       0        2        2        0        0
52568 python-libfvde                     	       0        1        1        0        0
52569 python-libfwnt                     	       0        1        1        0        0
52570 python-libfwsi                     	       0        1        1        0        0
52571 python-libhamlib2                  	       0        1        1        0        0
52572 python-liblnk                      	       0        1        1        0        0
52573 python-libmsiecf                   	       0        1        1        0        0
52574 python-libolecf                    	       0        1        1        0        0
52575 python-libqcow                     	       0        1        1        0        0
52576 python-librdf                      	       0        1        1        0        0
52577 python-libregf                     	       0        1        1        0        0
52578 python-libscca                     	       0        1        1        0        0
52579 python-libsigscan                  	       0        1        1        0        0
52580 python-libsmdev                    	       0        1        1        0        0
52581 python-libsmraw                    	       0        1        1        0        0
52582 python-libsvm                      	       0        1        0        0        1
52583 python-libtorrent                  	       0       17       17        0        0
52584 python-libvhdi                     	       0        1        1        0        0
52585 python-libvmdk                     	       0        1        1        0        0
52586 python-libvshadow                  	       0        1        1        0        0
52587 python-libvslvm                    	       0        1        1        0        0
52588 python-libxslt1                    	       0        6        6        0        0
52589 python-linecache2                  	       0        2        2        0        0
52590 python-livereload-doc              	       0        3        0        0        3
52591 python-lldb                        	       0        1        0        0        1
52592 python-lldb-7                      	       0        1        0        0        1
52593 python-llfuse-doc                  	       0        1        0        0        1
52594 python-lockfile                    	       0       17       17        0        0
52595 python-lockfile-doc                	       0        1        0        0        1
52596 python-logilab-common              	       0        1        1        0        0
52597 python-logutils                    	       0        1        1        0        0
52598 python-louie                       	       0        2        2        0        0
52599 python-louis                       	       0        2        2        0        0
52600 python-lunr-doc                    	       0        1        0        0        1
52601 python-lxml-dbg                    	       0        2        2        0        0
52602 python-lxml-doc                    	       0        8        0        0        8
52603 python-lz4                         	       0        9        9        0        0
52604 python-lzma                        	       0        6        6        0        0
52605 python-lzo                         	       0        7        7        0        0
52606 python-m2crypto                    	       0        4        4        0        0
52607 python-magic                       	       0       14       14        0        0
52608 python-magnumclient                	       0        1        1        0        0
52609 python-mako                        	       0        9        9        0        0
52610 python-mako-doc                    	       0       12        0        0       12
52611 python-manilaclient                	       0        1        1        0        0
52612 python-mapnik                      	       0        1        1        0        0
52613 python-mapscript                   	       0        1        1        0        0
52614 python-markdown                    	       0       17       17        0        0
52615 python-markdown-doc                	       0        7        0        0        7
52616 python-matplotlib-data             	       0      558        0        0      558
52617 python-matplotlib-doc              	       0        7        0        0        7
52618 python-matplotlib2-data            	       0       24        0        0       24
52619 python-matplotlib2-doc             	       0        1        0        0        1
52620 python-mccabe                      	       0        4        4        0        0
52621 python-md-toc-doc                  	       0        1        0        0        1
52622 python-mechanize                   	       0       19       19        0        0
52623 python-mediainfodll                	       0        1        1        0        0
52624 python-memcache                    	       0        3        3        0        0
52625 python-microversion-parse          	       0        1        1        0        0
52626 python-migrate                     	       0        1        1        0        0
52627 python-mimeparse                   	       0        3        3        0        0
52628 python-mistralclient               	       0        1        1        0        0
52629 python-mistune                     	       0        1        1        0        0
52630 python-mlt                         	       0        6        6        0        0
52631 python-mock                        	       0       18       17        0        1
52632 python-mock-doc                    	       0        2        0        0        2
52633 python-mode                        	       0        1        1        0        0
52634 python-modestmaps                  	       0        1        1        0        0
52635 python-moinmoin                    	       0        1        1        0        0
52636 python-monascaclient               	       0        1        1        0        0
52637 python-monotonic                   	       0       13       13        0        0
52638 python-moovida                     	       0        1        0        0        1
52639 python-mpi4py                      	       0        2        2        0        0
52640 python-mpi4py-doc                  	       0        1        0        0        1
52641 python-mpltoolkits.basemap-data    	       0        4        0        0        4
52642 python-mpmath                      	       0        4        4        0        0
52643 python-mpmath-doc                  	       0        4        0        0        4
52644 python-msgpack                     	       0       24       23        1        0
52645 python-msrplib                     	       0        1        1        0        0
52646 python-munch                       	       0        1        1        0        0
52647 python-murano                      	       0        1        1        0        0
52648 python-muranoclient                	       0        1        1        0        0
52649 python-musicbrainzngs              	       0       23       23        0        0
52650 python-musicbrainzngs-doc          	       0        2        0        0        2
52651 python-mutagen-doc                 	       0        4        0        0        4
52652 python-mysql.connector             	       0        3        3        0        0
52653 python-mysqldb                     	       0       14       14        0        0
52654 python-mysqldb-dbg                 	       0        1        1        0        0
52655 python-nacl                        	       0       19       19        0        0
52656 python-nacl-doc                    	       0        4        0        0        4
52657 python-natsort-doc                 	       0        3        0        0        3
52658 python-nautilus                    	       0        1        0        0        1
52659 python-nbconvert                   	       0        1        1        0        0
52660 python-nbconvert-doc               	       0        1        0        0        1
52661 python-nbformat                    	       0        1        1        0        0
52662 python-nbsphinx-doc                	       0        1        0        0        1
52663 python-nbxmpp                      	       0        2        2        0        0
52664 python-nbxmpp-doc                  	       0        1        0        0        1
52665 python-ndg-httpsclient             	       0        8        8        0        0
52666 python-neovim                      	       0        2        1        1        0
52667 python-netaddr                     	       0        4        4        0        0
52668 python-netifaces                   	       0       24       24        0        0
52669 python-networkx                    	       0        6        6        0        0
52670 python-networkx-doc                	       0        1        0        0        1
52671 python-neutron                     	       0        1        1        0        0
52672 python-neutron-fwaas               	       0        1        1        0        0
52673 python-neutron-lib                 	       0        1        1        0        0
52674 python-neutron-vpnaas              	       0        1        1        0        0
52675 python-neutronclient               	       0        1        1        0        0
52676 python-nevow                       	       0        2        2        0        0
52677 python-newt                        	       0        7        7        0        0
52678 python-nibabel                     	       0        1        1        0        0
52679 python-nipy                        	       0        1        1        0        0
52680 python-nipy-lib                    	       0        1        1        0        0
52681 python-nipype                      	       0        1        1        0        0
52682 python-nitime                      	       0        1        1        0        0
52683 python-nltk                        	       0        1        1        0        0
52684 python-nose-doc                    	       0        6        0        0        6
52685 python-nose2-doc                   	       0        1        0        0        1
52686 python-notebook                    	       0        1        1        0        0
52687 python-notebook-doc                	       0        2        0        0        2
52688 python-notify2                     	       0        1        1        0        0
52689 python-notmuch                     	       0        1        1        0        0
52690 python-nova                        	       0        1        1        0        0
52691 python-novaclient                  	       0        1        1        0        0
52692 python-novnc                       	       0        2        2        0        0
52693 python-numexpr                     	       0        3        3        0        0
52694 python-numpy-dbg                   	       0        2        2        0        0
52695 python-numpy-doc                   	       0       14       13        0        1
52696 python-oauth                       	       0        6        6        0        0
52697 python-oauth2client                	       0        2        2        0        0
52698 python-oauthlib                    	       0       20       20        0        0
52699 python-obexftp                     	       0        1        1        0        0
52700 python-objgraph-doc                	       0        4        0        0        4
52701 python-odf                         	       0        1        1        0        0
52702 python-odf-doc                     	       0      103        0        0      103
52703 python-odf-tools                   	       0      105      105        0        0
52704 python-ogg                         	       0       11       11        0        0
52705 python-opencv                      	       0        6        6        0        0
52706 python-opengl                      	       0       18       18        0        0
52707 python-openid                      	       0        3        3        0        0
52708 python-openssl-doc                 	       0        8        0        0        8
52709 python-openstackclient             	       0        1        1        0        0
52710 python-openstackclient-doc         	       0        2        0        0        2
52711 python-openstacksdk                	       0        1        1        0        0
52712 python-openvswitch                 	       0        1        1        0        0
52713 python-os-api-ref-common           	       0        1        0        0        1
52714 python-os-brick                    	       0        1        1        0        0
52715 python-os-client-config            	       0        1        1        0        0
52716 python-os-vif                      	       0        1        1        0        0
52717 python-os-win                      	       0        1        1        0        0
52718 python-osc-lib                     	       0        1        1        0        0
52719 python-oslo.cache                  	       0        1        1        0        0
52720 python-oslo.concurrency            	       0        1        1        0        0
52721 python-oslo.config                 	       0        2        2        0        0
52722 python-oslo.context                	       0        1        1        0        0
52723 python-oslo.db                     	       0        1        1        0        0
52724 python-oslo.i18n                   	       0        2        2        0        0
52725 python-oslo.log                    	       0        1        1        0        0
52726 python-oslo.messaging              	       0        1        1        0        0
52727 python-oslo.middleware             	       0        1        1        0        0
52728 python-oslo.policy                 	       0        1        1        0        0
52729 python-oslo.privsep                	       0        1        1        0        0
52730 python-oslo.reports                	       0        1        1        0        0
52731 python-oslo.rootwrap               	       0        1        1        0        0
52732 python-oslo.serialization          	       0        1        1        0        0
52733 python-oslo.service                	       0        1        1        0        0
52734 python-oslo.utils                  	       0        1        1        0        0
52735 python-oslo.versionedobjects       	       0        1        1        0        0
52736 python-oslo.vmware                 	       0        1        1        0        0
52737 python-oslosphinx-common           	       0        1        0        0        1
52738 python-osmgpsmap                   	       0        1        1        0        0
52739 python-osprofiler                  	       0        1        1        0        0
52740 python-otr                         	       0        1        1        0        0
52741 python-ow                          	       0        1        1        0        0
52742 python-owslib                      	       0        2        2        0        0
52743 python-packagekit                  	       0        4        4        0        0
52744 python-packaging                   	       0        6        6        0        0
52745 python-pacparser                   	       0        1        1        0        0
52746 python-paho-mqtt                   	       0        1        1        0        0
52747 python-pam                         	       0       23       23        0        0
52748 python-pampy                       	       0        1        1        0        0
52749 python-pandas                      	       0        2        2        0        0
52750 python-pandas-doc                  	       0        5        0        0        5
52751 python-pandas-lib                  	       0        2        2        0        0
52752 python-pandocfilters               	       0        1        1        0        0
52753 python-paramiko                    	       0       23       23        0        0
52754 python-parse                       	       0        1        1        0        0
52755 python-parsedatetime               	       0        6        6        0        0
52756 python-parso                       	       0        1        1        0        0
52757 python-parted                      	       0        4        4        0        0
52758 python-parted-doc                  	       0        2        0        0        2
52759 python-passlib                     	       0        5        4        0        1
52760 python-paste                       	       0        3        3        0        0
52761 python-pastedeploy                 	       0        1        1        0        0
52762 python-pastedeploy-tpl             	       0        4        0        0        4
52763 python-pastescript-doc             	       0        1        0        0        1
52764 python-path                        	       0        1        1        0        0
52765 python-patsy-doc                   	       0        1        0        0        1
52766 python-pbkdf2                      	       0        2        2        0        0
52767 python-pbr                         	       0       20       20        0        0
52768 python-pcapy                       	       0        1        1        0        0
52769 python-pdfkit                      	       0        1        1        0        0
52770 python-pdfrw                       	       0        3        3        0        0
52771 python-pdfrw-doc                   	       0        1        0        0        1
52772 python-pdftools                    	       0        1        1        0        0
52773 python-pecan                       	       0        1        1        0        0
52774 python-peewee-doc                  	       0        6        0        0        6
52775 python-pefile                      	       0        1        1        0        0
52776 python-pep8                        	       0        2        2        0        0
52777 python-petsc4py-doc                	       0        1        1        0        0
52778 python-pexpect-doc                 	       0        9        0        0        9
52779 python-pgm                         	       0        1        1        0        0
52780 python-pgpdump                     	       0        1        1        0        0
52781 python-phoneutils                  	       0        1        1        0        0
52782 python-pickleshare                 	       0        6        6        0        0
52783 python-pika                        	       0        1        1        0        0
52784 python-pika-pool                   	       0        1        1        0        0
52785 python-pil-dbg                     	       0        1        1        0        0
52786 python-pil-doc                     	       0       10        0        0       10
52787 python-pil.imagetk                 	       0       17       17        0        0
52788 python-pilkit                      	       0        1        1        0        0
52789 python-pint                        	       0        1        1        0        0
52790 python-pip                         	       0       40       40        0        0
52791 python-pip-whl                     	       0      256        0        0      256
52792 python-pivy                        	       0        8        8        0        0
52793 python-pkgconfig                   	       0        2        2        0        0
52794 python-pkginfo-doc                 	       0       20        0        0       20
52795 python-plastex                     	       0        1        1        0        0
52796 python-ply-doc                     	       0        8        0        0        8
52797 python-pmw                         	       0        3        3        0        0
52798 python-png                         	       0        2        2        0        0
52799 python-podcastparser-doc           	       0        1        0        0        1
52800 python-poppler                     	       0        1        1        0        0
52801 python-positional                  	       0        1        1        0        0
52802 python-posix-ipc                   	       0        1        1        0        0
52803 python-potr                        	       0        1        1        0        0
52804 python-powerline                   	       0        1        1        0        0
52805 python-ppl-doc                     	       0        8        0        0        8
52806 python-prettytable                 	       0        2        2        0        0
52807 python-prometheus-client           	       0        1        1        0        0
52808 python-prompt-toolkit              	       0        6        6        0        0
52809 python-protobuf                    	       0        7        7        0        0
52810 python-prov                        	       0        1        1        0        0
52811 python-psutil                      	       0       17       16        0        1
52812 python-psutil-doc                  	       0        5        0        0        5
52813 python-psyco-doc                   	       0        1        0        0        1
52814 python-psycopg2-doc                	       0        5        0        0        5
52815 python-pyalsa                      	       0        3        3        0        0
52816 python-pyao                        	       0        2        2        0        0
52817 python-pyasn1-modules              	       0       34       34        0        0
52818 python-pyatspi                     	       0        5        5        0        0
52819 python-pyatspi2                    	       0        2        0        0        2
52820 python-pyaudio                     	       0        2        2        0        0
52821 python-pycadf                      	       0        1        1        0        0
52822 python-pycalendar                  	       0        1        1        0        0
52823 python-pychart                     	       0        1        1        0        0
52824 python-pychromecast                	       0        1        1        0        0
52825 python-pycuda-doc                  	       0        2        0        0        2
52826 python-pycurl-dbg                  	       0        1        1        0        0
52827 python-pycurl-doc                  	       0        3        0        0        3
52828 python-pydbus-doc                  	       0        1        0        0        1
52829 python-pydicom                     	       0        2        2        0        0
52830 python-pydl-doc                    	       0        1        0        0        1
52831 python-pydot                       	       0        3        2        0        1
52832 python-pydotplus                   	       0        2        2        0        0
52833 python-pyexiv2                     	       0        6        6        0        0
52834 python-pyexiv2-doc                 	       0        5        0        0        5
52835 python-pyface                      	       0        2        2        0        0
52836 python-pyflakes                    	       0        3        3        0        0
52837 python-pyftpdlib                   	       0        1        1        0        0
52838 python-pygame-doc                  	       0        3        0        0        3
52839 python-pygame-sdl2                 	       0        1        1        0        0
52840 python-pygit2-doc                  	       0        1        0        0        1
52841 python-pyglet                      	       0        6        6        0        0
52842 python-pygments-doc                	       0        8        0        0        8
52843 python-pygoocanvas                 	       0        4        4        0        0
52844 python-pygooglechart               	       0        1        1        0        0
52845 python-pygraphviz                  	       0        5        5        0        0
52846 python-pygraphviz-doc              	       0        2        0        0        2
52847 python-pygresql                    	       0        1        1        0        0
52848 python-pyhsm                       	       0        1        1        0        0
52849 python-pyinotify-doc               	       0        4        0        0        4
52850 python-pyisomd5sum                 	       0        1        1        0        0
52851 python-pyjavaproperties            	       0        1        1        0        0
52852 python-pykaraoke                   	       0        1        1        0        0
52853 python-pykka                       	       0        1        1        0        0
52854 python-pylibacl                    	       0        7        7        0        0
52855 python-pylibmc                     	       0        2        2        0        0
52856 python-pylirc                      	       0        1        1        0        0
52857 python-pymad                       	       0        4        4        0        0
52858 python-pymemcache                  	       0        1        1        0        0
52859 python-pymongo                     	       0        6        6        0        0
52860 python-pymongo-doc                 	       0        2        0        0        2
52861 python-pymongo-ext                 	       0        5        5        0        0
52862 python-pymtp                       	       0        1        1        0        0
52863 python-pymysql                     	       0        3        3        0        0
52864 python-pymysql-doc                 	       0        1        0        0        1
52865 python-pyodbc                      	       0        2        2        0        0
52866 python-pyogg                       	       0        1        0        0        1
52867 python-pyopencl-doc                	       0        5        4        0        1
52868 python-pyorbit                     	       0       16       16        0        0
52869 python-pyparsing                   	       0       51       50        0        1
52870 python-pyparsing-doc               	       0        7        4        1        2
52871 python-pypdf                       	       0        1        1        0        0
52872 python-pypdf2                      	       0       11       11        0        0
52873 python-pyproj                      	       0        3        3        0        0
52874 python-pyptlib                     	       0        2        2        0        0
52875 python-pyqrcode                    	       0        2        2        0        0
52876 python-pyqt5                       	       0       32       32        0        0
52877 python-pyqt5-dbg                   	       0        1        1        0        0
52878 python-pyqt5.qsci                  	       0        4        4        0        0
52879 python-pyqt5.qtmultimedia          	       0        1        1        0        0
52880 python-pyqt5.qtopengl              	       0        1        1        0        0
52881 python-pyqt5.qtserialport          	       0        1        1        0        0
52882 python-pyqt5.qtsvg                 	       0       17       17        0        0
52883 python-pyqt5.qtwebkit              	       0       18       18        0        0
52884 python-pyqt5.qwt-doc               	       0        2        0        0        2
52885 python-pyqtgraph                   	       0        1        1        0        0
52886 python-pyqtgraph-doc               	       0        1        0        0        1
52887 python-pyregion-doc                	       0        1        0        0        1
52888 python-pyresample-test             	       0        2        0        0        2
52889 python-pyroute2                    	       0        1        1        0        0
52890 python-pysaml2                     	       0        1        1        0        0
52891 python-pyscss                      	       0        1        1        0        0
52892 python-pyshp                       	       0        1        1        0        0
52893 python-pyside                      	       0        8        0        0        8
52894 python-pyside.phonon               	       0        8        8        0        0
52895 python-pyside.qtcore               	       0        8        8        0        0
52896 python-pyside.qtdeclarative        	       0        8        8        0        0
52897 python-pyside.qtgui                	       0        8        8        0        0
52898 python-pyside.qthelp               	       0        8        8        0        0
52899 python-pyside.qtnetwork            	       0        8        8        0        0
52900 python-pyside.qtopengl             	       0        8        8        0        0
52901 python-pyside.qtscript             	       0        8        8        0        0
52902 python-pyside.qtsql                	       0        8        8        0        0
52903 python-pyside.qtsvg                	       0        8        8        0        0
52904 python-pyside.qttest               	       0        8        8        0        0
52905 python-pyside.qtuitools            	       0        8        8        0        0
52906 python-pyside.qtwebkit             	       0        8        8        0        0
52907 python-pyside.qtxml                	       0        8        8        0        0
52908 python-pyside2-doc                 	       0        1        1        0        0
52909 python-pyside2.qtcore              	       0        4        4        0        0
52910 python-pyside2.qtgui               	       0        4        4        0        0
52911 python-pyside2.qtopengl            	       0        3        3        0        0
52912 python-pyside2.qtsvg               	       0        3        3        0        0
52913 python-pyside2.qtwidgets           	       0        4        4        0        0
52914 python-pyside2uic                  	       0        6        6        0        0
52915 python-pysnmp4-doc                 	       0        1        0        0        1
52916 python-pysolr                      	       0        1        1        0        0
52917 python-pyspatialite                	       0        2        2        0        0
52918 python-pysqlite2                   	       0       12       12        0        0
52919 python-pysqlite2-dbg               	       0        1        1        0        0
52920 python-pysqlite2-doc               	       0        1        0        0        1
52921 python-pytest-doc                  	       0        2        0        0        2
52922 python-pytest-forked               	       0        1        1        0        0
52923 python-pytest-trio-doc             	       0        1        0        0        1
52924 python-pytest-xdist                	       0        1        1        0        0
52925 python-pyudev                      	       0        4        4        0        0
52926 python-pyvirtualdisplay            	       0        1        1        0        0
52927 python-pyvorbis                    	       0       11       11        0        0
52928 python-pywt-doc                    	       0        1        0        0        1
52929 python-pyxattr                     	       0       17       17        0        0
52930 python-pyxattr-doc                 	       0        3        0        0        3
52931 python-pyxmpp                      	       0        1        1        0        0
52932 python-q-text-as-data              	       0        2        2        0        0
52933 python-qgis                        	       0        2        2        0        0
52934 python-qgis-common                 	       0        3        0        0        3
52935 python-qrcode                      	       0        5        5        0        0
52936 python-qrencode                    	       0        2        2        0        0
52937 python-qrtools                     	       0        4        4        0        0
52938 python-qscintilla2                 	       0        4        4        0        0
52939 python-qt-binding                  	       0        1        1        0        0
52940 python-qt3-doc                     	       0        1        1        0        0
52941 python-qt4-dbg                     	       0        1        1        0        0
52942 python-qt4-dev                     	       0        1        0        0        1
52943 python-qt4-gl                      	       0       11       11        0        0
52944 python-qt4-sql                     	       0        4        4        0        0
52945 python-qt4reactor                  	       0        1        1        0        0
52946 python-qtawesome                   	       0        1        1        0        0
52947 python-qtawesome-common            	       0       33        0        0       33
52948 python-qtpy                        	       0        1        1        0        0
52949 python-rabbyt                      	       0        2        2        0        0
52950 python-rados                       	       0        2        2        0        0
52951 python-rbd                         	       0        2        2        0        0
52952 python-rbtools                     	       0        1        1        0        0
52953 python-rcssmin                     	       0        1        1        0        0
52954 python-rdflib                      	       0        2        2        0        0
52955 python-redis                       	       0        2        2        0        0
52956 python-regex                       	       0       19       19        0        0
52957 python-rencode                     	       0        9        9        0        0
52958 python-reportlab-doc               	       0        2        0        0        2
52959 python-repoze.who                  	       0        1        1        0        0
52960 python-requests-doc                	       0       23        0        0       23
52961 python-requests-kerberos           	       0        1        1        0        0
52962 python-requests-toolbelt           	       0        2        2        0        0
52963 python-requests-whl                	       0        6        0        0        6
52964 python-requestsexceptions          	       0        1        1        0        0
52965 python-retrying                    	       0        1        1        0        0
52966 python-rfc3339                     	       0        4        4        0        0
52967 python-rfc3986                     	       0        2        2        0        0
52968 python-rjsmin                      	       0        1        1        0        0
52969 python-rope                        	       0        2        2        0        0
52970 python-ropemacs                    	       0        1        1        0        0
52971 python-ropemode                    	       0        2        2        0        0
52972 python-routes                      	       0       22       22        0        0
52973 python-rpm                         	       0        1        1        0        0
52974 python-rpy2                        	       0        2        2        0        0
52975 python-rrdtool                     	       0        1        1        0        0
52976 python-rsa                         	       0        2        2        0        0
52977 python-rsvg                        	       0        2        2        0        0
52978 python-rtslib-fb                   	       0        1        1        0        0
52979 python-ryu                         	       0        1        1        0        0
52980 python-sagenb                      	       0        1        1        0        0
52981 python-sagenb-export               	       0        1        1        0        0
52982 python-sagetex                     	       0        1        1        0        0
52983 python-saharaclient                	       0        1        1        0        0
52984 python-sane                        	       0        4        4        0        0
52985 python-scapy                       	       0        1        1        0        0
52986 python-scgi                        	       0        2        2        0        0
52987 python-scientific-doc              	       0        1        0        0        1
52988 python-scipy                       	       0       11       11        0        0
52989 python-scipy-doc                   	       0        7        0        0        7
52990 python-sclapp                      	       0        1        1        0        0
52991 python-scour                       	       0       49       49        0        0
52992 python-scp                         	       0        1        1        0        0
52993 python-scrapy-doc                  	       0        1        0        0        1
52994 python-seaborn                     	       0        1        1        0        0
52995 python-seafile                     	       0        1        1        0        0
52996 python-searpc                      	       0        1        1        0        0
52997 python-secretstorage               	       0       46       45        1        0
52998 python-secretstorage-doc           	       0        6        0        0        6
52999 python-selenium                    	       0        2        2        0        0
53000 python-selenium-doc                	       0        3        0        0        3
53001 python-selinux                     	       0        3        3        0        0
53002 python-semanage                    	       0        3        3        0        0
53003 python-semantic-version            	       0        1        1        0        0
53004 python-semantic-version-doc        	       0        1        0        0        1
53005 python-send2trash                  	       0        1        1        0        0
53006 python-sendfile                    	       0        2        2        0        0
53007 python-senlinclient                	       0        1        1        0        0
53008 python-sepolgen                    	       0        2        2        0        0
53009 python-sepolicy                    	       0        1        1        0        0
53010 python-service-identity            	       0       33       33        0        0
53011 python-setools                     	       0        2        2        0        0
53012 python-setproctitle                	       0        4        4        0        0
53013 python-setuptools-doc              	       0       19        0        0       19
53014 python-setuptools-scm              	       0        1        1        0        0
53015 python-setuptools-whl              	       0        6        0        0        6
53016 python-sh                          	       0        1        1        0        0
53017 python-shapely                     	       0        2        2        0        0
53018 python-simplebayes-doc             	       0        1        0        0        1
53019 python-simplegeneric               	       0        8        8        0        0
53020 python-simplejson                  	       0       38       38        0        0
53021 python-simpletal                   	       0        2        1        0        1
53022 python-simpy-gui                   	       0        1        1        0        0
53023 python-simpy3-doc                  	       0        2        0        0        2
53024 python-singledispatch              	       0       10       10        0        0
53025 python-sip-dbg                     	       0        2        2        0        0
53026 python-sip-dev                     	       0        2        2        0        0
53027 python-sip-tqt                     	       0        1        1        0        0
53028 python-sipsimple                   	       0        1        1        0        0
53029 python-six-doc                     	       0        2        0        0        2
53030 python-six-whl                     	       0        6        0        0        6
53031 python-skimage-doc                 	       0        1        0        0        1
53032 python-sklearn-doc                 	       0        2        0        0        2
53033 python-slowaes                     	       0        2        2        0        0
53034 python-smartpm                     	       0        1        1        0        0
53035 python-smbus                       	       0        2        2        0        0
53036 python-smmap                       	       0        3        3        0        0
53037 python-snappy                      	       0        2        2        0        0
53038 python-snowballstemmer             	       0        1        1        0        0
53039 python-socks                       	       0        3        3        0        0
53040 python-socksipy                    	       0        2        2        0        0
53041 python-software-properties         	       0        4        4        0        0
53042 python-sortedcontainers-doc        	       0        4        0        0        4
53043 python-soya                        	       0        2        2        0        0
53044 python-soya-doc                    	       0        1        0        0        1
53045 python-sparqlwrapper               	       0        1        1        0        0
53046 python-sphinx                      	       0       10       10        0        0
53047 python-sphinx-feature-classification-doc	       0        2        0        0        2
53048 python-sphinx-gallery-doc          	       0        1        0        0        1
53049 python-sphinx-rtd-theme            	       0        6        6        0        0
53050 python-sphinxcontrib.bibtex-doc    	       0        1        0        0        1
53051 python-sphinxcontrib.programoutput-doc	       0        1        0        0        1
53052 python-sphinxcontrib.spelling-doc  	       0        1        0        0        1
53053 python-sqlalchemy                  	       0       14       14        0        0
53054 python-sqlalchemy-doc              	       0        4        0        0        4
53055 python-sqlalchemy-ext              	       0       11       11        0        0
53056 python-sqlite                      	       0        6        6        0        0
53057 python-sqlitecachec                	       0        1        1        0        0
53058 python-sqlparse                    	       0        2        2        0        0
53059 python-sqlparse-doc                	       0        4        0        0        4
53060 python-statistics                  	       0        1        1        0        0
53061 python-statsmodels                 	       0        1        0        0        1
53062 python-statsmodels-doc             	       0        1        0        0        1
53063 python-statsmodels-lib             	       0        1        1        0        0
53064 python-stdnum                      	       0        1        1        0        0
53065 python-stemmer                     	       0        1        1        0        0
53066 python-stevedore                   	       0        3        3        0        0
53067 python-subprocess32                	       0       26       26        0        0
53068 python-subunit                     	       0        2        2        0        0
53069 python-sugar3                      	       0       39       38        1        0
53070 python-surfer                      	       0        1        1        0        0
53071 python-svn                         	       0        8        8        0        0
53072 python-swiftclient                 	       0        1        1        0        0
53073 python-sympy                       	       0        4        4        0        0
53074 python-sympy-doc                   	       0        7        0        0        7
53075 python-systemd                     	       0        1        1        0        0
53076 python-tables                      	       0        3        3        0        0
53077 python-tables-data                 	       0       94        0        0       94
53078 python-tables-doc                  	       0        4        0        0        4
53079 python-tables-lib                  	       0        3        3        0        0
53080 python-tablib                      	       0        1        1        0        0
53081 python-tagpy                       	       0        2        2        0        0
53082 python-taskflow                    	       0        1        1        0        0
53083 python-tegaki                      	       0        1        1        0        0
53084 python-tegaki-gtk                  	       0        1        1        0        0
53085 python-telepathy                   	       0       39       38        1        0
53086 python-tempita                     	       0        3        3        0        0
53087 python-termcolor                   	       0        1        1        0        0
53088 python-terminado                   	       0        1        1        0        0
53089 python-testpath                    	       0        1        1        0        0
53090 python-testresources               	       0        1        1        0        0
53091 python-testscenarios               	       0        3        3        0        0
53092 python-testtools                   	       0        3        3        0        0
53093 python-testtools-doc               	       0        2        0        0        2
53094 python-texttable                   	       0        1        1        0        0
53095 python-tidylib                     	       0        1        1        0        0
53096 python-tinycss2-common             	       0      361        0        0      361
53097 python-tinycss2-doc                	       0        2        0        0        2
53098 python-tk                          	       0       94       94        0        0
53099 python-tk-dbg                      	       0        1        1        0        0
53100 python-tksnack                     	       0        1        1        0        0
53101 python-tktreectrl-doc              	       0        1        0        0        1
53102 python-tofu                        	       0        1        1        0        0
53103 python-toolz-doc                   	       0        1        0        0        1
53104 python-tooz                        	       0        1        1        0        0
53105 python-torctl                      	       0        1        1        0        0
53106 python-tornado                     	       0        6        6        0        0
53107 python-tornado-doc                 	       0        5        0        0        5
53108 python-tqdm                        	       0        2        2        0        0
53109 python-tqt                         	       0        1        1        0        0
53110 python-traceback2                  	       0        2        2        0        0
53111 python-traitlets                   	       0        6        6        0        0
53112 python-traits                      	       0        3        3        0        0
53113 python-traitsui                    	       0        2        2        0        0
53114 python-translate                   	       0        1        1        0        0
53115 python-trie                        	       0        1        1        0        0
53116 python-trie-doc                    	       0        1        0        0        1
53117 python-trinity-trinity             	       0        1        1        0        0
53118 python-tripleo-heat-templates      	       0        1        1        0        0
53119 python-trml2pdf                    	       0        1        1        0        0
53120 python-trollius                    	       0        6        5        1        0
53121 python-troveclient                 	       0        1        1        0        0
53122 python-tsk                         	       0        1        1        0        0
53123 python-ttystatus                   	       0        3        3        0        0
53124 python-twill                       	       0        1        1        0        0
53125 python-twisted                     	       0       12        1        0       11
53126 python-twisted-bin                 	       0       31       31        0        0
53127 python-twisted-bin-dbg             	       0        1        1        0        0
53128 python-twisted-conch               	       0        5        1        0        4
53129 python-twisted-core                	       0       31       31        0        0
53130 python-twisted-lore                	       0        3        3        0        0
53131 python-twisted-mail                	       0        4        1        0        3
53132 python-twisted-names               	       0        5        1        0        4
53133 python-twisted-news                	       0        3        1        0        2
53134 python-twisted-runner              	       0        3        1        0        2
53135 python-twisted-web                 	       0       11        4        0        7
53136 python-twisted-web2                	       0        2        2        0        0
53137 python-twisted-words               	       0        4        1        0        3
53138 python-twodict                     	       0        2        2        0        0
53139 python-txaio                       	       0        2        2        0        0
53140 python-txaio-doc                   	       0        1        0        0        1
53141 python-typing                      	       0       10       10        0        0
53142 python-tzlocal                     	       0        1        1        0        0
53143 python-u-msgpack                   	       0        1        1        0        0
53144 python-ubjson                      	       0        1        1        0        0
53145 python-ubuntutools                 	       0        1        1        0        0
53146 python-ufl-doc                     	       0        2        0        0        2
53147 python-unbound                     	       0        2        2        0        0
53148 python-unicodecsv                  	       0        2        2        0        0
53149 python-unidecode                   	       0        1        1        0        0
53150 python-unittest2                   	       0        2        2        0        0
53151 python-uno                         	       0        1        1        0        0
53152 python-unrardll                    	       0        1        1        0        0
53153 python-uritemplate                 	       0        2        2        0        0
53154 python-uritools                    	       0        5        5        0        0
53155 python-urlgrabber                  	       0        7        7        0        0
53156 python-urllib3-whl                 	       0        6        0        0        6
53157 python-urwid-doc                   	       0        5        0        0        5
53158 python-usb                         	       0        3        3        0        0
53159 python-utmp                        	       0        2        2        0        0
53160 python-vatnumber                   	       0        1        0        0        1
53161 python-vcversioner                 	       0        1        1        0        0
53162 python-vobject                     	       0       15       14        0        1
53163 python-voluptuous                  	       0        1        1        0        0
53164 python-vte                         	       0        2        0        0        2
53165 python-vtk6                        	       0        2        2        0        0
53166 python-wadllib                     	       0        9        9        0        0
53167 python-waitress                    	       0        1        1        0        0
53168 python-waitress-doc                	       0        1        0        0        1
53169 python-warlock                     	       0        1        1        0        0
53170 python-wcwidth                     	       0        6        6        0        0
53171 python-webdav                      	       0        1        1        0        0
53172 python-webkit                      	       0        1        1        0        0
53173 python-webob                       	       0       19       19        0        0
53174 python-webob-doc                   	       0        3        0        0        3
53175 python-websocket                   	       0        1        1        0        0
53176 python-websockify                  	       0        2        2        0        0
53177 python-webtest                     	       0        1        1        0        0
53178 python-werkzeug-doc                	       0        5        0        0        5
53179 python-wheel                       	       0       42       41        0        1
53180 python-wheel-common                	       0        3        3        0        0
53181 python-widgetsnbextension          	       0        1        1        0        0
53182 python-wimpiggy                    	       0        1        1        0        0
53183 python-wnck                        	       0       13       13        0        0
53184 python-wrapt                       	       0        6        6        0        0
53185 python-wsaccel                     	       0        1        1        0        0
53186 python-wsgi-intercept              	       0        1        1        0        0
53187 python-wsme                        	       0        1        1        0        0
53188 python-wxgtk-media3.0              	       0        1        0        0        1
53189 python-wxgtk-media4.0              	       0        1        0        0        1
53190 python-wxgtk-webview3.0            	       0        1        0        0        1
53191 python-wxgtk-webview4.0            	       0        1        0        0        1
53192 python-wxgtk2.8                    	       0        3        3        0        0
53193 python-wxgtk3.0-dev                	       0        1        1        0        0
53194 python-wxgtk4.0                    	       0        1        0        0        1
53195 python-xapp                        	       0        1        1        0        0
53196 python-xappy                       	       0        1        1        0        0
53197 python-xattr                       	       0        4        4        0        0
53198 python-xcaplib                     	       0        1        1        0        0
53199 python-xdg                         	       0       80       80        0        0
53200 python-xkcd-doc                    	       0        1        0        0        1
53201 python-xkit                        	       0        1        1        0        0
53202 python-xlib                        	       0       18       18        0        0
53203 python-xlrd                        	       0        9        9        0        0
53204 python-xlsxwriter                  	       0        2        2        0        0
53205 python-xlwt                        	       0       10        9        0        1
53206 python-xmltodict                   	       0        1        1        0        0
53207 python-xmmsclient                  	       0        4        4        0        0
53208 python-xstatic                     	       0        1        1        0        0
53209 python-xstatic-angular             	       0        1        1        0        0
53210 python-xstatic-angular-bootstrap   	       0        1        1        0        0
53211 python-xstatic-angular-fileupload  	       0        1        1        0        0
53212 python-xstatic-angular-gettext     	       0        1        1        0        0
53213 python-xstatic-angular-lrdragndrop 	       0        1        1        0        0
53214 python-xstatic-angular-schema-form 	       0        1        1        0        0
53215 python-xstatic-bootstrap-datepicker	       0        1        1        0        0
53216 python-xstatic-bootstrap-scss      	       0        1        1        0        0
53217 python-xstatic-bootswatch          	       0        1        1        0        0
53218 python-xstatic-d3                  	       0        1        1        0        0
53219 python-xstatic-font-awesome        	       0        1        1        0        0
53220 python-xstatic-hogan               	       0        1        1        0        0
53221 python-xstatic-jasmine             	       0        1        1        0        0
53222 python-xstatic-jquery              	       0        1        1        0        0
53223 python-xstatic-jquery-migrate      	       0        1        1        0        0
53224 python-xstatic-jquery-ui           	       0        1        1        0        0
53225 python-xstatic-jquery.quicksearch  	       0        1        1        0        0
53226 python-xstatic-jquery.tablesorter  	       0        1        1        0        0
53227 python-xstatic-jsencrypt           	       0        1        1        0        0
53228 python-xstatic-magic-search        	       0        1        1        0        0
53229 python-xstatic-mdi                 	       0        1        1        0        0
53230 python-xstatic-objectpath          	       0        1        1        0        0
53231 python-xstatic-rickshaw            	       0        1        1        0        0
53232 python-xstatic-roboto-fontface     	       0        1        1        0        0
53233 python-xstatic-smart-table         	       0        1        1        0        0
53234 python-xstatic-spin                	       0        1        1        0        0
53235 python-xstatic-term.js             	       0        1        1        0        0
53236 python-xstatic-tv4                 	       0        1        1        0        0
53237 python-xvfbwrapper                 	       0        2        2        0        0
53238 python-yaql                        	       0        1        1        0        0
53239 python-yubico                      	       0        1        1        0        0
53240 python-zake                        	       0        1        1        0        0
53241 python-zaqarclient                 	       0        1        1        0        0
53242 python-zbar                        	       0        8        8        0        0
53243 python-zeitgeist                   	       0        4        4        0        0
53244 python-zeroconf                    	       0        3        3        0        0
53245 python-zinnia                      	       0        1        1        0        0
53246 python-zmq                         	       0        8        8        0        0
53247 python-zope.component              	       0        4        4        0        0
53248 python-zope.event                  	       0        4        4        0        0
53249 python-zope.hookable               	       0        4        4        0        0
53250 python-zope.interface-dbg          	       0        1        1        0        0
53251 python-zsi                         	       0        1        1        0        0
53252 python2-dbg                        	       0        5        5        0        0
53253 python2-dev                        	       0       74       74        0        0
53254 python2-doc                        	       0        5        0        0        5
53255 python2.2                          	       0        1        1        0        0
53256 python2.4                          	       0        1        1        0        0
53257 python2.4-dev                      	       0        1        1        0        0
53258 python2.4-doc                      	       0        1        0        0        1
53259 python2.4-minimal                  	       0        3        3        0        0
53260 python2.5                          	       0        7        7        0        0
53261 python2.5-dev                      	       0        1        1        0        0
53262 python2.5-minimal                  	       0       10       10        0        0
53263 python2.6-dbg                      	       0        1        1        0        0
53264 python2.6-dev                      	       0        1        1        0        0
53265 python2.7-dbg                      	       0        7        7        0        0
53266 python2.7-doc                      	       0       11        0        0       11
53267 python2.7-examples                 	       0        2        0        0        2
53268 python3-access2base                	       0        4        4        0        0
53269 python3-accessible-pygments        	       0        1        1        0        0
53270 python3-acdcli                     	       0        1        1        0        0
53271 python3-acora                      	       0        2        2        0        0
53272 python3-acoustid                   	       0       22       22        0        0
53273 python3-actdiag                    	       0        3        3        0        0
53274 python3-actionlib                  	       0        2        2        0        0
53275 python3-actionlib-msgs             	       0        2        2        0        0
53276 python3-adal                       	       0        8        8        0        0
53277 python3-admesh                     	       0        2        2        0        0
53278 python3-aeidon                     	       0       60       58        2        0
53279 python3-afdko                      	       0        2        2        0        0
53280 python3-affine                     	       0        8        8        0        0
53281 python3-agate                      	       0       12       12        0        0
53282 python3-agatedbf                   	       0       12       12        0        0
53283 python3-agateexcel                 	       0       12       12        0        0
53284 python3-agatesql                   	       0       12       12        0        0
53285 python3-aggdraw                    	       0        4        4        0        0
53286 python3-aiodns                     	       0       41       40        1        0
53287 python3-aiodogstatsd               	       0        1        1        0        0
53288 python3-aiofiles                   	       0       19       19        0        0
53289 python3-aiohappyeyeballs           	       0       10        9        1        0
53290 python3-aiohttp-mako               	       0        1        1        0        0
53291 python3-aiohttp-retry              	       0        1        1        0        0
53292 python3-aiohttp-session            	       0        1        1        0        0
53293 python3-aiohttp-socks              	       0       21       21        0        0
53294 python3-aioopenssl                 	       0        4        4        0        0
53295 python3-aioquic                    	       0        1        1        0        0
53296 python3-aioredis                   	       0       28       27        1        0
53297 python3-aiorpcx                    	       0       15       15        0        0
53298 python3-aiosasl                    	       0        2        2        0        0
53299 python3-aiosignal                  	       0       90       87        3        0
53300 python3-aiosmtpd                   	       0        3        3        0        0
53301 python3-aiosmtplib                 	       0        1        1        0        0
53302 python3-aiosqlite                  	       0       34       33        1        0
53303 python3-aiostream                  	       0       12       11        1        0
53304 python3-alembic                    	       0       15       14        1        0
53305 python3-all                        	       0      487        0        0      487
53306 python3-all-dbg                    	       0        6        0        0        6
53307 python3-all-dev                    	       0      445        0        0      445
53308 python3-all-venv                   	       0        1        0        0        1
53309 python3-altgraph                   	       0        3        3        0        0
53310 python3-ament-cmake-test           	       0        3        3        0        0
53311 python3-ament-lint                 	       0        1        1        0        0
53312 python3-ament-package              	       0        3        3        0        0
53313 python3-ament-xmllint              	       0        1        1        0        0
53314 python3-amqp                       	       0        6        6        0        0
53315 python3-amqplib                    	       0        1        1        0        0
53316 python3-aniso8601                  	       0        2        2        0        0
53317 python3-annexremote                	       0        4        4        0        0
53318 python3-ansible-compat             	       0        7        7        0        0
53319 python3-ansible-pygments           	       0        1        1        0        0
53320 python3-ansible-runner             	       0        1        1        0        0
53321 python3-antlr4                     	       0        8        8        0        0
53322 python3-anyjson                    	       0        7        7        0        0
53323 python3-apipkg                     	       0        1        1        0        0
53324 python3-aplpy                      	       0        2        2        0        0
53325 python3-apparmor                   	       0       95       93        2        0
53326 python3-applicationinsights        	       0        6        6        0        0
53327 python3-apptools                   	       0        3        3        0        0
53328 python3-apscheduler                	       0        4        4        0        0
53329 python3-aptdaemon                  	       0       10       10        0        0
53330 python3-aptdaemon.gtk3widgets      	       0        8        8        0        0
53331 python3-aptly                      	       0        1        1        0        0
53332 python3-apycula                    	       0        1        1        0        0
53333 python3-arcp                       	       0        3        3        0        0
53334 python3-arcus                      	       0       23       22        1        0
53335 python3-argh                       	       0        5        5        0        0
53336 python3-argon2                     	       0       64       63        1        0
53337 python3-args                       	       0        6        6        0        0
53338 python3-arrayfire                  	       0        1        1        0        0
53339 python3-arrow                      	       0       38       34        4        0
53340 python3-asciitree                  	       0        6        6        0        0
53341 python3-asdf                       	       0        2        2        0        0
53342 python3-asdf-astropy               	       0        2        2        0        0
53343 python3-asdf-coordinates-schemas   	       0        2        2        0        0
53344 python3-asdf-standard              	       0        2        2        0        0
53345 python3-asdf-transform-schemas     	       0        2        2        0        0
53346 python3-asdf-wcs-schemas           	       0        2        2        0        0
53347 python3-asteval                    	       0        5        5        0        0
53348 python3-astlib                     	       0        2        2        0        0
53349 python3-astor                      	       0        6        6        0        0
53350 python3-astrometry                 	       0       27       27        0        0
53351 python3-astroml                    	       0        2        2        0        0
53352 python3-astroplan                  	       0        2        2        0        0
53353 python3-astropy                    	       0       35       33        2        0
53354 python3-astropy-affiliated         	       0        2        0        0        2
53355 python3-astropy-healpix            	       0        3        3        0        0
53356 python3-astropy-iers-data          	       0        5        4        1        0
53357 python3-astropy-sphinx-theme       	       0        1        1        0        0
53358 python3-astroquery                 	       0        2        2        0        0
53359 python3-astroscrappy               	       0        3        3        0        0
53360 python3-astunparse                 	       0       11       11        0        0
53361 python3-asyncclick                 	       0        1        1        0        0
53362 python3-asyncpg                    	       0        3        3        0        0
53363 python3-atomicwrites               	       0       73       71        2        0
53364 python3-aubio                      	       0        6        6        0        0
53365 python3-audioop-lts                	       0        1        1        0        0
53366 python3-audioread                  	       0       23       23        0        0
53367 python3-audit                      	       0        4        4        0        0
53368 python3-authheaders                	       0        1        1        0        0
53369 python3-authlib                    	       0        1        1        0        0
53370 python3-autobahn                   	       0       20       20        0        0
53371 python3-autocommand                	       0      210      197       13        0
53372 python3-autopage                   	       0        5        5        0        0
53373 python3-av                         	       0        5        5        0        0
53374 python3-avro                       	       0        9        9        0        0
53375 python3-axolotl                    	       0       45       45        0        0
53376 python3-azext-devops               	       0        6        6        0        0
53377 python3-azure                      	       0        8        8        0        0
53378 python3-azure-cli                  	       0        6        6        0        0
53379 python3-azure-cli-core             	       0        6        6        0        0
53380 python3-azure-cli-telemetry        	       0        6        6        0        0
53381 python3-azure-cli-testsdk          	       0        2        2        0        0
53382 python3-azure-cosmos               	       0        6        6        0        0
53383 python3-azure-cosmosdb-table       	       0        1        1        0        0
53384 python3-azure-datalake-store       	       0        6        6        0        0
53385 python3-azure-devtools             	       0        1        1        0        0
53386 python3-azure-functions-devops-build	       0        2        2        0        0
53387 python3-azure-multiapi-storage     	       0        6        6        0        0
53388 python3-azure-storage              	       0        7        7        0        0
53389 python3-b2sdk                      	       0        1        1        0        0
53390 python3-bandit                     	       0        4        4        0        0
53391 python3-barbicanclient             	       0        1        1        0        0
53392 python3-base58                     	       0       19       19        0        0
53393 python3-bashate                    	       0        1        1        0        0
53394 python3-basix                      	       0        1        1        0        0
53395 python3-bcj                        	       0       24       24        0        0
53396 python3-beaker                     	       0        6        5        1        0
53397 python3-beancount                  	       0        1        1        0        0
53398 python3-behave                     	       0        2        2        0        0
53399 python3-bibtexparser               	       0        6        6        0        0
53400 python3-bidict                     	       0        7        7        0        0
53401 python3-billiard                   	       0        3        3        0        0
53402 python3-binary-memcached           	       0        3        3        0        0
53403 python3-binaryornot                	       0       26       25        1        0
53404 python3-biopython                  	       0        3        3        0        0
53405 python3-biplist                    	       0        1        1        0        0
53406 python3-bitcoinlib                 	       0        2        2        0        0
53407 python3-bitstring                  	       0       17       17        0        0
53408 python3-bitstruct                  	       0        1        1        0        0
53409 python3-bleach                     	       0       91       89        2        0
53410 python3-bleak                      	       0        6        6        0        0
53411 python3-blessings                  	       0        4        4        0        0
53412 python3-blockdev                   	       0        1        1        0        0
53413 python3-blockdiag                  	       0        3        3        0        0
53414 python3-blosc                      	       0       17       17        0        0
53415 python3-bluez                      	       0       17       16        1        0
53416 python3-blurhash                   	       0        1        1        0        0
53417 python3-bond                       	       0        1        1        0        0
53418 python3-bondpy                     	       0        1        1        0        0
53419 python3-boolean                    	       0        3        3        0        0
53420 python3-booleanoperations          	       0        5        5        0        0
53421 python3-botan                      	       0        3        3        0        0
53422 python3-boto                       	       0        8        8        0        0
53423 python3-boto3                      	       0       24       23        1        0
53424 python3-botocore                   	       0       27       25        2        0
53425 python3-bottle                     	       0       47       46        1        0
53426 python3-bottle-sqlite              	       0        1        1        0        0
53427 python3-bottleneck                 	       0       87       87        0        0
53428 python3-box                        	       0        1        1        0        0
53429 python3-bpfcc                      	       0        3        3        0        0
53430 python3-braceexpand                	       0        3        3        0        0
53431 python3-bracex                     	       0        8        8        0        0
53432 python3-breathe                    	       0        2        2        0        0
53433 python3-breezy                     	       0       46       46        0        0
53434 python3-breezy-dbgsym              	       0        1        1        0        0
53435 python3-breezy.tests               	       0        2        2        0        0
53436 python3-brial                      	       0        7        7        0        0
53437 python3-brian                      	       0        1        1        0        0
53438 python3-brian-lib                  	       0        1        1        0        0
53439 python3-btchip                     	       0        1        1        0        0
53440 python3-btrfs                      	       0       25       23        2        0
53441 python3-btrfsutil                  	       0        2        2        0        0
53442 python3-bugzilla                   	       0        1        1        0        0
53443 python3-build                      	       0       12       12        0        0
53444 python3-buildbot-doc               	       0        1        0        0        1
53445 python3-buildlog-consultant        	       0        1        1        0        0
53446 python3-bytecode                   	       0       67       66        1        0
53447 python3-bz2file                    	       0        2        2        0        0
53448 python3-cachecontrol               	       0       15       15        0        0
53449 python3-cached-property            	       0       65       65        0        0
53450 python3-cairo-dev                  	       0        5        5        0        0
53451 python3-cairo-doc                  	       0        1        0        0        1
53452 python3-caldav                     	       0        2        2        0        0
53453 python3-calmjs                     	       0        1        1        0        0
53454 python3-calmjs.parse               	       0        1        1        0        0
53455 python3-calmjs.types               	       0        1        1        0        0
53456 python3-can                        	       0        1        1        0        0
53457 python3-canonicaljson              	       0        4        4        0        0
53458 python3-capstone                   	       0        6        6        0        0
53459 python3-carquinyol                 	       0        1        1        0        0
53460 python3-cartopy                    	       0        9        9        0        0
53461 python3-casa-formats-io            	       0        2        2        0        0
53462 python3-casacore                   	       0        5        5        0        0
53463 python3-castellan                  	       0        1        1        0        0
53464 python3-catalogue                  	       0        1        1        0        0
53465 python3-catkin                     	       0        3        3        0        0
53466 python3-catkin-pkg                 	       0        4        4        0        0
53467 python3-cbor                       	       0       22       22        0        0
53468 python3-cbor2                      	       0        3        3        0        0
53469 python3-ccdproc                    	       0        3        3        0        0
53470 python3-cclib                      	       0       37       36        1        0
53471 python3-cdio                       	       0        7        7        0        0
53472 python3-cdo                        	       0        2        2        0        0
53473 python3-cdsapi                     	       0        2        2        0        0
53474 python3-celery                     	       0        3        3        0        0
53475 python3-ceph                       	       0        3        0        0        3
53476 python3-ceph-argparse              	       0        7        7        0        0
53477 python3-ceph-common                	       0        5        5        0        0
53478 python3-cephfs                     	       0        7        7        0        0
53479 python3-certbot-dns-cloudflare     	       0        3        3        0        0
53480 python3-certbot-dns-dnsimple       	       0        1        1        0        0
53481 python3-certbot-dns-gandi          	       0        7        7        0        0
53482 python3-certbot-dns-ovh            	       0        3        3        0        0
53483 python3-certbot-dns-rfc2136        	       0        4        4        0        0
53484 python3-certbot-dns-standalone     	       0        1        1        0        0
53485 python3-certipy                    	       0        1        1        0        0
53486 python3-cffsubr                    	       0        3        3        0        0
53487 python3-cfgv                       	       0        7        7        0        0
53488 python3-cftime                     	       0       10       10        0        0
53489 python3-chameleon                  	       0        1        0        1        0
53490 python3-characteristic             	       0        1        1        0        0
53491 python3-charon                     	       0       22       21        1        0
53492 python3-cherrypy3                  	       0       15       14        1        0
53493 python3-ci-info                    	       0        1        1        0        0
53494 python3-cinderclient               	       0        2        2        0        0
53495 python3-citeproc                   	       0        1        1        0        0
53496 python3-clang                      	       0        2        0        0        2
53497 python3-clang-11                   	       0        1        1        0        0
53498 python3-clang-19                   	       0        1        1        0        0
53499 python3-cleo                       	       0        8        8        0        0
53500 python3-clevercsv                  	       0        1        1        0        0
53501 python3-cli-helpers                	       0       14       14        0        0
53502 python3-click-default-group        	       0        2        2        0        0
53503 python3-click-didyoumean           	       0        4        4        0        0
53504 python3-click-log                  	       0       25       24        1        0
53505 python3-click-repl                 	       0        3        3        0        0
53506 python3-click-threading            	       0        7        7        0        0
53507 python3-cliff                      	       0        6        6        0        0
53508 python3-cligj                      	       0       12       12        0        0
53509 python3-clint                      	       0        6        6        0        0
53510 python3-cloudflare                 	       0        4        4        0        0
53511 python3-cloudpickle                	       0       41       40        1        0
53512 python3-cloudscraper               	       0        1        1        0        0
53513 python3-clustershell               	       0        5        5        0        0
53514 python3-cmarkgfm                   	       0        5        5        0        0
53515 python3-cmd2                       	       0        6        6        0        0
53516 python3-coda                       	       0        4        4        0        0
53517 python3-collada                    	       0        3        3        0        0
53518 python3-colored                    	       0        1        1        0        0
53519 python3-colorlog                   	       0       27       26        1        0
53520 python3-colors                     	       0        1        1        0        0
53521 python3-colour                     	       0       11       10        1        0
53522 python3-comm                       	       0        8        8        0        0
53523 python3-commonmark                 	       0       26       26        0        0
53524 python3-commonmark-bkrs            	       0        1        1        0        0
53525 python3-compose                    	       0       11       11        0        0
53526 python3-compreffor                 	       0        1        1        0        0
53527 python3-compyle                    	       0        1        1        0        0
53528 python3-conda-package-streaming    	       0        1        1        0        0
53529 python3-confection                 	       0        1        1        0        0
53530 python3-confget                    	       0        1        1        0        0
53531 python3-configshell-fb             	       0        1        1        0        0
53532 python3-confluent-kafka            	       0        3        3        0        0
53533 python3-confuse                    	       0       10       10        0        0
53534 python3-connection-pool            	       0        1        1        0        0
53535 python3-construct                  	       0       13       13        0        0
53536 python3-construct-classes          	       0        1        1        0        0
53537 python3-contextlib2                	       0       40       40        0        0
53538 python3-convertdate                	       0        5        5        0        0
53539 python3-cookiecutter               	       0       25       24        1        0
53540 python3-coreapi                    	       0        3        3        0        0
53541 python3-coreschema                 	       0        3        3        0        0
53542 python3-cotyledon                  	       0        1        1        0        0
53543 python3-coverage                   	       0      109      107        2        0
53544 python3-cpl                        	       0        3        3        0        0
53545 python3-cpuinfo                    	       0       72       69        3        0
53546 python3-cpuset                     	       0        3        3        0        0
53547 python3-cracklib                   	       0        4        4        0        0
53548 python3-crashtest                  	       0        8        8        0        0
53549 python3-crayons                    	       0        1        1        0        0
53550 python3-crcelk                     	       0        1        1        0        0
53551 python3-crcmod                     	       0        9        9        0        0
53552 python3-croniter                   	       0       10        9        1        0
53553 python3-crontab                    	       0        2        2        0        0
53554 python3-crypto-dbg                 	       0        1        1        0        0
53555 python3-cryptography-vectors       	       0        9        8        1        0
53556 python3-cs                         	       0        1        1        0        0
53557 python3-csdr                       	       0        1        1        0        0
53558 python3-cson                       	       0        8        8        0        0
53559 python3-csscompressor              	       0        2        2        0        0
53560 python3-cssmin                     	       0        4        4        0        0
53561 python3-cssutils                   	       0       84       83        1        0
53562 python3-csvkit                     	       0       12       12        0        0
53563 python3-ctdopts                    	       0        1        1        0        0
53564 python3-cu2qu                      	       0        1        1        0        0
53565 python3-cursive                    	       0        1        1        0        0
53566 python3-curtsies                   	       0       10       10        0        0
53567 python3-cvxopt                     	       0        6        6        0        0
53568 python3-cwcwidth                   	       0       14       13        1        0
53569 python3-cwiid                      	       0        4        4        0        0
53570 python3-cwl-utils                  	       0        3        3        0        0
53571 python3-cxx-dev                    	       0        1        1        0        0
53572 python3-cyarray                    	       0        1        1        0        0
53573 python3-cymem                      	       0        1        1        0        0
53574 python3-cymruwhois                 	       0        9        9        0        0
53575 python3-cypari2                    	       0        8        8        0        0
53576 python3-cysignals-bare             	       0        1        1        0        0
53577 python3-cysignals-pari             	       0        8        8        0        0
53578 python3-cython-blis                	       0        1        1        0        0
53579 python3-dacite                     	       0       11       11        0        0
53580 python3-daemon                     	       0        8        8        0        0
53581 python3-daemonize                  	       0        5        5        0        0
53582 python3-daphne                     	       0        1        1        0        0
53583 python3-dask                       	       0       17       17        0        0
53584 python3-dask-sphinx-theme          	       0        1        1        0        0
53585 python3-databases                  	       0        1        1        0        0
53586 python3-datacache                  	       0        1        1        0        0
53587 python3-datalad                    	       0        4        4        0        0
53588 python3-dateparser                 	       0        5        5        0        0
53589 python3-datrie                     	       0        2        2        0        0
53590 python3-dbf                        	       0        1        1        0        0
53591 python3-dbfread                    	       0       12       12        0        0
53592 python3-dbg                        	       0       14       14        0        0
53593 python3-dbus-fast                  	       0        6        5        1        0
53594 python3-dbus.mainloop.qt           	       0        1        1        0        0
53595 python3-dcmstack                   	       0        1        1        0        0
53596 python3-ddt                        	       0        1        1        0        0
53597 python3-deap                       	       0        1        1        0        0
53598 python3-debmutate                  	       0        7        7        0        0
53599 python3-debtagshw                  	       0        1        1        0        0
53600 python3-debtcollector              	       0       12       12        0        0
53601 python3-debugpy                    	       0       69       68        1        0
53602 python3-deepdiff                   	       0        1        1        0        0
53603 python3-defcon                     	       0       15       15        0        0
53604 python3-defconqt                   	       0        2        2        0        0
53605 python3-defer                      	       0       14       13        1        0
53606 python3-demjson                    	       0        2        2        0        0
53607 python3-dendropy                   	       0        1        1        0        0
53608 python3-descartes                  	       0        1        1        0        0
53609 python3-designateclient            	       0        1        1        0        0
53610 python3-devedeng                   	       0        1        1        0        0
53611 python3-devpi-common               	       0        1        1        0        0
53612 python3-diagnostic-msgs            	       0        1        1        0        0
53613 python3-diagrams                   	       0        1        1        0        0
53614 python3-dialog                     	       0        6        6        0        0
53615 python3-dicom                      	       0        4        0        0        4
53616 python3-dict2xml                   	       0        2        2        0        0
53617 python3-dicttoxml                  	       0        2        2        0        0
53618 python3-diff-match-patch           	       0       70       67        3        0
53619 python3-digiham                    	       0        1        1        0        0
53620 python3-dijitso                    	       0        1        1        0        0
53621 python3-dipy                       	       0        1        1        0        0
53622 python3-dipy-lib                   	       0        1        1        0        0
53623 python3-distributed                	       0       12       12        0        0
53624 python3-distutils-extra            	       0       18       17        1        0
53625 python3-django-appconf             	       0        2        2        0        0
53626 python3-django-auth-ldap           	       0        1        1        0        0
53627 python3-django-cas-server          	       0        1        1        0        0
53628 python3-django-compressor          	       0        2        2        0        0
53629 python3-django-filters             	       0        2        2        0        0
53630 python3-django-gravatar2           	       0        2        2        0        0
53631 python3-django-guardian            	       0        2        2        0        0
53632 python3-django-haystack            	       0        2        2        0        0
53633 python3-django-hvad                	       0        1        1        0        0
53634 python3-django-hyperkitty          	       0        2        2        0        0
53635 python3-django-js-reverse          	       0        1        1        0        0
53636 python3-django-mailman3            	       0        2        2        0        0
53637 python3-django-maintenance-mode    	       0        1        1        0        0
53638 python3-django-picklefield         	       0        2        2        0        0
53639 python3-django-postorius           	       0        2        2        0        0
53640 python3-django-q                   	       0        2        2        0        0
53641 python3-django-sass-processor      	       0        1        1        0        0
53642 python3-django-wkhtmltopdf         	       0        1        1        0        0
53643 python3-dkim                       	       0        2        2        0        0
53644 python3-dmidecode                  	       0        2        2        0        0
53645 python3-dnaio                      	       0        1        1        0        0
53646 python3-dnf                        	       0        3        3        0        0
53647 python3-dnslib                     	       0        4        4        0        0
53648 python3-doc                        	       0      112        0        0      112
53649 python3-dockerpycreds              	       0        5        5        0        0
53650 python3-docs-theme                 	       0        1        1        0        0
53651 python3-docstring-to-markdown      	       0       34       33        1        0
53652 python3-docx                       	       0        1        1        0        0
53653 python3-dogpile.cache              	       0       10       10        0        0
53654 python3-dolfinx                    	       0        1        1        0        0
53655 python3-dolfinx-real               	       0        1        0        0        1
53656 python3-dominate                   	       0        3        3        0        0
53657 python3-donfig                     	       0        2        2        0        0
53658 python3-dotenv-cli                 	       0        1        1        0        0
53659 python3-dotmap                     	       0        2        2        0        0
53660 python3-doxypypy                   	       0        3        3        0        0
53661 python3-dput                       	       0        6        6        0        0
53662 python3-drizzle                    	       0        1        1        0        0
53663 python3-dropbox                    	       0       14       14        0        0
53664 python3-drslib                     	       0        1        1        0        0
53665 python3-duniterpy                  	       0        1        1        0        0
53666 python3-dvdvideo                   	       0        1        1        0        0
53667 python3-dynamic-reconfigure        	       0        2        2        0        0
53668 python3-easydict                   	       0        1        1        0        0
53669 python3-easygui                    	       0        5        5        0        0
53670 python3-easyprocess                	       0        5        5        0        0
53671 python3-easysnmp                   	       0        1        1        0        0
53672 python3-easywebdav                 	       0        3        3        0        0
53673 python3-ebooklib                   	       0        4        4        0        0
53674 python3-ecasound                   	       0        6        6        0        0
53675 python3-eccodes                    	       0        3        3        0        0
53676 python3-ecdsa                      	       0       36       36        0        0
53677 python3-echo                       	       0        1        1        0        0
53678 python3-ecmwflibs                  	       0        2        2        0        0
53679 python3-editables                  	       0        1        1        0        0
53680 python3-editobj3                   	       0        4        4        0        0
53681 python3-editor                     	       0        2        2        0        0
53682 python3-edlib                      	       0        1        1        0        0
53683 python3-eduvpn-client              	       0        5        5        0        0
53684 python3-eduvpn-common              	       0        3        3        0        0
53685 python3-einsteinpy                 	       0        1        1        0        0
53686 python3-elasticsearch-curator      	       0        1        1        0        0
53687 python3-elementpath                	       0        4        4        0        0
53688 python3-email-validator            	       0        9        9        0        0
53689 python3-emcee                      	       0        2        2        0        0
53690 python3-emoji                      	       0       15       13        2        0
53691 python3-empy                       	       0        5        5        0        0
53692 python3-enchant                    	       0      126      122        4        0
53693 python3-engineio                   	       0        7        7        0        0
53694 python3-enrich                     	       0        7        7        0        0
53695 python3-envisage                   	       0        3        3        0        0
53696 python3-enzyme                     	       0        5        5        0        0
53697 python3-epr                        	       0        3        3        0        0
53698 python3-erfa                       	       0       36       35        1        0
53699 python3-espeak                     	       0        1        1        0        0
53700 python3-et-xmlfile                 	       0      108      108        0        0
53701 python3-etcd                       	       0        5        4        1        0
53702 python3-etcd3                      	       0        3        3        0        0
53703 python3-etcd3gw                    	       0        3        3        0        0
53704 python3-etelemetry                 	       0        1        1        0        0
53705 python3-etesync                    	       0        1        1        0        0
53706 python3-ethtool                    	       0        1        1        0        0
53707 python3-eventlet                   	       0       10       10        0        0
53708 python3-ewmh                       	       0       10        9        1        0
53709 python3-exabgp                     	       0        1        1        0        0
53710 python3-exactimage                 	       0        1        1        0        0
53711 python3-examples                   	       0       68        0        0       68
53712 python3-execnet                    	       0        5        5        0        0
53713 python3-exif                       	       0       26        0        0       26
53714 python3-exifread                   	       0       28       28        0        0
53715 python3-expeyes                    	       0        1        1        0        0
53716 python3-expiringdict               	       0        1        1        0        0
53717 python3-extractor                  	       0        1        1        0        0
53718 python3-extras                     	       0       16       16        0        0
53719 python3-extruct                    	       0        2        2        0        0
53720 python3-ezdxf                      	       0        2        2        0        0
53721 python3-fabio                      	       0        1        1        0        0
53722 python3-fabric                     	       0        7        7        0        0
53723 python3-fabulous                   	       0        2        2        0        0
53724 python3-fake-factory               	       0        1        1        0        0
53725 python3-falcon                     	       0        2        2        0        0
53726 python3-fann2                      	       0        1        1        0        0
53727 python3-fast-histogram             	       0        1        1        0        0
53728 python3-fastapi                    	       0       14       14        0        0
53729 python3-fastbencode                	       0       39       39        0        0
53730 python3-fastimport                 	       0       76       74        2        0
53731 python3-fastjsonschema             	       0       97       94        3        0
53732 python3-feedgenerator              	       0        7        7        0        0
53733 python3-ffc                        	       0        1        1        0        0
53734 python3-ffcx                       	       0        1        1        0        0
53735 python3-fiat                       	       0        1        1        0        0
53736 python3-fife                       	       0        8        7        1        0
53737 python3-filetype                   	       0       53       53        0        0
53738 python3-findlibs                   	       0        2        2        0        0
53739 python3-findpython                 	       0        2        2        0        0
53740 python3-fiona                      	       0        6        6        0        0
53741 python3-fire                       	       0        1        1        0        0
53742 python3-firebase-messaging         	       0        1        1        0        0
53743 python3-firewall                   	       0       54       50        4        0
53744 python3-fisx                       	       0        2        2        0        0
53745 python3-fitsio                     	       0        6        6        0        0
53746 python3-fitz                       	       0       17       16        1        0
53747 python3-fixtures                   	       0       15       14        1        0
53748 python3-flake8-black               	       0        2        2        0        0
53749 python3-flaky                      	       0        1        1        0        0
53750 python3-flasgger                   	       0        2        2        0        0
53751 python3-flask-babel                	       0        5        5        0        0
53752 python3-flask-compress             	       0        1        1        0        0
53753 python3-flask-cors                 	       0        5        4        1        0
53754 python3-flask-httpauth             	       0        3        3        0        0
53755 python3-flask-login                	       0        1        1        0        0
53756 python3-flask-restful              	       0        2        2        0        0
53757 python3-flask-socketio             	       0        7        7        0        0
53758 python3-flatbuffers                	       0       17       17        0        0
53759 python3-flatlatex                  	       0        4        4        0        0
53760 python3-fltk                       	       0        1        1        0        0
53761 python3-fltk-doc                   	       0        1        0        0        1
53762 python3-flufl.bounce               	       0        2        2        0        0
53763 python3-fontforge                  	       0       16       15        1        0
53764 python3-fontmake                   	       0        2        2        0        0
53765 python3-fontmath                   	       0        3        3        0        0
53766 python3-fontparts                  	       0        2        2        0        0
53767 python3-fontpens                   	       0       13       13        0        0
53768 python3-fpdf                       	       0        1        1        0        0
53769 python3-fpylll                     	       0        9        9        0        0
53770 python3-fpyutils                   	       0        2        2        0        0
53771 python3-fqdn                       	       0       10        6        4        0
53772 python3-freeipa                    	       0        1        1        0        0
53773 python3-freetype                   	       0       52       52        0        0
53774 python3-freezegun                  	       0       12       12        0        0
53775 python3-frozendict                 	       0       15       14        1        0
53776 python3-fsspec                     	       0       16       16        0        0
53777 python3-ftdi                       	       0        1        1        0        0
53778 python3-ftdi-doc                   	       0        1        0        0        1
53779 python3-ftdi1                      	       0        2        2        0        0
53780 python3-ftputil                    	       0        1        1        0        0
53781 python3-full                       	       0       62        0        0       62
53782 python3-funcparserlib              	       0        9        9        0        0
53783 python3-funcsigs                   	       0        4        4        0        0
53784 python3-furl                       	       0        1        1        0        0
53785 python3-fusepy                     	       0       14       14        0        0
53786 python3-futurist                   	       0        3        3        0        0
53787 python3-fuzzywuzzy                 	       0        8        8        0        0
53788 python3-galpy                      	       0        1        1        0        0
53789 python3-gammapy                    	       0        1        1        0        0
53790 python3-ganeti-rapi                	       0        1        1        0        0
53791 python3-gattlib                    	       0       17       16        1        0
53792 python3-gdbm-dbg                   	       0        3        2        1        0
53793 python3-gdcm                       	       0        4        4        0        0
53794 python3-gdl                        	       0        1        1        0        0
53795 python3-gencpp                     	       0        3        3        0        0
53796 python3-geneagrapher               	       0        1        1        0        0
53797 python3-genetic                    	       0        1        1        0        0
53798 python3-genlisp                    	       0        3        3        0        0
53799 python3-genmsg                     	       0        5        5        0        0
53800 python3-genpy                      	       0        5        5        0        0
53801 python3-genshi                     	       0       13       13        0        0
53802 python3-geographiclib              	       0        8        8        0        0
53803 python3-geoip2                     	       0        1        1        0        0
53804 python3-geojson                    	       0        4        4        0        0
53805 python3-geolinks                   	       0        3        3        0        0
53806 python3-geomet                     	       0        4        4        0        0
53807 python3-geometry-msgs              	       0        2        2        0        0
53808 python3-geopandas                  	       0        4        4        0        0
53809 python3-geopy                      	       0        6        6        0        0
53810 python3-geotiepoints               	       0        2        2        0        0
53811 python3-gerritlib                  	       0        1        1        0        0
53812 python3-ges-1.0                    	       0       34       34        0        0
53813 python3-getdns                     	       0        1        1        0        0
53814 python3-gevent-websocket           	       0        6        6        0        0
53815 python3-gflags                     	       0        1        1        0        0
53816 python3-ghostscript                	       0        2        2        0        0
53817 python3-gimmik                     	       0        1        1        0        0
53818 python3-ginga                      	       0        1        1        0        0
53819 python3-git                        	       0       21       21        0        0
53820 python3-git-big-picture            	       0        7        7        0        0
53821 python3-git-delete-merged-branches 	       0        3        3        0        0
53822 python3-git-os-job                 	       0        1        1        0        0
53823 python3-git-repo-updater           	       0        1        1        0        0
53824 python3-gitdb                      	       0       21       21        0        0
53825 python3-github                     	       0       42       42        0        0
53826 python3-gitlab                     	       0       25       25        0        0
53827 python3-gjson                      	       0        1        1        0        0
53828 python3-glad                       	       0        1        1        0        0
53829 python3-glanceclient               	       0        2        2        0        0
53830 python3-gleetex                    	       0        1        1        0        0
53831 python3-glob2                      	       0        3        3        0        0
53832 python3-glue                       	       0        1        1        0        0
53833 python3-glymur                     	       0        3        3        0        0
53834 python3-glyphslib                  	       0        2        2        0        0
53835 python3-gmpy2                      	       0       15       15        0        0
53836 python3-gnucash-dbgsym             	       0        1        1        0        0
53837 python3-gnupg                      	       0       83       79        4        0
53838 python3-gnuplot                    	       0        2        2        0        0
53839 python3-goocalendar                	       0        1        1        0        0
53840 python3-google-auth-httplib2       	       0       18       18        0        0
53841 python3-google-auth-oauthlib       	       0        4        4        0        0
53842 python3-google-i18n-address        	       0        3        3        0        0
53843 python3-googleapi                  	       0       18       18        0        0
53844 python3-gphoto2                    	       0        5        5        0        0
53845 python3-gphoto2cffi                	       0        1        1        0        0
53846 python3-gpumodules                 	       0       26       26        0        0
53847 python3-gpxpy                      	       0       14       14        0        0
53848 python3-graph-tool                 	       0        1        1        0        0
53849 python3-graphql-core               	       0        1        1        0        0
53850 python3-graphviz                   	       0       10       10        0        0
53851 python3-grib                       	       0        2        2        0        0
53852 python3-grpc-tools                 	       0        2        2        0        0
53853 python3-grpcio                     	       0       12       12        0        0
53854 python3-gsw                        	       0        1        1        0        0
53855 python3-gtfparse                   	       0        1        1        0        0
53856 python3-gtkspellcheck              	       0       14       14        0        0
53857 python3-guidata                    	       0        2        2        0        0
53858 python3-guiqwt                     	       0        2        2        0        0
53859 python3-guizero                    	       0        2        2        0        0
53860 python3-gunicorn                   	       0        8        8        0        0
53861 python3-guzzle-sphinx-theme        	       0        3        3        0        0
53862 python3-gv                         	       0        1        1        0        0
53863 python3-gvm                        	       0        1        1        0        0
53864 python3-gwcs                       	       0        2        2        0        0
53865 python3-gwebsockets                	       0        1        1        0        0
53866 python3-gyoto                      	       0        1        1        0        0
53867 python3-h5netcdf                   	       0        5        5        0        0
53868 python3-h5py                       	       0       17        2        0       15
53869 python3-h5py-serial                	       0       18       18        0        0
53870 python3-hamlib                     	       0        4        4        0        0
53871 python3-harmony                    	       0        2        2        0        0
53872 python3-hatch-vcs                  	       0       17       17        0        0
53873 python3-hatchling                  	       0       17       17        0        0
53874 python3-hawkey                     	       0        3        3        0        0
53875 python3-hdf-compass                	       0        1        1        0        0
53876 python3-hdf4                       	       0        3        3        0        0
53877 python3-healpy                     	       0        4        4        0        0
53878 python3-heapdict                   	       0       10       10        0        0
53879 python3-heatclient                 	       0        1        1        0        0
53880 python3-hgapi                      	       0        1        1        0        0
53881 python3-hglib                      	       0        1        1        0        0
53882 python3-hid                        	       0        9        8        1        0
53883 python3-hidapi                     	       0        3        2        1        0
53884 python3-hips                       	       0        1        1        0        0
53885 python3-hiredis                    	       0       30       28        2        0
53886 python3-hisat2                     	       0        1        1        0        0
53887 python3-hkdf                       	       0       16       16        0        0
53888 python3-hl7                        	       0        1        1        0        0
53889 python3-hsluv                      	       0        3        3        0        0
53890 python3-html-text                  	       0        2        2        0        0
53891 python3-html5rdf                   	       0        1        1        0        0
53892 python3-htmlmin                    	       0        1        1        0        0
53893 python3-htseq                      	       0        1        1        0        0
53894 python3-httmock                    	       0        1        1        0        0
53895 python3-http-ece                   	       0        1        1        0        0
53896 python3-httpretty                  	       0        4        4        0        0
53897 python3-hunspell                   	       0        1        0        1        0
53898 python3-hupper                     	       0        2        1        1        0
53899 python3-hy                         	       0        4        4        0        0
53900 python3-hypothesis                 	       0       56       55        1        0
53901 python3-i3ipc                      	       0        2        2        0        0
53902 python3-iapws                      	       0        1        1        0        0
53903 python3-icalendar                  	       0       33       32        1        0
53904 python3-icmplib                    	       0        1        1        0        0
53905 python3-icoextract                 	       0       13       11        2        0
53906 python3-identify                   	       0        6        6        0        0
53907 python3-igraph                     	       0        1        1        0        0
53908 python3-ilorest                    	       0        1        1        0        0
53909 python3-imageio                    	       0       11       11        0        0
53910 python3-imaplib2                   	       0       27       27        0        0
53911 python3-imath                      	       0        5        5        0        0
53912 python3-imdbpy                     	       0        8        8        0        0
53913 python3-imexam                     	       0        2        2        0        0
53914 python3-imgviz                     	       0        2        2        0        0
53915 python3-iminuit                    	       0        1        1        0        0
53916 python3-immutabledict              	       0        4        4        0        0
53917 python3-imobiledevice              	       0        5        4        0        1
53918 python3-importlib-resources        	       0        6        6        0        0
53919 python3-infinity                   	       0        4        4        0        0
53920 python3-inflate64                  	       0       24       24        0        0
53921 python3-inflect                    	       0      209      196       13        0
53922 python3-inflection                 	       0       23       22        1        0
53923 python3-iniparse                   	       0       61       59        2        0
53924 python3-inject                     	       0        1        1        0        0
53925 python3-inputremapper              	       0        3        3        0        0
53926 python3-installer                  	       0        9        9        0        0
53927 python3-intelhex                   	       0        7        7        0        0
53928 python3-internetarchive            	       0        1        1        0        0
53929 python3-intervaltree               	       0       30       29        1        0
53930 python3-ipaclient                  	       0       18       14        4        0
53931 python3-ipaddr                     	       0        1        1        0        0
53932 python3-ipahealthcheck-core        	       0        1        1        0        0
53933 python3-ipalib                     	       0       18       14        4        0
53934 python3-ipdb                       	       0        3        3        0        0
53935 python3-ipykernel                  	       0       81       80        1        0
53936 python3-ipywidgets                 	       0       53       53        0        0
53937 python3-irc                        	       0        2        2        0        0
53938 python3-irodsclient                	       0        1        1        0        0
53939 python3-isc-dhcp-leases            	       0        1        1        0        0
53940 python3-iso8601                    	       0       23       23        0        0
53941 python3-isodate                    	       0       65       65        0        0
53942 python3-isoduration                	       0       10        6        4        0
53943 python3-itypes                     	       0        4        4        0        0
53944 python3-jack-client                	       0        3        3        0        0
53945 python3-jae                        	       0        2        2        0        0
53946 python3-jarabe                     	       0        1        1        0        0
53947 python3-jaraco.collections         	       0       14       13        1        0
53948 python3-javaproperties             	       0        6        6        0        0
53949 python3-jellyfish                  	       0       36       35        1        0
53950 python3-jenkins                    	       0        2        2        0        0
53951 python3-jinja2-time                	       0       22       21        1        0
53952 python3-jira                       	       0        2        2        0        0
53953 python3-jplephem                   	       0        3        3        0        0
53954 python3-jpylyzer                   	       0        2        2        0        0
53955 python3-js8py                      	       0        1        1        0        0
53956 python3-jschema-to-python          	       0        1        1        0        0
53957 python3-jsmin                      	       0        7        7        0        0
53958 python3-json-tricks                	       0        2        2        0        0
53959 python3-json5                      	       0        7        7        0        0
53960 python3-jsonext                    	       0        1        1        0        0
53961 python3-jsonhyperschema-codec      	       0        1        1        0        0
53962 python3-jsonnet                    	       0        1        1        0        0
53963 python3-jsonpatch                  	       0       15       15        0        0
53964 python3-jsonpath-rw                	       0        2        2        0        0
53965 python3-jsonpath-rw-ext            	       0        1        1        0        0
53966 python3-jsonpickle                 	       0        3        3        0        0
53967 python3-jsonrpc                    	       0        1        1        0        0
53968 python3-jsonrpclib-pelix           	       0        3        3        0        0
53969 python3-jsonschema-specifications  	       0       47       44        3        0
53970 python3-jstyleson                  	       0        2        2        0        0
53971 python3-jupyter-client             	       0       88       86        2        0
53972 python3-jupyter-console            	       0       24       24        0        0
53973 python3-jupyter-core               	       0      123      119        4        0
53974 python3-jupyter-server             	       0        4        4        0        0
53975 python3-jupyter-sphinx-theme       	       0        7        7        0        0
53976 python3-jupyter-telemetry          	       0        1        1        0        0
53977 python3-jupyterlab-pygments        	       0       73       71        2        0
53978 python3-jupyterlab-server          	       0        3        3        0        0
53979 python3-jwcrypto                   	       0       11       11        0        0
53980 python3-k8sclient                  	       0        1        1        0        0
53981 python3-kaitaistruct               	       0       12       12        0        0
53982 python3-kanboard                   	       0        1        1        0        0
53983 python3-kanjidraw                  	       0        1        1        0        0
53984 python3-kazoo                      	       0        3        3        0        0
53985 python3-kea-connector              	       0        3        3        0        0
53986 python3-keepalive                  	       0        1        1        0        0
53987 python3-keras                      	       0        1        1        0        0
53988 python3-keras-applications         	       0        2        2        0        0
53989 python3-keras-preprocessing        	       0        2        2        0        0
53990 python3-keyring                    	       0      289      278       11        0
53991 python3-keyring-pass               	       0        1        0        1        0
53992 python3-keystoneauth1              	       0        9        8        1        0
53993 python3-keystoneclient             	       0        8        7        1        0
53994 python3-keystonemiddleware         	       0        3        3        0        0
53995 python3-kineticstools              	       0        1        1        0        0
53996 python3-kismetcapturefreaklabszigbee	       0        1        1        0        0
53997 python3-kismetcapturertl433        	       0        1        1        0        0
53998 python3-kismetcapturertladsb       	       0        1        1        0        0
53999 python3-kismetcapturertlamr        	       0        1        1        0        0
54000 python3-kiss-headers               	       0        1        1        0        0
54001 python3-kitchen                    	       0        2        2        0        0
54002 python3-klaus                      	       0        1        1        0        0
54003 python3-knack                      	       0        6        6        0        0
54004 python3-kombu                      	       0        6        6        0        0
54005 python3-kopano                     	       0        1        1        0        0
54006 python3-kubernetes                 	       0        3        3        0        0
54007 python3-kytos-sphinx-theme         	       0        1        1        0        0
54008 python3-l20n                       	       0        3        3        0        0
54009 python3-labgrid                    	       0        1        1        0        0
54010 python3-langtable                  	       0        1        1        0        0
54011 python3-lark                       	       0        4        4        0        0
54012 python3-lasagne                    	       0        1        1        0        0
54013 python3-latexcodec                 	       0       11       11        0        0
54014 python3-launchpadlib               	       0       52       50        2        0
54015 python3-lazy-loader                	       0        2        2        0        0
54016 python3-leather                    	       0       12       12        0        0
54017 python3-legacy-cgi                 	       0       15       14        1        0
54018 python3-lensfun                    	       0        3        3        0        0
54019 python3-lesscpy                    	       0        2        2        0        0
54020 python3-lexicon                    	       0        5        5        0        0
54021 python3-libcamera                  	       0        1        0        0        1
54022 python3-libcegui-mk2-0.8.7         	       0        1        0        0        1
54023 python3-libcloud                   	       0       63       60        3        0
54024 python3-libcomps                   	       0        3        3        0        0
54025 python3-libconcord                 	       0        3        3        0        0
54026 python3-libdnf                     	       0        3        3        0        0
54027 python3-libfdt                     	       0        1        1        0        0
54028 python3-libgpiod                   	       0        1        1        0        0
54029 python3-libiio                     	       0        1        1        0        0
54030 python3-libipa-hbac                	       0       18       14        4        0
54031 python3-liblo                      	       0       12       12        0        0
54032 python3-libmodernize               	       0        4        4        0        0
54033 python3-libnacl                    	       0        1        1        0        0
54034 python3-libproxy                   	       0        2        2        0        0
54035 python3-libpulse                   	       0        1        1        0        0
54036 python3-librdf                     	       0        1        1        0        0
54037 python3-librecaptcha               	       0        2        2        0        0
54038 python3-librouteros                	       0        1        1        0        0
54039 python3-libsvm                     	       0        2        2        0        0
54040 python3-libtiff                    	       0        2        2        0        0
54041 python3-libtmux                    	       0        9        9        0        0
54042 python3-libusb1                    	       0        1        0        0        1
54043 python3-libvoikko                  	       0       13       12        1        0
54044 python3-license-expression         	       0        3        3        0        0
54045 python3-linecache2                 	       0        4        4        0        0
54046 python3-linux-procfs               	       0        9        9        0        0
54047 python3-listparser                 	       0        3        3        0        0
54048 python3-lldb                       	       0        1        0        0        1
54049 python3-lldb-11                    	       0        3        0        0        3
54050 python3-lldb-14                    	       0       10        0        0       10
54051 python3-lldb-15                    	       0        1        0        0        1
54052 python3-lldb-16                    	       0        5        0        0        5
54053 python3-lldb-19                    	       0        4        0        0        4
54054 python3-llfuse                     	       0       58       57        0        1
54055 python3-llvmlite                   	       0       73       73        0        0
54056 python3-lmdb                       	       0        1        1        0        0
54057 python3-lmfit                      	       0        1        1        0        0
54058 python3-logbook                    	       0        8        8        0        0
54059 python3-logfury                    	       0        2        2        0        0
54060 python3-logilab-constraint         	       0        1        1        0        0
54061 python3-loguru                     	       0        2        2        0        0
54062 python3-lxc                        	       0       30       30        0        0
54063 python3-lxml-dbg                   	       0        1        1        0        0
54064 python3-lxml-html-clean            	       0       25       25        0        0
54065 python3-ly                         	       0       15       14        1        0
54066 python3-lzstring                   	       0        1        1        0        0
54067 python3-m2crypto                   	       0       13       12        1        0
54068 python3-m2r                        	       0        3        3        0        0
54069 python3-m3u8                       	       0        3        3        0        0
54070 python3-macholib                   	       0        3        3        0        0
54071 python3-magcode-core               	       0        1        1        0        0
54072 python3-mailman-hyperkitty         	       0        2        2        0        0
54073 python3-mailmanclient              	       0        2        2        0        0
54074 python3-mallard.ducktype           	       0        3        3        0        0
54075 python3-mando                      	       0        1        1        0        0
54076 python3-manimpango                 	       0        1        1        0        0
54077 python3-map-msgs                   	       0        1        1        0        0
54078 python3-mapnik                     	       0        4        4        0        0
54079 python3-mapscript                  	       0        2        2        0        0
54080 python3-markdown2                  	       0        2        2        0        0
54081 python3-markups                    	       0       21       21        0        0
54082 python3-marshmallow                	       0        9        9        0        0
54083 python3-mastodon                   	       0        2        2        0        0
54084 python3-mathgl                     	       0        1        1        0        0
54085 python3-matplotlib-venn            	       0        1        1        0        0
54086 python3-matrix-common              	       0        4        4        0        0
54087 python3-matrix-nio                 	       0        7        7        0        0
54088 python3-maxminddb                  	       0        1        1        0        0
54089 python3-md-toc                     	       0        2        2        0        0
54090 python3-mdit-py-plugins            	       0        2        2        0        0
54091 python3-mdp                        	       0        2        2        0        0
54092 python3-mdx-math                   	       0       23       23        0        0
54093 python3-mechanicalsoup             	       0        1        1        0        0
54094 python3-mediafile                  	       0       11       11        0        0
54095 python3-mediainfodll               	       0       16       14        2        0
54096 python3-meld3                      	       0        1        1        0        0
54097 python3-memoized-property          	       0        1        1        0        0
54098 python3-memory-allocator           	       0        8        8        0        0
54099 python3-mensa                      	       0        1        1        0        0
54100 python3-mercurial-extension-utils  	       0        5        4        1        0
54101 python3-merge3                     	       0       40       40        0        0
54102 python3-meshio                     	       0        2        2        0        0
54103 python3-meshtastic                 	       0        2        2        0        0
54104 python3-mesonpy                    	       0        3        3        0        0
54105 python3-message-filters            	       0        1        1        0        0
54106 python3-metaconfig                 	       0        1        1        0        0
54107 python3-mf2py                      	       0        2        2        0        0
54108 python3-microversion-parse         	       0        1        1        0        0
54109 python3-midiutil                   	       0        1        1        0        0
54110 python3-mido                       	       0        3        3        0        0
54111 python3-migrate                    	       0        5        5        0        0
54112 python3-miio                       	       0        2        2        0        0
54113 python3-milter                     	       0        2        2        0        0
54114 python3-minecraftpi                	       0        4        4        0        0
54115 python3-minidb                     	       0        3        3        0        0
54116 python3-minieigen                  	       0        4        4        0        0
54117 python3-mintpy                     	       0        2        2        0        0
54118 python3-mistletoe                  	       0       12       11        1        0
54119 python3-mistune                    	       0       33       32        1        0
54120 python3-mistune0                   	       0        7        7        0        0
54121 python3-mitogen                    	       0        2        2        0        0
54122 python3-mlpy                       	       0        1        1        0        0
54123 python3-mlpy-lib                   	       0        1        1        0        0
54124 python3-mlt                        	       0       25       24        1        0
54125 python3-mlt7                       	       0        1        1        0        0
54126 python3-mmllib                     	       0        1        1        0        0
54127 python3-mnemonic                   	       0       18       18        0        0
54128 python3-mockldap                   	       0        2        2        0        0
54129 python3-montage-wrapper            	       0        2        2        0        0
54130 python3-move-base-msgs             	       0        2        2        0        0
54131 python3-moviepy                    	       0        1        1        0        0
54132 python3-mpd                        	       0       18       17        1        0
54133 python3-mpl-scatter-density        	       0        1        1        0        0
54134 python3-mplcursors                 	       0        1        1        0        0
54135 python3-mpv                        	       0        2        2        0        0
54136 python3-msal                       	       0        9        9        0        0
54137 python3-msal-extensions            	       0        8        8        0        0
54138 python3-msgpack-numpy              	       0        3        3        0        0
54139 python3-msrest                     	       0        8        8        0        0
54140 python3-msrestazure                	       0        8        8        0        0
54141 python3-multi-key-dict             	       0        2        2        0        0
54142 python3-multibootusb               	       0       10        9        1        0
54143 python3-multipart                  	       0        6        6        0        0
54144 python3-multipledispatch           	       0        1        1        0        0
54145 python3-multipletau                	       0        1        1        0        0
54146 python3-multiprocess               	       0        2        2        0        0
54147 python3-multivolumefile            	       0       24       24        0        0
54148 python3-munch                      	       0       10       10        0        0
54149 python3-munkres                    	       0       35       35        0        0
54150 python3-mupdf                      	       0        1        1        0        0
54151 python3-murmurhash                 	       0        2        2        0        0
54152 python3-musicpd                    	       0        1        1        0        0
54153 python3-mutatormath                	       0        2        2        0        0
54154 python3-mwclient                   	       0        1        1        0        0
54155 python3-myhdl                      	       0        2        2        0        0
54156 python3-mysql.connector            	       0        3        3        0        0
54157 python3-myst-parser                	       0        2        2        0        0
54158 python3-nagiosplugin               	       0        3        3        0        0
54159 python3-nameparser                 	       0        1        1        0        0
54160 python3-nautilus                   	       0       49        0        0       49
54161 python3-nav-msgs                   	       0        1        1        0        0
54162 python3-nbclient                   	       0       72       70        2        0
54163 python3-nbconvert                  	       0       84       81        3        0
54164 python3-nbformat                   	       0      117      114        3        0
54165 python3-nbsphinx                   	       0        8        8        0        0
54166 python3-ncclient                   	       0        1        1        0        0
54167 python3-ndcube                     	       0        2        2        0        0
54168 python3-ndg-httpsclient            	       0        2        2        0        0
54169 python3-neovim                     	       0       18        0        1       17
54170 python3-nest-asyncio               	       0       73       71        2        0
54171 python3-netcdf4                    	       0        9        9        0        0
54172 python3-networkmanager             	       0        2        2        0        0
54173 python3-networkx                   	       0       91       91        0        0
54174 python3-neutron                    	       0        1        1        0        0
54175 python3-neutron-lib                	       0        1        1        0        0
54176 python3-neutron-vpnaas             	       0        1        1        0        0
54177 python3-neutronclient              	       0        3        3        0        0
54178 python3-nftables                   	       0       49       45        4        0
54179 python3-nibabel                    	       0        3        3        0        0
54180 python3-nipy                       	       0        1        1        0        0
54181 python3-nipy-lib                   	       0        1        1        0        0
54182 python3-nipype                     	       0        1        1        0        0
54183 python3-nitime                     	       0        1        1        0        0
54184 python3-nlopt                      	       0        3        2        1        0
54185 python3-nmap                       	       0        3        3        0        0
54186 python3-nmea2                      	       0        2        2        0        0
54187 python3-nodelet                    	       0        1        1        0        0
54188 python3-nodelet-topic-tools        	       0        1        1        0        0
54189 python3-nose-parameterized         	       0        1        1        0        0
54190 python3-nose-random                	       0        1        1        0        0
54191 python3-notcurses                  	       0        2        2        0        0
54192 python3-notebook                   	       0       55       55        0        0
54193 python3-notmuch                    	       0        2        2        0        0
54194 python3-notmuch2                   	       0        7        7        0        0
54195 python3-nototools                  	       0        1        1        0        0
54196 python3-nova                       	       0        1        1        0        0
54197 python3-novaclient                 	       0        3        3        0        0
54198 python3-novnc                      	       0        4        4        0        0
54199 python3-nox                        	       0        2        2        0        0
54200 python3-nss                        	       0        2        2        0        0
54201 python3-ntlm-auth                  	       0       64       63        1        0
54202 python3-ntplib                     	       0        1        1        0        0
54203 python3-nudatus                    	       0        1        1        0        0
54204 python3-num2words                  	       0        6        6        0        0
54205 python3-numba                      	       0       73       73        0        0
54206 python3-numcodecs                  	       0        6        6        0        0
54207 python3-numpy-dbg                  	       0        3        3        0        0
54208 python3-numpydoc                   	       0       32       31        1        0
54209 python3-nut                        	       0        5        5        0        0
54210 python3-nwdiag                     	       0        3        3        0        0
54211 python3-oauth2client               	       0       19       19        0        0
54212 python3-objgraph                   	       0        4        4        0        0
54213 python3-obspy-deps                 	       0        2        0        0        2
54214 python3-odf                        	       0      111      111        0        0
54215 python3-odil                       	       0        2        2        0        0
54216 python3-ofxparse                   	       0        5        5        0        0
54217 python3-olm                        	       0        7        7        0        0
54218 python3-omegaconf                  	       0        1        1        0        0
54219 python3-omemo-dr                   	       0       12       11        1        0
54220 python3-omg                        	       0        1        1        0        0
54221 python3-onewire                    	       0        1        1        0        0
54222 python3-onnx                       	       0        1        1        0        0
54223 python3-ontospy                    	       0        1        1        0        0
54224 python3-openai                     	       0        1        1        0        0
54225 python3-opencamlib                 	       0       11       11        0        0
54226 python3-opencv                     	       0       58       55        3        0
54227 python3-opencv-apps                	       0        2        2        0        0
54228 python3-opendht                    	       0        1        1        0        0
54229 python3-openid                     	       0        4        4        0        0
54230 python3-openpyxl                   	       0      108      108        0        0
54231 python3-openrazer                  	       0        2        2        0        0
54232 python3-openscap                   	       0        1        1        0        0
54233 python3-opensnitch-ui              	       0        6        6        0        0
54234 python3-openstackclient            	       0        2        1        1        0
54235 python3-openstacksdk               	       0        4        3        1        0
54236 python3-openstep-plist             	       0        2        2        0        0
54237 python3-opentimestamps             	       0        1        1        0        0
54238 python3-openturns                  	       0        2        2        0        0
54239 python3-openvswitch                	       0        4        4        0        0
54240 python3-ordered-set                	       0        1        1        0        0
54241 python3-orderedattrdict            	       0        2        2        0        0
54242 python3-orderedmultidict           	       0        1        1        0        0
54243 python3-os-brick                   	       0        1        1        0        0
54244 python3-os-client-config           	       0        4        4        0        0
54245 python3-os-ken                     	       0        1        1        0        0
54246 python3-os-resource-classes        	       0        2        2        0        0
54247 python3-os-service-types           	       0        9        9        0        0
54248 python3-os-traits                  	       0        2        2        0        0
54249 python3-os-vif                     	       0        2        2        0        0
54250 python3-os-win                     	       0        1        1        0        0
54251 python3-osc-lib                    	       0        5        5        0        0
54252 python3-osc-placement              	       0        1        1        0        0
54253 python3-oscrypto                   	       0       18       18        0        0
54254 python3-oslo.cache                 	       0        3        3        0        0
54255 python3-oslo.concurrency           	       0        3        3        0        0
54256 python3-oslo.config                	       0       11       11        0        0
54257 python3-oslo.context               	       0       10       10        0        0
54258 python3-oslo.db                    	       0        3        3        0        0
54259 python3-oslo.i18n                  	       0       12       12        0        0
54260 python3-oslo.limit                 	       0        1        1        0        0
54261 python3-oslo.log                   	       0       10       10        0        0
54262 python3-oslo.messaging             	       0        3        3        0        0
54263 python3-oslo.metrics               	       0        3        3        0        0
54264 python3-oslo.middleware            	       0        3        3        0        0
54265 python3-oslo.policy                	       0        3        3        0        0
54266 python3-oslo.privsep               	       0        2        2        0        0
54267 python3-oslo.reports               	       0        2        2        0        0
54268 python3-oslo.rootwrap              	       0        2        2        0        0
54269 python3-oslo.serialization         	       0       11       11        0        0
54270 python3-oslo.service               	       0        3        3        0        0
54271 python3-oslo.upgradecheck          	       0        3        3        0        0
54272 python3-oslo.utils                 	       0       12       12        0        0
54273 python3-oslo.versionedobjects      	       0        2        2        0        0
54274 python3-oslosphinx                 	       0        1        1        0        0
54275 python3-osprofiler                 	       0        2        2        0        0
54276 python3-othman                     	       0        1        1        0        0
54277 python3-ovsdbapp                   	       0        2        2        0        0
54278 python3-owslib                     	       0       43       42        1        0
54279 python3-packagekit                 	       0        3        3        0        0
54280 python3-pacparser                  	       0        1        1        0        0
54281 python3-pafy                       	       0        1        1        0        0
54282 python3-pako                       	       0        1        1        0        0
54283 python3-pallets-sphinx-themes      	       0        1        1        0        0
54284 python3-pamela                     	       0        1        1        0        0
54285 python3-pandas                     	       0      101      101        0        0
54286 python3-pandas-lib                 	       0      101      101        0        0
54287 python3-panflute                   	       0        1        1        0        0
54288 python3-parallax                   	       0        2        2        0        0
54289 python3-parallel                   	       0        5        5        0        0
54290 python3-parameterized              	       0        6        6        0        0
54291 python3-paraview                   	       0        3        3        0        0
54292 python3-parfive                    	       0        1        1        0        0
54293 python3-parse                      	       0        2        2        0        0
54294 python3-parse-type                 	       0        2        2        0        0
54295 python3-partd                      	       0       17       17        0        0
54296 python3-parted                     	       0       42       39        3        0
54297 python3-passlib                    	       0       76       75        1        0
54298 python3-paste                      	       0       14       12        2        0
54299 python3-pastedeploy                	       0       13       11        2        0
54300 python3-pastedeploy-tpl            	       0       10        0        0       10
54301 python3-path                       	       0        2        2        0        0
54302 python3-path-and-address           	       0        5        5        0        0
54303 python3-pathspec                   	       0       78       77        1        0
54304 python3-pathtools                  	       0        1        1        0        0
54305 python3-pathvalidate               	       0        1        1        0        0
54306 python3-patiencediff               	       0       46       46        0        0
54307 python3-patsy                      	       0       10       10        0        0
54308 python3-pbcommand                  	       0        1        1        0        0
54309 python3-pbcore                     	       0        1        1        0        0
54310 python3-pbsuite-utils              	       0        1        1        0        0
54311 python3-pcapy                      	       0        1        1        0        0
54312 python3-pcbasic                    	       0        4        4        0        0
54313 python3-pcbasic-doc                	       0        2        0        0        2
54314 python3-pcp                        	       0        6        6        0        0
54315 python3-pcpasswd                   	       0        1        1        0        0
54316 python3-pcre                       	       0        4        4        0        0
54317 python3-pcre2                      	       0        3        3        0        0
54318 python3-pdfkit                     	       0        4        4        0        0
54319 python3-pdfrw                      	       0        2        2        0        0
54320 python3-pdm-pep517                 	       0        2        2        0        0
54321 python3-pdoc                       	       0        1        1        0        0
54322 python3-pecan                      	       0        4        4        0        0
54323 python3-pendulum                   	       0        5        5        0        0
54324 python3-pep517                     	       0        8        8        0        0
54325 python3-pep8                       	       0       53       52        1        0
54326 python3-periodictable              	       0        5        5        0        0
54327 python3-petsc4py                   	       0        1        1        0        0
54328 python3-petsc4py-real              	       0        1        0        0        1
54329 python3-petsc4py-real3.18          	       0        1        0        0        1
54330 python3-pex                        	       0        1        1        0        0
54331 python3-pg8000                     	       0        2        2        0        0
54332 python3-pgmagick                   	       0        1        1        0        0
54333 python3-pgpy                       	       0        3        3        0        0
54334 python3-pgpy-doc                   	       0        3        0        0        3
54335 python3-pgspecial                  	       0        4        4        0        0
54336 python3-pgzero                     	       0        1        1        0        0
54337 python3-phonenumbers               	       0        4        4        0        0
54338 python3-photutils                  	       0        3        3        0        0
54339 python3-phx-class-registry         	       0        1        1        0        0
54340 python3-pika                       	       0        3        3        0        0
54341 python3-pil-dbg                    	       0        1        1        0        0
54342 python3-pil.imagetk-dbg            	       0        1        1        0        0
54343 python3-pilkit                     	       0        1        1        0        0
54344 python3-ping3                      	       0        1        1        0        0
54345 python3-pint                       	       0        5        5        0        0
54346 python3-pip-whl                    	       0      480        0        0      480
54347 python3-pipdeptree                 	       0        6        6        0        0
54348 python3-pkgconfig                  	       0       10       10        0        0
54349 python3-pkginfo                    	       0       21       21        0        0
54350 python3-plac                       	       0        1        1        0        0
54351 python3-plakativ                   	       0        4        4        0        0
54352 python3-plaster                    	       0        2        1        1        0
54353 python3-plaster-pastedeploy        	       0        2        1        1        0
54354 python3-plastex                    	       0        2        2        0        0
54355 python3-plist                      	       0        7        6        0        1
54356 python3-plotly                     	       0       45       44        1        0
54357 python3-pluginbase                 	       0        2        2        0        0
54358 python3-plyvel                     	       0        2        2        0        0
54359 python3-png                        	       0      110      109        1        0
54360 python3-pocketsphinx               	       0        2        2        0        0
54361 python3-podcastparser              	       0       19       19        0        0
54362 python3-poetry                     	       0        7        7        0        0
54363 python3-poetry-core                	       0       10       10        0        0
54364 python3-poezio-poopt               	       0        1        1        0        0
54365 python3-poliastro                  	       0        1        1        0        0
54366 python3-polib                      	       0       18       18        0        0
54367 python3-pony                       	       0        1        1        0        0
54368 python3-pooch                      	       0       24       24        0        0
54369 python3-popcon                     	       0        1        1        0        0
54370 python3-poppler-qt5                	       0       24       23        1        0
54371 python3-portalocker                	       0       12       12        0        0
54372 python3-portend                    	       0       15       14        1        0
54373 python3-positional                 	       0        1        1        0        0
54374 python3-posix-ipc                  	       0        1        0        0        1
54375 python3-potr                       	       0        1        1        0        0
54376 python3-power                      	       0        1        1        0        0
54377 python3-powerline                  	       0       15       13        2        0
54378 python3-powerline-gitstatus        	       0        1        1        0        0
54379 python3-ppl                        	       0        8        8        0        0
54380 python3-praw                       	       0        2        2        0        0
54381 python3-prawcore                   	       0        2        2        0        0
54382 python3-precis-i18n                	       0       61       60        1        0
54383 python3-preshed                    	       0        1        1        0        0
54384 python3-pretty-yaml                	       0        1        1        0        0
54385 python3-prettytable                	       0       24       24        0        0
54386 python3-primecountpy               	       0        8        8        0        0
54387 python3-proglog                    	       0        1        1        0        0
54388 python3-progress                   	       0        1        1        0        0
54389 python3-progressbar2               	       0        1        1        0        0
54390 python3-prometheus-client          	       0       65       64        1        0
54391 python3-proselint                  	       0        2        2        0        0
54392 python3-proton-client              	       0        4        4        0        0
54393 python3-proton-keyring-linux       	       0       13       12        1        0
54394 python3-proton-keyring-linux-secretservice	       0        3        3        0        0
54395 python3-proton-vpn-connection      	       0        1        1        0        0
54396 python3-proton-vpn-killswitch      	       0        1        1        0        0
54397 python3-proton-vpn-killswitch-network-manager	       0        3        3        0        0
54398 python3-proton-vpn-killswitch-network-manager-wireguard	       0        2        2        0        0
54399 python3-proton-vpn-logger          	       0        3        3        0        0
54400 python3-proton-vpn-network-manager-openvpn	       0        3        3        0        0
54401 python3-proton-vpn-network-manager-wireguard	       0        2        2        0        0
54402 python3-protonvpn-nm-lib           	       0        4        4        0        0
54403 python3-prov                       	       0        5        5        0        0
54404 python3-proxmoxer                  	       0        1        1        0        0
54405 python3-psautohint                 	       0        4        4        0        0
54406 python3-pskc                       	       0        1        1        0        0
54407 python3-psutils                    	       0        1        0        1        0
54408 python3-psycopg                    	       0        5        5        0        0
54409 python3-psycopg2cffi               	       0        4        4        0        0
54410 python3-psycopg3                   	       0        1        1        0        0
54411 python3-ptable                     	       0        4        4        0        0
54412 python3-ptrace                     	       0        1        1        0        0
54413 python3-publicsuffix2              	       0       12       12        0        0
54414 python3-pubsub                     	       0        5        5        0        0
54415 python3-pulp                       	       0        2        2        0        0
54416 python3-pulsectl                   	       0        1        1        0        0
54417 python3-pure-sasl                  	       0        3        3        0        0
54418 python3-puremagic                  	       0        5        4        1        0
54419 python3-pweave                     	       0        2        2        0        0
54420 python3-pwquality                  	       0        3        3        0        0
54421 python3-pyaarlo                    	       0        1        1        0        0
54422 python3-pyaes                      	       0       29       29        0        0
54423 python3-pyalsa                     	       0        4        4        0        0
54424 python3-pyaps3                     	       0        2        2        0        0
54425 python3-pyasn                      	       0        4        4        0        0
54426 python3-pyaudio                    	       0       22       22        0        0
54427 python3-pyavm                      	       0        2        2        0        0
54428 python3-pyaxmlparser               	       0        6        6        0        0
54429 python3-pybeam                     	       0        3        3        0        0
54430 python3-pybigwig                   	       0        1        1        0        0
54431 python3-pybind11                   	       0        3        3        0        0
54432 python3-pybtex                     	       0       11       11        0        0
54433 python3-pybtex-docutils            	       0        8        8        0        0
54434 python3-pycadf                     	       0        3        3        0        0
54435 python3-pychromecast               	       0       15       15        0        0
54436 python3-pyclipper                  	       0        9        9        0        0
54437 python3-pycoast                    	       0        3        3        0        0
54438 python3-pycriu                     	       0       10       10        0        0
54439 python3-pycuda                     	       0        1        1        0        0
54440 python3-pydantic                   	       0       32       32        0        0
54441 python3-pydata-sphinx-theme        	       0        5        5        0        0
54442 python3-pydbus                     	       0       15       15        0        0
54443 python3-pydenticon                 	       0        1        1        0        0
54444 python3-pydevd                     	       0       66       65        1        0
54445 python3-pydicom                    	       0        7        7        0        0
54446 python3-pydl                       	       0        2        2        0        0
54447 python3-pydocstyle                 	       0       30       29        1        0
54448 python3-pydot                      	       0       94       94        0        0
54449 python3-pydotplus                  	       0        1        1        0        0
54450 python3-pyds9                      	       0        1        1        0        0
54451 python3-pydub                      	       0        3        3        0        0
54452 python3-pydyf                      	       0        9        9        0        0
54453 python3-pyface                     	       0        3        3        0        0
54454 python3-pyfavicon                  	       0        3        3        0        0
54455 python3-pyfftw                     	       0        2        2        0        0
54456 python3-pyfiglet                   	       0        3        3        0        0
54457 python3-pyftpdlib                  	       0        3        2        1        0
54458 python3-pygac                      	       0        2        2        0        0
54459 python3-pygal                      	       0        1        1        0        0
54460 python3-pygame-dbgsym              	       0        1        1        0        0
54461 python3-pygccxml                   	       0       38       38        0        0
54462 python3-pygeoif                    	       0        4        4        0        0
54463 python3-pygeoip                    	       0        1        1        0        0
54464 python3-pygit2                     	       0       16       15        1        0
54465 python3-pyglet                     	       0       15       15        0        0
54466 python3-pyglfw                     	       0        1        1        0        0
54467 python3-pyglossary                 	       0        1        1        0        0
54468 python3-pygpu                      	       0        3        3        0        0
54469 python3-pygrace                    	       0        1        1        0        0
54470 python3-pygraphviz                 	       0       89       89        0        0
54471 python3-pygresql                   	       0        1        1        0        0
54472 python3-pyhamtools                 	       0        2        2        0        0
54473 python3-pyjavaproperties           	       0        1        1        0        0
54474 python3-pyjokes                    	       0        1        1        0        0
54475 python3-pykcs11                    	       0        3        3        0        0
54476 python3-pykde4                     	       0        1        1        0        0
54477 python3-pykdl                      	       0        1        1        0        0
54478 python3-pykdtree                   	       0        4        4        0        0
54479 python3-pykeepass                  	       0        2        2        0        0
54480 python3-pykml                      	       0        3        3        0        0
54481 python3-pykwalify                  	       0        6        6        0        0
54482 python3-pylama                     	       0        3        3        0        0
54483 python3-pylast                     	       0        3        2        1        0
54484 python3-pyldap                     	       0        1        0        0        1
54485 python3-pylev                      	       0        8        8        0        0
54486 python3-pylibmc                    	       0        1        1        0        0
54487 python3-pylint-venv                	       0       23       22        1        0
54488 python3-pyls                       	       0        2        2        0        0
54489 python3-pyls-black                 	       0        2        2        0        0
54490 python3-pyls-jsonrpc               	       0        2        2        0        0
54491 python3-pyls-spyder                	       0       25       24        1        0
54492 python3-pylsp                      	       0       34       33        1        0
54493 python3-pylsp-black                	       0       24       23        1        0
54494 python3-pylsp-isort                	       0        1        1        0        0
54495 python3-pylsp-jsonrpc              	       0       34       33        1        0
54496 python3-pylsp-rope                 	       0        1        1        0        0
54497 python3-pylsqpack                  	       0        1        1        0        0
54498 python3-pymacaroons                	       0        4        4        0        0
54499 python3-pymad                      	       0        1        1        0        0
54500 python3-pymap3d                    	       0        3        3        0        0
54501 python3-pymca5                     	       0        1        1        0        0
54502 python3-pymdownx                   	       0        3        3        0        0
54503 python3-pymeasure                  	       0        4        4        0        0
54504 python3-pymediainfo                	       0       18       17        1        0
54505 python3-pymeeus                    	       0        5        5        0        0
54506 python3-pymemcache                 	       0        3        3        0        0
54507 python3-pymoc                      	       0        1        1        0        0
54508 python3-pymodbus                   	       0        3        3        0        0
54509 python3-pymol                      	       0        9        9        0        0
54510 python3-pympler                    	       0        2        2        0        0
54511 python3-pymssql                    	       0        5        4        1        0
54512 python3-pymummer                   	       0        1        1        0        0
54513 python3-pymupdf                    	       0        1        1        0        0
54514 python3-pymysql                    	       0       41       40        1        0
54515 python3-pynag                      	       0        1        1        0        0
54516 python3-pynest2d                   	       0       22       21        1        0
54517 python3-pyninjotiff                	       0        2        2        0        0
54518 python3-pynliner                   	       0        1        1        0        0
54519 python3-pynlpl                     	       0        2        2        0        0
54520 python3-pynput                     	       0        2        2        0        0
54521 python3-pynvim                     	       0      126      117        9        0
54522 python3-pynvml                     	       0        8        8        0        0
54523 python3-pyo                        	       0        9        9        0        0
54524 python3-pyocd                      	       0        1        1        0        0
54525 python3-pyocr                      	       0       17       16        1        0
54526 python3-pyopencl                   	       0        4        4        0        0
54527 python3-pyorbital                  	       0        2        2        0        0
54528 python3-pyosmium                   	       0        4        4        0        0
54529 python3-pyotp                      	       0        7        7        0        0
54530 python3-pypandoc                   	       0        9        9        0        0
54531 python3-pypdf                      	       0       19       18        1        0
54532 python3-pypeg2                     	       0        1        1        0        0
54533 python3-pyperclip                  	       0       59       59        0        0
54534 python3-pyperform                  	       0        1        1        0        0
54535 python3-pyphen                     	       0       13       13        0        0
54536 python3-pypillowfight              	       0       14       13        1        0
54537 python3-pyppmd                     	       0       24       24        0        0
54538 python3-pyproject-api              	       0        1        1        0        0
54539 python3-pyproject-hooks            	       0        6        6        0        0
54540 python3-pyproject-metadata         	       0        3        3        0        0
54541 python3-pyqrcode                   	       0       23       23        0        0
54542 python3-pyqt-distutils             	       0        2        2        0        0
54543 python3-pyqt4                      	       0        8        8        0        0
54544 python3-pyqt4.qtopengl             	       0        2        2        0        0
54545 python3-pyqt5-dbg                  	       0        2        2        0        0
54546 python3-pyqt5.qtbluetooth          	       0        1        1        0        0
54547 python3-pyqt5.qtchart              	       0      106      102        4        0
54548 python3-pyqt5.qtnfc                	       0        1        1        0        0
54549 python3-pyqt5.qtremoteobjects      	       0      105      101        4        0
54550 python3-pyqt5.qtsensors            	       0      105      101        4        0
54551 python3-pyqt5.qtserialport         	       0      105      101        4        0
54552 python3-pyqt5.qttexttospeech       	       0      105      101        4        0
54553 python3-pyqt5.qtwebsockets         	       0      121      117        4        0
54554 python3-pyqt5.qtx11extras          	       0        4        4        0        0
54555 python3-pyqt5.qtxmlpatterns        	       0      117      113        4        0
54556 python3-pyqt5.qtxmlpatterns-dbg    	       0        1        1        0        0
54557 python3-pyqt5.qwt                  	       0       42       42        0        0
54558 python3-pyqt5.sip-dbg              	       0        1        1        0        0
54559 python3-pyqt6.qsci                 	       0        9        9        0        0
54560 python3-pyqt6.qtbluetooth          	       0        3        3        0        0
54561 python3-pyqt6.qtcharts             	       0       11       11        0        0
54562 python3-pyqt6.qtdesigner           	       0        5        5        0        0
54563 python3-pyqt6.qthelp               	       0       10       10        0        0
54564 python3-pyqt6.qtmultimedia         	       0       24       23        1        0
54565 python3-pyqt6.qtnfc                	       0        2        2        0        0
54566 python3-pyqt6.qtpdf                	       0        3        3        0        0
54567 python3-pyqt6.qtpositioning        	       0        3        3        0        0
54568 python3-pyqt6.qtquick3d            	       0        3        3        0        0
54569 python3-pyqt6.qtremoteobjects      	       0        3        3        0        0
54570 python3-pyqt6.qtsensors            	       0        3        3        0        0
54571 python3-pyqt6.qtserialport         	       0       10       10        0        0
54572 python3-pyqt6.qtsvg                	       0      182      178        4        0
54573 python3-pyqt6.qttexttospeech       	       0       23       22        1        0
54574 python3-pyqt6.qtwebsockets         	       0        4        4        0        0
54575 python3-pyqtbuild                  	       0        5        5        0        0
54576 python3-pyquery                    	       0       14       14        0        0
54577 python3-pyramid                    	       0        2        1        1        0
54578 python3-pyramid-chameleon          	       0        1        0        1        0
54579 python3-pyramid-tm                 	       0        1        0        1        0
54580 python3-pyrdfa                     	       0        2        2        0        0
54581 python3-pyregion                   	       0        2        2        0        0
54582 python3-pyresample                 	       0        2        2        0        0
54583 python3-pyro4                      	       0        1        1        0        0
54584 python3-pyroma                     	       0        1        1        0        0
54585 python3-pyroute2.core              	       0        1        1        0        0
54586 python3-pyroute2.ethtool           	       0        1        1        0        0
54587 python3-pyroute2.ipdb              	       0        1        1        0        0
54588 python3-pyroute2.ipset             	       0        1        1        0        0
54589 python3-pyroute2.ndb               	       0        1        1        0        0
54590 python3-pyroute2.nftables          	       0        1        1        0        0
54591 python3-pyroute2.nslink            	       0        1        1        0        0
54592 python3-pyroute2.protocols         	       0        1        1        0        0
54593 python3-pyrr                       	       0        1        1        0        0
54594 python3-pyrss2gen                  	       0        3        3        0        0
54595 python3-pysam                      	       0        3        3        0        0
54596 python3-pysaml2                    	       0        1        1        0        0
54597 python3-pyshp                      	       0       14       14        0        0
54598 python3-pyside.qtcore              	       0        1        1        0        0
54599 python3-pyside.qtgui               	       0        1        1        0        0
54600 python3-pyside.qtsvg               	       0        1        1        0        0
54601 python3-pyside.qtuitools           	       0        1        1        0        0
54602 python3-pyside.qtxml               	       0        1        1        0        0
54603 python3-pyside2.qt3dcore           	       0        2        2        0        0
54604 python3-pyside2.qt3dextras         	       0        1        1        0        0
54605 python3-pyside2.qt3dinput          	       0        2        2        0        0
54606 python3-pyside2.qt3dlogic          	       0        1        1        0        0
54607 python3-pyside2.qt3drender         	       0        2        2        0        0
54608 python3-pyside2.qtcharts           	       0        1        1        0        0
54609 python3-pyside2.qtconcurrent       	       0        1        1        0        0
54610 python3-pyside2.qthelp             	       0        1        1        0        0
54611 python3-pyside2.qtlocation         	       0        1        1        0        0
54612 python3-pyside2.qtmultimedia       	       0        2        2        0        0
54613 python3-pyside2.qtmultimediawidgets	       0        2        2        0        0
54614 python3-pyside2.qtopengl           	       0      116      114        2        0
54615 python3-pyside2.qtpositioning      	       0        1        1        0        0
54616 python3-pyside2.qtqml              	       0        5        5        0        0
54617 python3-pyside2.qtquick            	       0        4        4        0        0
54618 python3-pyside2.qtquickcontrols2   	       0        1        1        0        0
54619 python3-pyside2.qtquickwidgets     	       0        2        2        0        0
54620 python3-pyside2.qtscript           	       0        1        1        0        0
54621 python3-pyside2.qtscripttools      	       0        1        1        0        0
54622 python3-pyside2.qtsensors          	       0        1        1        0        0
54623 python3-pyside2.qtsql              	       0        1        1        0        0
54624 python3-pyside2.qtsvg              	       0      116      114        2        0
54625 python3-pyside2.qttest             	       0        1        1        0        0
54626 python3-pyside2.qttexttospeech     	       0        1        1        0        0
54627 python3-pyside2.qtuitools          	       0      117      115        2        0
54628 python3-pyside2.qtwebengine        	       0       78       76        2        0
54629 python3-pyside2.qtwebsockets       	       0        1        1        0        0
54630 python3-pyside2.qtx11extras        	       0        3        3        0        0
54631 python3-pyside2.qtxml              	       0      117      115        2        0
54632 python3-pyside2.qtxmlpatterns      	       0        1        1        0        0
54633 python3-pyside2uic                 	       0        1        1        0        0
54634 python3-pyside6.qtcore             	       0        1        1        0        0
54635 python3-pyside6.qtgui              	       0        1        1        0        0
54636 python3-pyside6.qtwidgets          	       0        1        1        0        0
54637 python3-pysnmp4-mibs               	       0        1        1        0        0
54638 python3-pysolid                    	       0        2        2        0        0
54639 python3-pyspectral                 	       0        2        2        0        0
54640 python3-pysph                      	       0        1        1        0        0
54641 python3-pysrt                      	       0        5        5        0        0
54642 python3-pystache                   	       0       40       40        0        0
54643 python3-pystray                    	       0        3        3        0        0
54644 python3-pysubs2                    	       0        1        1        0        0
54645 python3-pysword                    	       0        5        4        1        0
54646 python3-pysynphot                  	       0        1        1        0        0
54647 python3-pytde                      	       0        3        3        0        0
54648 python3-pyte                       	       0        7        7        0        0
54649 python3-pytest-arraydiff           	       0       36       36        0        0
54650 python3-pytest-astropy             	       0       35       21        0       14
54651 python3-pytest-astropy-header      	       0       35       35        0        0
54652 python3-pytest-asyncio             	       0        1        1        0        0
54653 python3-pytest-benchmark           	       0        1        1        0        0
54654 python3-pytest-cython              	       0        2        2        0        0
54655 python3-pytest-django              	       0        1        1        0        0
54656 python3-pytest-doctestplus         	       0       37       36        1        0
54657 python3-pytest-filter-subpackage   	       0       35       35        0        0
54658 python3-pytest-flask               	       0        1        1        0        0
54659 python3-pytest-helpers-namespace   	       0        1        1        0        0
54660 python3-pytest-lazy-fixture        	       0        2        2        0        0
54661 python3-pytest-mock                	       0       25       25        0        0
54662 python3-pytest-openfiles           	       0       32       32        0        0
54663 python3-pytest-pep8                	       0        1        1        0        0
54664 python3-pytest-pylint              	       0        1        1        0        0
54665 python3-pytest-remotedata          	       0       37       37        0        0
54666 python3-pytest-runner              	       0        2        2        0        0
54667 python3-pytest-twisted             	       0        1        1        0        0
54668 python3-python-multipart           	       0        3        3        0        0
54669 python3-python-qt-binding          	       0        2        2        0        0
54670 python3-python-socks               	       0       17       17        0        0
54671 python3-python-telegram-bot        	       0        3        3        0        0
54672 python3-python-utils               	       0       26       25        1        0
54673 python3-python-zxcvbn-rs-py        	       0        2        2        0        0
54674 python3-pythonjsonlogger           	       0        1        1        0        0
54675 python3-pythonmagick               	       0        1        1        0        0
54676 python3-pytimeparse                	       0       12       12        0        0
54677 python3-pytkdocs                   	       0        3        2        1        0
54678 python3-pytoolconfig               	       0       31       30        1        0
54679 python3-pytools                    	       0        9        9        0        0
54680 python3-pytqt                      	       0        3        3        0        0
54681 python3-pytz                       	       0        4        0        4        0
54682 python3-pytz-deprecation-shim      	       0       38       36        2        0
54683 python3-pytzdata                   	       0        6        6        0        0
54684 python3-pyu2f                      	       0        2        2        0        0
54685 python3-pyuca                      	       0        1        1        0        0
54686 python3-pyvirtualdisplay           	       0        5        5        0        0
54687 python3-pyvisa                     	       0        5        5        0        0
54688 python3-pyvisa-py                  	       0        5        4        0        1
54689 python3-pyvo                       	       0        2        2        0        0
54690 python3-pywayland                  	       0        1        1        0        0
54691 python3-pywayland-doc              	       0        1        0        0        1
54692 python3-pywps                      	       0        2        2        0        0
54693 python3-pywt                       	       0       11       11        0        0
54694 python3-pyxb                       	       0        1        1        0        0
54695 python3-pyxid                      	       0        1        1        0        0
54696 python3-pyzbar                     	       0        4        4        0        0
54697 python3-pyzfs                      	       0        3        3        0        0
54698 python3-pyzstd                     	       0       24       24        0        0
54699 python3-q-text-as-data             	       0        5        5        0        0
54700 python3-qcelemental                	       0        1        1        0        0
54701 python3-qdarkstyle                 	       0       42       40        2        0
54702 python3-qgis-common                	       0       41        5        0       36
54703 python3-qpageview                  	       0       12       11        1        0
54704 python3-qrcode                     	       0      117      113        4        0
54705 python3-qrcodegen                  	       0        2        2        0        0
54706 python3-qrencode                   	       0        6        6        0        0
54707 python3-qstylizer                  	       0       23       22        1        0
54708 python3-qt-material                	       0        1        1        0        0
54709 python3-qtawesome                  	       0       31       29        2        0
54710 python3-qtconsole                  	       0       34       33        1        0
54711 python3-qtpy                       	       0      123      118        5        0
54712 python3-qtpy-pyqt6                 	       0        2        0        0        2
54713 python3-qtsass                     	       0       38       36        2        0
54714 python3-quark-sphinx-theme         	       0        1        1        0        0
54715 python3-questplus                  	       0        1        1        0        0
54716 python3-qutip                      	       0        1        1        0        0
54717 python3-qwt                        	       0        4        4        0        0
54718 python3-qwt3d-qt5                  	       0        1        1        0        0
54719 python3-radio-beam                 	       0        2        2        0        0
54720 python3-rados                      	       0        7        7        0        0
54721 python3-random2                    	       0       20       19        1        0
54722 python3-randomize                  	       0        1        1        0        0
54723 python3-rangehttpserver            	       0        6        6        0        0
54724 python3-rapidfuzz                  	       0       16       15        1        0
54725 python3-rapidjson                  	       0        1        1        0        0
54726 python3-rarfile                    	       0       10       10        0        0
54727 python3-rasterio                   	       0        7        7        0        0
54728 python3-ratelimiter                	       0        2        2        0        0
54729 python3-rawkit                     	       0        1        1        0        0
54730 python3-rbd                        	       0        6        6        0        0
54731 python3-rcon                       	       0        1        1        0        0
54732 python3-rdflib                     	       0       14       14        0        0
54733 python3-rdflib-jsonld              	       0        2        2        0        0
54734 python3-readability                	       0        4        4        0        0
54735 python3-readme-renderer            	       0        6        6        0        0
54736 python3-rebulk                     	       0        7        7        0        0
54737 python3-recipe-scrapers            	       0        2        2        0        0
54738 python3-reclass                    	       0        1        1        0        0
54739 python3-recoll                     	       0       29       29        0        0
54740 python3-recommonmark               	       0       22       22        0        0
54741 python3-recurring-ical-events      	       0        2        2        0        0
54742 python3-reedsolo                   	       0        3        3        0        0
54743 python3-referencing                	       0       47       44        3        0
54744 python3-regions                    	       0        1        1        0        0
54745 python3-relational                 	       0        1        1        0        0
54746 python3-relatorio                  	       0        2        2        0        0
54747 python3-renderdoc                  	       0        3        3        0        0
54748 python3-renpy                      	       0        5        4        1        0
54749 python3-repolib                    	       0        3        2        1        0
54750 python3-repoze.sphinx.autointerface	       0        1        1        0        0
54751 python3-reproject                  	       0        3        3        0        0
54752 python3-requests-file              	       0       23       22        1        0
54753 python3-requests-futures           	       0        6        6        0        0
54754 python3-requests-kerberos          	       0       62       61        1        0
54755 python3-requests-ntlm              	       0       64       63        1        0
54756 python3-requests-unixsocket        	       0        3        3        0        0
54757 python3-requestsexceptions         	       0        6        6        0        0
54758 python3-requirement-parser         	       0        2        2        0        0
54759 python3-resolvelib                 	       0       49       48        1        0
54760 python3-resource-retriever         	       0        1        1        0        0
54761 python3-responses                  	       0        4        3        1        0
54762 python3-retry                      	       0        1        1        0        0
54763 python3-retrying                   	       0       16       16        0        0
54764 python3-rfc3339-validator          	       0       10        6        4        0
54765 python3-rfc3986-validator          	       0       10        6        4        0
54766 python3-rfc6555                    	       0        5        5        0        0
54767 python3-rgain                      	       0        1        1        0        0
54768 python3-rgain3                     	       0        1        1        0        0
54769 python3-rgw                        	       0        3        3        0        0
54770 python3-ring-doorbell              	       0        1        1        0        0
54771 python3-rioxarray                  	       0        2        2        0        0
54772 python3-rlpycairo                  	       0       49       49        0        0
54773 python3-robot-detection            	       0        2        2        0        0
54774 python3-rope                       	       0       34       33        1        0
54775 python3-rosbag                     	       0        1        1        0        0
54776 python3-rosboost-cfg               	       0        1        1        0        0
54777 python3-rosclean                   	       0        1        1        0        0
54778 python3-roscpp-msg                 	       0        2        2        0        0
54779 python3-roscreate                  	       0        1        1        0        0
54780 python3-rosdep2                    	       0        1        1        0        0
54781 python3-rosdistro                  	       0        1        1        0        0
54782 python3-rosgraph                   	       0        2        2        0        0
54783 python3-rosgraph-msgs              	       0        2        2        0        0
54784 python3-rosinstall                 	       0        1        1        0        0
54785 python3-rosinstall-generator       	       0        1        1        0        0
54786 python3-roslaunch                  	       0        1        1        0        0
54787 python3-roslib                     	       0        3        3        0        0
54788 python3-roslz4                     	       0        1        1        0        0
54789 python3-rosmake                    	       0        1        1        0        0
54790 python3-rosmaster                  	       0        1        1        0        0
54791 python3-rosmsg                     	       0        1        1        0        0
54792 python3-rosnode                    	       0        1        1        0        0
54793 python3-rosparam                   	       0        1        1        0        0
54794 python3-rospkg                     	       0        3        3        0        0
54795 python3-rospy                      	       0        2        2        0        0
54796 python3-rosservice                 	       0        1        1        0        0
54797 python3-rostest                    	       0        1        1        0        0
54798 python3-rostopic                   	       0        1        1        0        0
54799 python3-rosunit                    	       0        2        2        0        0
54800 python3-roswtf                     	       0        1        1        0        0
54801 python3-routes                     	       0      220      217        3        0
54802 python3-rpaths                     	       0        2        2        0        0
54803 python3-rpds-py                    	       0       47       44        3        0
54804 python3-rply                       	       0        6        6        0        0
54805 python3-rpy2                       	       0       15       14        1        0
54806 python3-rq                         	       0        2        2        0        0
54807 python3-rrdtool                    	       0        1        1        0        0
54808 python3-rsa                        	       0       27       27        0        0
54809 python3-rst2ansi                   	       0        1        1        0        0
54810 python3-rstcheck                   	       0        2        2        0        0
54811 python3-rtmidi                     	       0        5        5        0        0
54812 python3-rtree                      	       0       30       29        1        0
54813 python3-rtslib-fb                  	       0        1        1        0        0
54814 python3-ruffus                     	       0        1        1        0        0
54815 python3-ruyaml                     	       0        1        1        0        0
54816 python3-rx                         	       0        1        1        0        0
54817 python3-s3transfer                 	       0       26       25        1        0
54818 python3-sabctools                  	       0        1        1        0        0
54819 python3-sabyenc                    	       0        1        1        0        0
54820 python3-sadisplay                  	       0        1        1        0        0
54821 python3-sage                       	       0        8        8        0        0
54822 python3-sagenb-export              	       0        8        8        0        0
54823 python3-sagetex                    	       0        7        7        0        0
54824 python3-saltpylint                 	       0        1        1        0        0
54825 python3-samsungctl                 	       0        1        1        0        0
54826 python3-sane                       	       0       31       30        1        0
54827 python3-saneyaml                   	       0        1        1        0        0
54828 python3-sarif-python-om            	       0        1        1        0        0
54829 python3-satpy                      	       0        2        2        0        0
54830 python3-savitar                    	       0       23       22        1        0
54831 python3-scapy                      	       0       21       21        0        0
54832 python3-schedule                   	       0        1        1        0        0
54833 python3-schema                     	       0       40       40        0        0
54834 python3-schema-salad               	       0        3        3        0        0
54835 python3-scp                        	       0       10       10        0        0
54836 python3-scramp                     	       0        1        1        0        0
54837 python3-screed                     	       0        2        2        0        0
54838 python3-screeninfo                 	       0        3        3        0        0
54839 python3-scriptforge                	       0        3        3        0        0
54840 python3-scruffy                    	       0        1        1        0        0
54841 python3-sdl2                       	       0       13       12        1        0
54842 python3-seaborn                    	       0        9        9        0        0
54843 python3-seafile                    	       0        1        1        0        0
54844 python3-searx                      	       0        5        5        0        0
54845 python3-securesystemslib           	       0        1        1        0        0
54846 python3-sedparse                   	       0        1        1        0        0
54847 python3-semanage                   	       0        4        4        0        0
54848 python3-semantic-version           	       0       22       22        0        0
54849 python3-semver                     	       0       12       12        0        0
54850 python3-sensor-msgs                	       0        2        2        0        0
54851 python3-sentinelsat                	       0        4        4        0        0
54852 python3-sentry-sdk                 	       0       87       82        5        0
54853 python3-sepolgen                   	       0        4        4        0        0
54854 python3-sepolicy                   	       0        4        4        0        0
54855 python3-seqdiag                    	       0        3        3        0        0
54856 python3-serial-asyncio             	       0       10       10        0        0
54857 python3-serializable               	       0        1        1        0        0
54858 python3-serpent                    	       0        1        1        0        0
54859 python3-setools                    	       0        9        9        0        0
54860 python3-setoolsgui                 	       0        2        2        0        0
54861 python3-setuptools-git             	       0        2        2        0        0
54862 python3-setuptools-scm             	       0       66       66        0        0
54863 python3-setuptools-whl             	       0      477        0        0      477
54864 python3-sexpdata                   	       0        1        1        0        0
54865 python3-sgp4                       	       0        2        2        0        0
54866 python3-sh                         	       0       12       11        1        0
54867 python3-shape-msgs                 	       0        1        1        0        0
54868 python3-shapely                    	       0       42       40        2        0
54869 python3-shellescape                	       0        4        4        0        0
54870 python3-shellingham                	       0       21       20        1        0
54871 python3-shortuuid                  	       0        1        1        0        0
54872 python3-showinfilemanager          	       0        2        2        0        0
54873 python3-shtab                      	       0       19       19        0        0
54874 python3-sigmavirus24-urltemplate   	       0        2        0        0        2
54875 python3-signedjson                 	       0        4        4        0        0
54876 python3-silx                       	       0        1        1        0        0
54877 python3-simple-cdd                 	       0        3        3        0        0
54878 python3-simplebayes                	       0        4        4        0        0
54879 python3-simplegeneric              	       0       17       16        1        0
54880 python3-simplematch                	       0        1        1        0        0
54881 python3-simpletal                  	       0        1        1        0        0
54882 python3-simpy                      	       0        1        1        0        0
54883 python3-simpy3                     	       0        2        2        0        0
54884 python3-single-version             	       0        1        1        0        0
54885 python3-singledispatch             	       0        4        4        0        0
54886 python3-sip-dbg                    	       0        1        1        0        0
54887 python3-sip-dev                    	       0       13       13        0        0
54888 python3-sip-tqt                    	       0        3        3        0        0
54889 python3-sipbuild                   	       0      173      158       15        0
54890 python3-skimage                    	       0       13       13        0        0
54891 python3-skimage-lib                	       0       13       13        0        0
54892 python3-sklearn                    	       0       29       28        1        0
54893 python3-sklearn-lib                	       0       29       28        1        0
54894 python3-skyfield                   	       0        2        2        0        0
54895 python3-skytools                   	       0        1        1        0        0
54896 python3-sleekxmpp                  	       0        1        1        0        0
54897 python3-slepc4py                   	       0        1        1        0        0
54898 python3-slepc4py-real              	       0        1        0        0        1
54899 python3-slepc4py-real3.18          	       0        1        0        0        1
54900 python3-slimit                     	       0        4        4        0        0
54901 python3-slimmer                    	       0        4        3        1        0
54902 python3-slip                       	       0        2        2        0        0
54903 python3-slip-dbus                  	       0        2        2        0        0
54904 python3-slixmpp                    	       0        2        2        0        0
54905 python3-slixmpp-lib                	       0        2        2        0        0
54906 python3-slugify                    	       0       44       43        1        0
54907 python3-smart-open                 	       0        1        1        0        0
54908 python3-smbus                      	       0       11       11        0        0
54909 python3-smclib                     	       0        1        1        0        0
54910 python3-smmap                      	       0       21       21        0        0
54911 python3-smoke-zephyr               	       0        1        1        0        0
54912 python3-snappy                     	       0       21       21        0        0
54913 python3-snuggs                     	       0        7        7        0        0
54914 python3-soapysdr                   	       0        7        7        0        0
54915 python3-socketio                   	       0        7        7        0        0
54916 python3-socksio                    	       0       64       58        6        0
54917 python3-socksipychain              	       0        1        1        0        0
54918 python3-softlayer                  	       0        5        5        0        0
54919 python3-sortedcollections          	       0        6        6        0        0
54920 python3-soundfile                  	       0        5        5        0        0
54921 python3-spake2                     	       0       15       15        0        0
54922 python3-sparqlwrapper              	       0       14       14        0        0
54923 python3-sparse                     	       0        3        3        0        0
54924 python3-specreduce                 	       0        1        1        0        0
54925 python3-specreduce-data            	       0        1        1        0        0
54926 python3-spectral-cube              	       0        2        2        0        0
54927 python3-specutils                  	       0        2        2        0        0
54928 python3-speg                       	       0        8        8        0        0
54929 python3-spglib                     	       0        1        1        0        0
54930 python3-sphinx-a4doc               	       0        1        1        0        0
54931 python3-sphinx-argparse            	       0        1        1        0        0
54932 python3-sphinx-astropy             	       0        1        1        0        0
54933 python3-sphinx-autobuild           	       0        1        1        0        0
54934 python3-sphinx-autodoc-typehints   	       0        1        1        0        0
54935 python3-sphinx-automodapi          	       0        1        1        0        0
54936 python3-sphinx-autorun             	       0        1        1        0        0
54937 python3-sphinx-book-theme          	       0        3        3        0        0
54938 python3-sphinx-bootstrap-theme     	       0        8        8        0        0
54939 python3-sphinx-celery              	       0        1        1        0        0
54940 python3-sphinx-copybutton          	       0        6        6        0        0
54941 python3-sphinx-feature-classification	       0        2        2        0        0
54942 python3-sphinx-gallery             	       0        2        2        0        0
54943 python3-sphinx-inline-tabs         	       0        6        6        0        0
54944 python3-sphinx-markdown-tables     	       0        1        1        0        0
54945 python3-sphinx-multiversion        	       0        1        1        0        0
54946 python3-sphinx-panels              	       0        1        1        0        0
54947 python3-sphinx-paramlinks          	       0        1        1        0        0
54948 python3-sphinx-prompt              	       0        1        0        0        1
54949 python3-sphinx-rst-builder         	       0        1        1        0        0
54950 python3-sphinx-sitemap             	       0        1        1        0        0
54951 python3-sphinx-tabs                	       0        1        1        0        0
54952 python3-sphinx-testing             	       0        1        1        0        0
54953 python3-sphinxbase                 	       0        2        2        0        0
54954 python3-sphinxcontrib-asyncio      	       0        1        1        0        0
54955 python3-sphinxcontrib-mermaid      	       0        1        1        0        0
54956 python3-sphinxcontrib-pecanwsme    	       0        1        1        0        0
54957 python3-sphinxcontrib.actdiag      	       0        2        2        0        0
54958 python3-sphinxcontrib.apidoc       	       0        6        6        0        0
54959 python3-sphinxcontrib.autoprogram  	       0        2        2        0        0
54960 python3-sphinxcontrib.bibtex       	       0        8        8        0        0
54961 python3-sphinxcontrib.blockdiag    	       0        1        1        0        0
54962 python3-sphinxcontrib.ditaa        	       0        1        1        0        0
54963 python3-sphinxcontrib.doxylink     	       0        2        2        0        0
54964 python3-sphinxcontrib.httpdomain   	       0        1        1        0        0
54965 python3-sphinxcontrib.jquery       	       0        9        9        0        0
54966 python3-sphinxcontrib.nwdiag       	       0        1        1        0        0
54967 python3-sphinxcontrib.plantuml     	       0        2        2        0        0
54968 python3-sphinxcontrib.programoutput	       0        1        1        0        0
54969 python3-sphinxcontrib.qthelp       	       0        2        2        0        0
54970 python3-sphinxcontrib.restbuilder  	       0        1        1        0        0
54971 python3-sphinxcontrib.seqdiag      	       0        1        1        0        0
54972 python3-sphinxcontrib.serializinghtml	       0        2        2        0        0
54973 python3-sphinxcontrib.spelling     	       0        1        1        0        0
54974 python3-sphinxcontrib.svg2pdfconverter	       0        1        1        0        0
54975 python3-sphinxcontrib.trio         	       0        1        1        0        0
54976 python3-sphinxcontrib.websupport   	       0        2        2        0        0
54977 python3-sphinxext-opengraph        	       0        1        1        0        0
54978 python3-sphinxtesters              	       0        2        2        0        0
54979 python3-spidev                     	       0        1        1        0        0
54980 python3-spotify                    	       0        1        1        0        0
54981 python3-spur                       	       0        1        1        0        0
54982 python3-spyder                     	       0       25       24        1        0
54983 python3-spyder-kernels             	       0       26       25        1        0
54984 python3-spyne                      	       0        1        1        0        0
54985 python3-sql                        	       0        1        1        0        0
54986 python3-sqlalchemy-i18n            	       0        2        2        0        0
54987 python3-sqlalchemy-utc             	       0        1        1        0        0
54988 python3-sqlalchemy-utils           	       0        4        4        0        0
54989 python3-sqlglot                    	       0       11       11        0        0
54990 python3-sqlite-fts4                	       0        7        7        0        0
54991 python3-sqlite-migrate             	       0        1        1        0        0
54992 python3-sqlitedict                 	       0        1        1        0        0
54993 python3-srsly                      	       0        1        1        0        0
54994 python3-srt                        	       0        1        1        0        0
54995 python3-sshtunnel                  	       0        7        7        0        0
54996 python3-sss                        	       0       29       25        4        0
54997 python3-standard-aifc              	       0        1        1        0        0
54998 python3-standard-asynchat          	       0        1        0        1        0
54999 python3-standard-chunk             	       0        1        1        0        0
55000 python3-standard-sunau             	       0        1        1        0        0
55001 python3-starlette                  	       0       14       14        0        0
55002 python3-statsd                     	       0        3        3        0        0
55003 python3-statsmodels                	       0        5        5        0        0
55004 python3-statsmodels-lib            	       0        5        5        0        0
55005 python3-std-msgs                   	       0        5        5        0        0
55006 python3-std-srvs                   	       0        1        1        0        0
55007 python3-stdeb                      	       0        6        6        0        0
55008 python3-stdnum                     	       0        6        6        0        0
55009 python3-stemmer                    	       0       10       10        0        0
55010 python3-stereo-msgs                	       0        1        1        0        0
55011 python3-stl                        	       0       26       25        1        0
55012 python3-stone                      	       0       14       14        0        0
55013 python3-stopit                     	       0        1        1        0        0
55014 python3-streamlink                 	       0       22       22        0        0
55015 python3-streamlink-doc             	       0        1        0        0        1
55016 python3-streamparser               	       0        1        1        0        0
55017 python3-strictyaml                 	       0        6        6        0        0
55018 python3-subliminal                 	       0        5        5        0        0
55019 python3-subprocess-tee             	       0        7        7        0        0
55020 python3-subunit                    	       0       11       11        0        0
55021 python3-subversion                 	       0        2        2        0        0
55022 python3-suds                       	       0       17       17        0        0
55023 python3-sugar3                     	       0      263      258        5        0
55024 python3-sunpy                      	       0        1        1        0        0
55025 python3-superqt                    	       0        2        2        0        0
55026 python3-surfer                     	       0        1        1        0        0
55027 python3-svg.path                   	       0        7        7        0        0
55028 python3-svgelements                	       0        2        2        0        0
55029 python3-svglib                     	       0        1        1        0        0
55030 python3-svgwrite                   	       0        2        2        0        0
55031 python3-svn                        	       0       39       38        1        0
55032 python3-svtplay-dl                 	       0        3        0        0        3
55033 python3-swiftclient                	       0        3        3        0        0
55034 python3-swiglpk                    	       0        1        1        0        0
55035 python3-syndom                     	       0        1        1        0        0
55036 python3-synphot                    	       0        1        1        0        0
55037 python3-tables                     	       0       91       91        0        0
55038 python3-tables-lib                 	       0       91       91        0        0
55039 python3-taglib                     	       0        5        5        0        0
55040 python3-tagpy                      	       0       13       12        1        0
55041 python3-talloc-dev                 	       0        1        1        0        0
55042 python3-tango                      	       0        1        1        0        0
55043 python3-tap                        	       0        1        1        0        0
55044 python3-taurus                     	       0        1        1        0        0
55045 python3-taurus-pyqtgraph           	       0        1        1        0        0
55046 python3-tblib                      	       0       13       13        0        0
55047 python3-tempita                    	       0       16       14        2        0
55048 python3-tempora                    	       0       15       14        1        0
55049 python3-tenacity                   	       0       48       47        1        0
55050 python3-termbox                    	       0        5        5        0        0
55051 python3-terminaltables             	       0       17       17        0        0
55052 python3-tesserocr                  	       0        6        6        0        0
55053 python3-testfixtures               	       0        1        1        0        0
55054 python3-testresources              	       0        8        8        0        0
55055 python3-testscenarios              	       0        3        3        0        0
55056 python3-testtools                  	       0       16       15        1        0
55057 python3-text-unidecode             	       0        1        1        0        0
55058 python3-textdistance               	       0       25       24        1        0
55059 python3-textual                    	       0        1        1        0        0
55060 python3-tf                         	       0        2        2        0        0
55061 python3-tf2                        	       0        2        2        0        0
55062 python3-tf2-msgs                   	       0        2        2        0        0
55063 python3-tf2-ros                    	       0        2        2        0        0
55064 python3-tf2-sensor-msgs            	       0        1        1        0        0
55065 python3-theano                     	       0        3        3        0        0
55066 python3-thefuzz                    	       0        2        2        0        0
55067 python3-thinc                      	       0        1        1        0        0
55068 python3-threadpoolctl              	       0       30       29        1        0
55069 python3-three-merge                	       0       25       24        1        0
55070 python3-thrift                     	       0       42       41        1        0
55071 python3-throttler                  	       0        1        1        0        0
55072 python3-tifffile                   	       0       11       11        0        0
55073 python3-time-machine               	       0        1        1        0        0
55074 python3-tinydb                     	       0        1        1        0        0
55075 python3-tk-dbg                     	       0        7        6        1        0
55076 python3-tkstackrpms                	       0        3        3        0        0
55077 python3-tldextract                 	       0       15       14        1        0
55078 python3-tlpui                      	       0        2        2        0        0
55079 python3-tmdbsimple                 	       0        1        1        0        0
55080 python3-tmuxp                      	       0        8        8        0        0
55081 python3-tokenize-rt                	       0        1        1        0        0
55082 python3-tomli-w                    	       0        9        9        0        0
55083 python3-toolz                      	       0       18       18        0        0
55084 python3-tooz                       	       0        3        3        0        0
55085 python3-topic-tools                	       0        1        1        0        0
55086 python3-toposort                   	       0       11       11        0        0
55087 python3-torch                      	       0       13       13        0        0
55088 python3-torchaudio                 	       0        3        3        0        0
55089 python3-torchvision                	       0        4        4        0        0
55090 python3-torrequest                 	       0        1        1        0        0
55091 python3-tpm2-pkcs11-tools          	       0        2        2        0        0
55092 python3-tpm2-pytss                 	       0        2        2        0        0
55093 python3-tr                         	       0        6        6        0        0
55094 python3-traceback2                 	       0        4        4        0        0
55095 python3-traits                     	       0        3        3        0        0
55096 python3-traitsui                   	       0        3        3        0        0
55097 python3-trajectory-msgs            	       0        1        1        0        0
55098 python3-transaction                	       0        1        0        1        0
55099 python3-translate                  	       0       47       45        2        0
55100 python3-translationstring          	       0        2        1        1        0
55101 python3-treq                       	       0        4        4        0        0
55102 python3-trezor                     	       0        1        1        0        0
55103 python3-trie                       	       0       20       20        0        0
55104 python3-trollimage                 	       0        2        2        0        0
55105 python3-trollius                   	       0        1        1        0        0
55106 python3-trollsched                 	       0        2        2        0        0
55107 python3-trollsift                  	       0        2        2        0        0
55108 python3-trove-classifiers          	       0       24       24        0        0
55109 python3-trufont                    	       0        2        2        0        0
55110 python3-ttkthemes                  	       0        3        3        0        0
55111 python3-tvdb-api                   	       0        3        3        0        0
55112 python3-twilio                     	       0        1        1        0        0
55113 python3-twitter                    	       0        1        1        0        0
55114 python3-txaio                      	       0       22       22        0        0
55115 python3-txtorcon                   	       0       14       14        0        0
55116 python3-typechecks                 	       0        1        1        0        0
55117 python3-typed-ast                  	       0       12       11        1        0
55118 python3-typedload                  	       0        2        2        0        0
55119 python3-typeguard                  	       0      195      183       12        0
55120 python3-typer                      	       0       70       64        6        0
55121 python3-typeshed                   	       0       82        0        0       82
55122 python3-typogrify                  	       0        5        5        0        0
55123 python3-tzlocal                    	       0       62       60        2        0
55124 python3-u-msgpack                  	       0       19       19        0        0
55125 python3-uamqp                      	       0        8        8        0        0
55126 python3-ubjson                     	       0       19       19        0        0
55127 python3-ubuntutools                	       0        2        2        0        0
55128 python3-udatetime                  	       0        2        2        0        0
55129 python3-ufl                        	       0        2        2        0        0
55130 python3-ufl-legacy                 	       0        1        1        0        0
55131 python3-uflash                     	       0        1        1        0        0
55132 python3-uflash-doc                 	       0        1        0        0        1
55133 python3-ufo-extractor              	       0        2        2        0        0
55134 python3-ufo2ft                     	       0        4        4        0        0
55135 python3-ufonormalizer              	       0        3        3        0        0
55136 python3-ufoprocessor               	       0        2        2        0        0
55137 python3-uhashring                  	       0        3        3        0        0
55138 python3-uhd                        	       0        3        3        0        0
55139 python3-uinput                     	       0        4        4        0        0
55140 python3-ukui-menu                  	       0        1        1        0        0
55141 python3-ulid                       	       0        1        1        0        0
55142 python3-umu-launcher               	       0        1        1        0        0
55143 python3-unbound                    	       0        4        3        1        0
55144 python3-uncertainties              	       0        6        6        0        0
55145 python3-unicodecsv                 	       0        4        4        0        0
55146 python3-unicodedata2               	       0      127      126        1        0
55147 python3-unidecode                  	       0      115      107        8        0
55148 python3-unittest2                  	       0       13       13        0        0
55149 python3-unpaddedbase64             	       0       11       11        0        0
55150 python3-unrardll                   	       0        4        4        0        0
55151 python3-upstream-ontologist        	       0        4        4        0        0
55152 python3-uranium                    	       0       23       22        1        0
55153 python3-uritools                   	       0       66       64        2        0
55154 python3-urwidtrees                 	       0        8        8        0        0
55155 python3-usb1                       	       0        4        4        0        0
55156 python3-uvicorn                    	       0       17       17        0        0
55157 python3-vagrant                    	       0        2        2        0        0
55158 python3-validators                 	       0        2        2        0        0
55159 python3-validictory                	       0        2        2        0        0
55160 python3-vatnumber                  	       0        3        3        0        0
55161 python3-vcf                        	       0        1        1        0        0
55162 python3-vcr                        	       0        6        6        0        0
55163 python3-vcstools                   	       0        1        1        0        0
55164 python3-vdf                        	       0       19       18        1        0
55165 python3-venusian                   	       0        2        1        1        0
55166 python3-venv                       	       0      430       17        0      413
55167 python3-versioneer                 	       0        1        1        0        0
55168 python3-veusz                      	       0        2        2        0        0
55169 python3-veusz.helpers              	       0        2        2        0        0
55170 python3-vigra                      	       0        2        2        0        0
55171 python3-vine                       	       0        6        6        0        0
55172 python3-virtualsmartcard           	       0        2        2        0        0
55173 python3-vispy                      	       0        3        3        0        0
55174 python3-visualization-msgs         	       0        1        1        0        0
55175 python3-vitrage                    	       0        1        1        0        0
55176 python3-vlc                        	       0        8        7        1        0
55177 python3-vmdkstream                 	       0        2        2        0        0
55178 python3-vobject                    	       0       87       84        3        0
55179 python3-voluptuous                 	       0        7        7        0        0
55180 python3-vsts-cd-manager            	       0        2        2        0        0
55181 python3-vtk7                       	       0        1        1        0        0
55182 python3-vtk9                       	       0       13       13        0        0
55183 python3-vtkgdcm                    	       0        2        2        0        0
55184 python3-w3lib                      	       0        2        2        0        0
55185 python3-waitress                   	       0       13       12        1        0
55186 python3-wand                       	       0        4        3        1        0
55187 python3-warlock                    	       0        2        2        0        0
55188 python3-wasabi                     	       0        1        1        0        0
55189 python3-watson                     	       0        1        1        0        0
55190 python3-wcag-contrast-ratio        	       0        1        1        0        0
55191 python3-wchartype                  	       0        1        1        0        0
55192 python3-wcmatch                    	       0        8        8        0        0
55193 python3-webdav                     	       0        4        4        0        0
55194 python3-webdavclient               	       0        1        1        0        0
55195 python3-webob                      	       0      200      195        5        0
55196 python3-webpy                      	       0        5        5        0        0
55197 python3-websockify                 	       0       11       11        0        0
55198 python3-webtest                    	       0        6        6        0        0
55199 python3-webview                    	       0        2        2        0        0
55200 python3-webvtt                     	       0        1        1        0        0
55201 python3-wget                       	       0        2        2        0        0
55202 python3-whatthepatch               	       0        1        1        0        0
55203 python3-wheel-whl                  	       0      114        0        0      114
55204 python3-whichcraft                 	       0        1        1        0        0
55205 python3-whoosh                     	       0       19       18        1        0
55206 python3-wicd                       	       0        1        1        0        0
55207 python3-widgetsnbextension         	       0       53       53        0        0
55208 python3-wikitrans                  	       0        1        1        0        0
55209 python3-wilderness                 	       0        1        1        0        0
55210 python3-willow                     	       0        6        6        0        0
55211 python3-winrm                      	       0       63       62        1        0
55212 python3-woob                       	       0        1        1        0        0
55213 python3-wordcloud                  	       0        1        1        0        0
55214 python3-wsaccel                    	       0       19       19        0        0
55215 python3-wsgilog                    	       0        1        1        0        0
55216 python3-wstool                     	       0        1        1        0        0
55217 python3-wurlitzer                  	       0       25       24        1        0
55218 python3-wxgtk-media4.0             	       0        4        4        0        0
55219 python3-wxgtk-webview4.0           	       0        3        3        0        0
55220 python3-wxmplot                    	       0        2        2        0        0
55221 python3-wxutils                    	       0        5        5        0        0
55222 python3-x-wr-timezone              	       0        2        2        0        0
55223 python3-x2go                       	       0       19       18        1        0
55224 python3-x2gobroker                 	       0        1        1        0        0
55225 python3-xarray                     	       0        6        6        0        0
55226 python3-xarray-sentinel            	       0        2        2        0        0
55227 python3-xattr                      	       0       19       18        1        0
55228 python3-xcbgen                     	       0       12       11        1        0
55229 python3-xdo                        	       0        2        2        0        0
55230 python3-xeus-python-shell          	       0        1        1        0        0
55231 python3-xhtml2pdf                  	       0        3        2        1        0
55232 python3-xkit                       	       0        2        2        0        0
55233 python3-xlrd                       	       0      256      236       20        0
55234 python3-xmlschema                  	       0        3        3        0        0
55235 python3-xmltodict                  	       0       84       83        1        0
55236 python3-xmodem                     	       0        1        1        0        0
55237 python3-xopen                      	       0        1        1        0        0
55238 python3-xstatic                    	       0        1        1        0        0
55239 python3-xstatic-json2yaml          	       0        1        1        0        0
55240 python3-xtermcolor                 	       0        1        1        0        0
55241 python3-xvfbwrapper                	       0        5        5        0        0
55242 python3-xxhash                     	       0       41       41        0        0
55243 python3-xyzservices                	       0        3        3        0        0
55244 python3-yapf                       	       0       32       31        1        0
55245 python3-yappi                      	       0        4        4        0        0
55246 python3-yapps                      	       0        7        7        0        0
55247 python3-yapsy                      	       0        1        1        0        0
55248 python3-yattag                     	       0        5        5        0        0
55249 python3-yoyo                       	       0        3        3        0        0
55250 python3-yubikey-manager            	       0       25        0        0       25
55251 python3-yubiotp                    	       0        1        1        0        0
55252 python3-zake                       	       0        3        3        0        0
55253 python3-zarr                       	       0        6        6        0        0
55254 python3-zeep                       	       0       10       10        0        0
55255 python3-zeitgeist                  	       0        3        3        0        0
55256 python3-zeroconf                   	       0      294      285        9        0
55257 python3-zfpy                       	       0        4        4        0        0
55258 python3-zict                       	       0       12       12        0        0
55259 python3-zipstream-ng               	       0        1        1        0        0
55260 python3-zlmdb                      	       0        1        1        0        0
55261 python3-zombie-imp                 	       0       10       10        0        0
55262 python3-zombie-telnetlib           	       0        2        2        0        0
55263 python3-zstd                       	       0        2        2        0        0
55264 python3-zxcvbn                     	       0        2        2        0        0
55265 python3-zzzeeksphinx               	       0        1        1        0        0
55266 python3.10                         	       0       26       26        0        0
55267 python3.10-dbg                     	       0        1        1        0        0
55268 python3.10-dev                     	       0        9        9        0        0
55269 python3.10-doc                     	       0        1        0        0        1
55270 python3.11-dbg                     	       0        5        5        0        0
55271 python3.11-doc                     	       0      101        0        0      101
55272 python3.11-examples                	       0       63       57        6        0
55273 python3.11-full                    	       0       58        0        0       58
55274 python3.12                         	       0      222      209       13        0
55275 python3.12-dbg                     	       0        1        1        0        0
55276 python3.12-dev                     	       0       66       63        3        0
55277 python3.12-doc                     	       0       15        0        0       15
55278 python3.12-examples                	       0        3        3        0        0
55279 python3.12-full                    	       0        3        0        0        3
55280 python3.12-gdbm                    	       0        7        7        0        0
55281 python3.12-tk                      	       0       73       60       13        0
55282 python3.12-venv                    	       0       52       47        5        0
55283 python3.13                         	       0       68       33       35        0
55284 python3.13-dev                     	       0       21       10       11        0
55285 python3.13-doc                     	       0        5        0        0        5
55286 python3.13-examples                	       0        1        1        0        0
55287 python3.13-full                    	       0        1        0        0        1
55288 python3.13-gdbm                    	       0        7        7        0        0
55289 python3.13-venv                    	       0       12        3        9        0
55290 python3.2                          	       0        6        6        0        0
55291 python3.2-minimal                  	       0        6        6        0        0
55292 python3.3                          	       0        4        4        0        0
55293 python3.3-minimal                  	       0        4        4        0        0
55294 python3.4-dev                      	       0        3        3        0        0
55295 python3.5-dbg                      	       0        3        3        0        0
55296 python3.5-dev                      	       0       16       16        0        0
55297 python3.5-doc                      	       0        3        0        0        3
55298 python3.5-venv                     	       0        3        3        0        0
55299 python3.6                          	       0        8        8        0        0
55300 python3.6-dev                      	       0        1        1        0        0
55301 python3.6-minimal                  	       0        8        8        0        0
55302 python3.7-dbg                      	       0        3        3        0        0
55303 python3.7-dev                      	       0       36       36        0        0
55304 python3.7-doc                      	       0        7        0        0        7
55305 python3.7-examples                 	       0        1        1        0        0
55306 python3.7-venv                     	       0       16       16        0        0
55307 python3.8                          	       0        5        5        0        0
55308 python3.8-dev                      	       0        1        1        0        0
55309 python3.9-dbg                      	       0        6        6        0        0
55310 python3.9-doc                      	       0       12        0        0       12
55311 python3.9-examples                 	       0        2        2        0        0
55312 python3.9-full                     	       0        2        0        0        2
55313 pythonpy                           	       0        1        0        1        0
55314 pytqt-doc                          	       0        1        1        0        0
55315 pytrainer                          	       0        1        1        0        0
55316 pyupgrade                          	       0        1        1        0        0
55317 pyvcf                              	       0        1        1        0        0
55318 pyvnc2swf                          	       0        1        1        0        0
55319 pywps                              	       0        2        0        0        2
55320 pywps-wsgi                         	       0        2        0        0        2
55321 pyxplot                            	       0        2        2        0        0
55322 pyxplot-doc                        	       0        1        0        0        1
55323 pyzfs-doc                          	       0        1        0        0        1
55324 pyzo                               	       0        2        2        0        0
55325 pyzor-doc                          	       0        4        0        0        4
55326 q2online                           	       0        1        1        0        0
55327 q2templates                        	       0        1        1        0        0
55328 q4os-api                           	       0        2        2        0        0
55329 q4os-base                          	       0        2        2        0        0
55330 q4os-cpuq                          	       0        1        1        0        0
55331 q4os-cpuq5                         	       0        1        1        0        0
55332 q4os-debfxs                        	       0        1        1        0        0
55333 q4os-deps                          	       0        2        0        0        2
55334 q4os-desktop                       	       0        2        0        0        2
55335 q4os-desktop-plasma                	       0        1        0        0        1
55336 q4os-desktop-trinity               	       0        1        0        0        1
55337 q4os-fonts-droid                   	       0        1        0        0        1
55338 q4os-fonts-noto                    	       0        2        0        0        2
55339 q4os-i18n                          	       0        2        1        0        1
55340 q4os-keyrings                      	       0        2        0        0        2
55341 q4os-qt5-style02                   	       0        1        0        0        1
55342 q4os-screenscaler                  	       0        1        1        0        0
55343 q4os-setup                         	       0        2        2        0        0
55344 q4os-styles-gtk3                   	       0        1        0        0        1
55345 q4os-styles-qt4                    	       0        1        0        0        1
55346 q4os-sw-profiler                   	       0        2        2        0        0
55347 q4os-sw-profiler-data              	       0        2        0        0        2
55348 q4os-swcentre                      	       0        2        2        0        0
55349 q4os-swcentre-data                 	       0        2        0        0        2
55350 q4os-tde-qtplugin                  	       0        1        0        0        1
55351 q4os-welcome                       	       0        1        1        0        0
55352 q4os-welcome-common                	       0        2        0        0        2
55353 q4os-welcome5                      	       0        1        1        0        0
55354 qabcs                              	       0        3        3        0        0
55355 qabcs-data                         	       0        3        0        0        3
55356 qalculate                          	       0        9        0        0        9
55357 qapt-batch                         	       0       10        9        1        0
55358 qapt-deb-installer                 	       0       14       14        0        0
55359 qapt-utils                         	       0        7        0        0        7
55360 qarte                              	       0        4        4        0        0
55361 qasconfig                          	       0       31       31        0        0
55362 qashctl                            	       0       23       23        0        0
55363 qasmixer-dbgsym                    	       0        1        1        0        0
55364 qbankmanager                       	       0        1        1        0        0
55365 qbe                                	       0        1        1        0        0
55366 qbittorrent-dbg                    	       0        2        2        0        0
55367 qbrew                              	       0        1        1        0        0
55368 qbs                                	       0        3        3        0        0
55369 qbs-common                         	       0       11        0        0       11
55370 qbs-dev                            	       0        2        2        0        0
55371 qbs-doc                            	       0        2        0        0        2
55372 qbs-doc-html                       	       0        1        0        0        1
55373 qbs-examples                       	       0        1        1        0        0
55374 qc                                 	       0        1        1        0        0
55375 qc-usb-utils                       	       0        1        1        0        0
55376 qca-qt5-2-utils                    	       0        2        2        0        0
55377 qcad                               	       0        2        0        0        2
55378 qcad-data                          	       0        1        0        0        1
55379 qcad-doc                           	       0        1        0        0        1
55380 qcalcfilehash                      	       0        1        1        0        0
55381 qcam                               	       0        1        1        0        0
55382 qccrypt                            	       0        6        6        0        0
55383 qcodec2                            	       0        1        1        0        0
55384 qcomicbook                         	       0       13       13        0        0
55385 qconf                              	       0        4        4        0        0
55386 qcontrol                           	       0        1        1        0        0
55387 qcoro-doc                          	       0        3        3        0        0
55388 qcoro-qt5-dev                      	       0        1        1        0        0
55389 qd                                 	       0        1        1        0        0
55390 qdbm-doc                           	       0        1        0        0        1
55391 qdbm-util                          	       0        2        2        0        0
55392 qdbus                              	       0      139        0        0      139
55393 qdbus-qt6                          	       0       84       81        3        0
55394 qdigidoc4                          	       0        2        2        0        0
55395 qdirstat                           	       0       37       34        3        0
55396 qdiskinfo                          	       0        3        3        0        0
55397 qdl                                	       0        1        1        0        0
55398 qdoc-qt6                           	       0       14       14        0        0
55399 qelectrotech                       	       0       17       17        0        0
55400 qelectrotech-data                  	       0       17        0        0       17
55401 qelectrotech-examples              	       0       17        0        0       17
55402 qemu                               	       0      126        0        0      126
55403 qemu-efi                           	       0       23        0        0       23
55404 qemu-efi-aarch64                   	       0      177        0        0      177
55405 qemu-efi-arm                       	       0      168        0        0      168
55406 qemu-efi-riscv64                   	       0        2        0        0        2
55407 qemu-guest-agent-vz                	       0        1        1        0        0
55408 qemu-guest-agent-vz-dbg            	       0        1        1        0        0
55409 qemu-jfp-tools                     	       0        3        3        0        0
55410 qemu-keymaps                       	       0        1        0        0        1
55411 qemu-slof                          	       0       22        0        0       22
55412 qemu-system                        	       0      173        0        0      173
55413 qemu-system-data                   	       0      611        0        0      611
55414 qemu-system-modules-opengl         	       0       62        2        0       60
55415 qemu-system-modules-spice          	       0       50        2        0       48
55416 qemu-user                          	       0       68       67        1        0
55417 qemu-user-binfmt                   	       0       47       30        1       16
55418 qemubuilder                        	       0        8        8        0        0
55419 qemuctl                            	       0        2        2        0        0
55420 qfinderpro                         	       0        1        1        0        0
55421 qfits-tools                        	       0        3        3        0        0
55422 qfitsview                          	       0        1        1        0        0
55423 qflow                              	       0        6        6        0        0
55424 qflow-tech-osu018                  	       0        6        0        0        6
55425 qflow-tech-osu035                  	       0        6        0        0        6
55426 qflow-tech-osu050                  	       0        6        0        0        6
55427 qgama                              	       0        1        1        0        0
55428 qgfe                               	       0        1        1        0        0
55429 qgis-common                        	       0       47        2        0       45
55430 qgis-plugin-globe-common           	       0        1        0        0        1
55431 qgis-plugin-grass-common           	       0       42        0        0       42
55432 qgis-providers-common              	       0       47        0        0       47
55433 qgis-server                        	       0        2        0        0        2
55434 qgis-server-bin                    	       0        2        2        0        0
55435 qgis-server-common                 	       0        2        0        0        2
55436 qgis-server-dummy                  	       0        2        2        0        0
55437 qgis-server-landingpage            	       0        2        2        0        0
55438 qgis-server-wcs                    	       0        2        2        0        0
55439 qgis-server-wfs                    	       0        2        2        0        0
55440 qgis-server-wfs3                   	       0        2        2        0        0
55441 qgis-server-wms                    	       0        2        2        0        0
55442 qgis-server-wmts                   	       0        2        2        0        0
55443 qgis-sip                           	       0        3        0        0        3
55444 qgit                               	       0       23       23        0        0
55445 qgnomeplatform-qt5                 	       0        7        0        0        7
55446 qgo                                	       0       10       10        0        0
55447 qhimdtransfer                      	       0        1        1        0        0
55448 qhull-bin                          	       0       65       62        3        0
55449 qimgv                              	       0       10        8        2        0
55450 qjackrcd                           	       0       13       13        0        0
55451 ql600pdrv                          	       0        1        0        0        1
55452 ql700pdrv                          	       0        5        0        0        5
55453 qlandkartegt                       	       0        1        1        0        0
55454 qlandkartegt-garmin                	       0        1        1        0        0
55455 qlcplus-data                       	       0        7        0        0        7
55456 qlogo                              	       0        4        3        1        0
55457 qmail                              	       0        7        7        0        0
55458 qmail-run                          	       0        4        4        0        0
55459 qmail-tools                        	       0        1        1        0        0
55460 qmail-uids-gids                    	       0        5        0        0        5
55461 qmake6                             	       0       74       69        5        0
55462 qmake6-bin                         	       0       74       69        5        0
55463 qmenu                              	       0        1        1        0        0
55464 qmhandle                           	       0        1        1        0        0
55465 qmidiarp                           	       0        7        7        0        0
55466 qmidinet                           	       0       13       13        0        0
55467 qmidiroute                         	       0       11       11        0        0
55468 qml                                	       0       12       12        0        0
55469 qml-module-gsettings1.0            	       0      509        0        0      509
55470 qml-module-lomiri-components       	       0        1        0        0        1
55471 qml-module-lomiri-components-labs  	       0        1        0        0        1
55472 qml-module-lomiri-performancemetrics	       0        1        0        0        1
55473 qml-module-ofono                   	       0        1        0        0        1
55474 qml-module-org-kde-analitza        	       0       47        0        0       47
55475 qml-module-org-kde-charts          	       0       60        0        0       60
55476 qml-module-org-kde-extensionplugin 	       0       13        0        0       13
55477 qml-module-org-kde-games-core      	       0       65        0        0       65
55478 qml-module-org-kde-graphicaleffects	       0        1        0        0        1
55479 qml-module-org-kde-i18n-localedata 	       0        2        0        0        2
55480 qml-module-org-kde-kaccounts       	       0       25        0        0       25
55481 qml-module-org-kde-kcmutils        	       0      850        0        0      850
55482 qml-module-org-kde-kholidays       	       0      542        0        0      542
55483 qml-module-org-kde-kio             	       0      562        5        0      557
55484 qml-module-org-kde-kirigami        	       0        5        0        0        5
55485 qml-module-org-kde-kirigami-addons-datetime	       0        1        0        0        1
55486 qml-module-org-kde-kirigami-addons-labs-components	       0        2        0        0        2
55487 qml-module-org-kde-kirigami-addons-labs-mobileform	       0       51        0        0       51
55488 qml-module-org-kde-kirigami-addons-sounds	       0        1        0        0        1
55489 qml-module-org-kde-kirigami-addons-treeview	       0        1        0        0        1
55490 qml-module-org-kde-kquickimageeditor	       0        9        0        0        9
55491 qml-module-org-kde-notifications   	       0        5        0        0        5
55492 qml-module-org-kde-okular          	       0       11        0        0       11
55493 qml-module-org-kde-people          	       0      703        0        0      703
55494 qml-module-org-kde-qqc2breezestyle 	       0        2        0        0        2
55495 qml-module-org-kde-runnermodel     	       0      938        0        0      938
55496 qml-module-org-kde-solid           	       0      543        0        0      543
55497 qml-module-org-kde-syntaxhighlighting	       0      435        0        0      435
55498 qml-module-org-kde-telepathy       	       0        6        2        0        4
55499 qml-module-org-nemomobile-mpris    	       0        1        0        0        1
55500 qml-module-qmltermwidget           	       0        6        0        0        6
55501 qml-module-qt-labs-animation       	       0       22        0        0       22
55502 qml-module-qt-labs-calendar        	       0       16        0        0       16
55503 qml-module-qt-labs-location        	       0        2        0        0        2
55504 qml-module-qt-labs-sharedimage     	       0        2        0        0        2
55505 qml-module-qt-labs-wavefrontmesh   	       0        2        0        0        2
55506 qml-module-qt-websockets           	       0        2        0        0        2
55507 qml-module-qt3d                    	       0        4        0        0        4
55508 qml-module-qtaudioengine           	       0        2        0        0        2
55509 qml-module-qtbluetooth             	       0        2        0        0        2
55510 qml-module-qtdatavisualization     	       0        3        0        0        3
55511 qml-module-qtfeedback              	       0        4        0        0        4
55512 qml-module-qtgamepad               	       0        1        0        0        1
55513 qml-module-qtgstreamer             	       0       16        0        0       16
55514 qml-module-qtlocation              	       0       22        0        0       22
55515 qml-module-qtnfc                   	       0        1        0        0        1
55516 qml-module-qtorganizer             	       0        1        0        0        1
55517 qml-module-qtpositioning           	       0       22        0        0       22
55518 qml-module-qtpublishsubscribe      	       0        1        0        0        1
55519 qml-module-qtqml-statemachine      	       0       41        0        0       41
55520 qml-module-qtqml-workerscript2     	       0        1        0        0        1
55521 qml-module-qtquick-controls-styles-breeze	       0       38        0        0       38
55522 qml-module-qtquick-controls2-suru  	       0        1        0        0        1
55523 qml-module-qtquick-extras          	       0      108        0        0      108
55524 qml-module-qtquick-localstorage    	       0       52        2        0       50
55525 qml-module-qtquick-pdf             	       0        4        0        0        4
55526 qml-module-qtquick-scene2d         	       0        2        0        0        2
55527 qml-module-qtquick-scene3d         	       0        7        0        0        7
55528 qml-module-qtquick-xmllistmodel    	       0       58        3        0       55
55529 qml-module-qtremoteobjects         	       0        2        0        0        2
55530 qml-module-qtscxml                 	       0        4        0        0        4
55531 qml-module-qtsensors               	       0        2        0        0        2
55532 qml-module-qtserviceframework      	       0        1        0        0        1
55533 qml-module-qtsysteminfo            	       0        4        0        0        4
55534 qml-module-qttest                  	       0       10        0        0       10
55535 qml-module-qtwayland-client-texturesharing	       0        2        0        0        2
55536 qml-module-qtwayland-compositor    	       0        4        0        0        4
55537 qml-module-qtwebchannel            	       0       17        1        0       16
55538 qml-module-qtwebengine             	       0      742        2        0      740
55539 qml-module-qtwebkit                	       0      498        0        0      498
55540 qml-module-qtwebsockets            	       0        4        0        0        4
55541 qml-module-qtwebview               	       0        7        0        0        7
55542 qml-module-qzxing                  	       0        1        0        0        1
55543 qml-module-snapd                   	       0        2        0        0        2
55544 qml-module-termwidget              	       0       27        2        0       25
55545 qml-qt6                            	       0       42       42        0        0
55546 qml6-module-org-kde-baloo          	       0       22        0        0       22
55547 qml6-module-org-kde-calendarcore   	       0        1        0        0        1
55548 qml6-module-org-kde-config         	       0       40        1        0       39
55549 qml6-module-org-kde-contacts       	       0        1        0        0        1
55550 qml6-module-org-kde-games-core     	       0        5        0        0        5
55551 qml6-module-org-kde-guiaddons      	       0        2        0        0        2
55552 qml6-module-org-kde-i18n-localedata	       0        3        0        0        3
55553 qml6-module-org-kde-iconthemes     	       0       24        0        0       24
55554 qml6-module-org-kde-kaccounts      	       0        3        0        0        3
55555 qml6-module-org-kde-kholidays      	       0       23        0        0       23
55556 qml6-module-org-kde-kirigamiaddons-datetime	       0       34        0        0       34
55557 qml6-module-org-kde-kirigamiaddons-delegates	       0       35        0        0       35
55558 qml6-module-org-kde-kirigamiaddons-formcard	       0       36        0        0       36
55559 qml6-module-org-kde-kirigamiaddons-labs-components	       0        6        0        0        6
55560 qml6-module-org-kde-kirigamiaddons-settings	       0        6        0        0        6
55561 qml6-module-org-kde-kirigamiaddons-statefulapp	       0        2        0        0        2
55562 qml6-module-org-kde-kirigamiaddons-tableview	       0       22        0        0       22
55563 qml6-module-org-kde-kirigamiaddons-treeview	       0       34        0        0       34
55564 qml6-module-org-kde-kquickimageeditor	       0        1        0        0        1
55565 qml6-module-org-kde-layershell     	       0        2        0        0        2
55566 qml6-module-org-kde-newstuff       	       0       33        2        0       31
55567 qml6-module-org-kde-okular         	       0        1        0        0        1
55568 qml6-module-org-kde-people         	       0       31        0        0       31
55569 qml6-module-org-kde-prison         	       0       48        0        0       48
55570 qml6-module-org-kde-purpose        	       0       47        0        0       47
55571 qml6-module-org-kde-syntaxhighlighting	       0       23        0        0       23
55572 qml6-module-org-kde-userfeedback   	       0       26        0        0       26
55573 qml6-module-qmltime                	       0        6        0        0        6
55574 qml6-module-qt-labs-animation      	       0       18        0        0       18
55575 qml6-module-qt-labs-lottieqt       	       0       13        0        0       13
55576 qml6-module-qt-labs-settings       	       0       22        0        0       22
55577 qml6-module-qt-labs-sharedimage    	       0       19        0        0       19
55578 qml6-module-qt-labs-wavefrontmesh  	       0       19        0        0       19
55579 qml6-module-qt3d-animation         	       0        2        0        0        2
55580 qml6-module-qt3d-core              	       0        2        0        0        2
55581 qml6-module-qt3d-extras            	       0        2        0        0        2
55582 qml6-module-qt3d-input             	       0        2        0        0        2
55583 qml6-module-qt3d-logic             	       0        2        0        0        2
55584 qml6-module-qt3d-render            	       0        2        0        0        2
55585 qml6-module-qtcharts               	       0        5        0        0        5
55586 qml6-module-qtdatavisualization    	       0        2        0        0        2
55587 qml6-module-qtgrpc                 	       0        1        0        0        1
55588 qml6-module-qtlocation             	       0        1        0        0        1
55589 qml6-module-qtmultimedia           	       0       58        0        0       58
55590 qml6-module-qtnetwork              	       0        6        0        0        6
55591 qml6-module-qtpositioning          	       0       24        0        0       24
55592 qml6-module-qtqml                  	       0       90        0        0       90
55593 qml6-module-qtqml-base             	       0       64        0        0       64
55594 qml6-module-qtqml-models           	       0      120        0        0      120
55595 qml6-module-qtqml-statemachine     	       0       19        0        0       19
55596 qml6-module-qtqml-xmllistmodel     	       0       20        0        0       20
55597 qml6-module-qtquick                	       0      137        0        0      137
55598 qml6-module-qtquick-localstorage   	       0       20        0        0       20
55599 qml6-module-qtquick-nativestyle    	       0       20        0        0       20
55600 qml6-module-qtquick-particles      	       0       46        0        0       46
55601 qml6-module-qtquick-pdf            	       0        5        0        0        5
55602 qml6-module-qtquick-scene2d        	       0        3        0        0        3
55603 qml6-module-qtquick-scene3d        	       0        3        0        0        3
55604 qml6-module-qtquick-timeline       	       0       25        0        0       25
55605 qml6-module-qtquick-timeline-blendtrees	       0        1        0        0        1
55606 qml6-module-qtquick-tooling        	       0       59        0        0       59
55607 qml6-module-qtquick3d              	       0        5        0        0        5
55608 qml6-module-qtquick3d-assetutils   	       0        1        0        0        1
55609 qml6-module-qtquick3d-effects      	       0        1        0        0        1
55610 qml6-module-qtquick3d-helpers      	       0        1        0        0        1
55611 qml6-module-qtquick3d-materialeditor	       0        1        0        0        1
55612 qml6-module-qtquick3d-particleeffects	       0        1        0        0        1
55613 qml6-module-qtquick3d-particles3d  	       0       11        0        0       11
55614 qml6-module-qtquick3d-physics      	       0        2        0        0        2
55615 qml6-module-qtquick3d-spatialaudio 	       0       19        0        0       19
55616 qml6-module-qtremoteobjects        	       0        2        0        0        2
55617 qml6-module-qtscxml                	       0        2        0        0        2
55618 qml6-module-qtsensors              	       0        3        0        0        3
55619 qml6-module-qttest                 	       0       12        0        0       12
55620 qml6-module-qttexttospeech         	       0        2        0        0        2
55621 qml6-module-qtwayland-client-texturesharing	       0        6        0        0        6
55622 qml6-module-qtwayland-compositor   	       0        7        0        0        7
55623 qml6-module-qtwebchannel           	       0       34        0        0       34
55624 qml6-module-qtwebengine            	       0       38        0        0       38
55625 qml6-module-qtwebengine-controlsdelegates	       0        4        0        0        4
55626 qml6-module-qtwebsockets           	       0        3        0        0        3
55627 qml6-module-qtwebview              	       0       19        0        0       19
55628 qml6-module-quick3d                	       0        1        0        0        1
55629 qml6-module-quick3d-assetutils     	       0        1        0        0        1
55630 qml6-module-quick3d-effects        	       0        1        0        0        1
55631 qml6-module-quick3d-helpers        	       0        1        0        0        1
55632 qml6-module-quick3d-particleeffects	       0        1        0        0        1
55633 qml6-module-quick3d-particles3d    	       0        1        0        0        1
55634 qml6-module-sso-onlineaccounts     	       0       27        0        0       27
55635 qmlscene                           	       0       27       25        0        2
55636 qmlscene-qt6                       	       0        1        1        0        0
55637 qmltermwidget-common               	       0       28        0        0       28
55638 qmpdclient                         	       0        2        2        0        0
55639 qnapi                              	       0        3        3        0        0
55640 qnetstatview                       	       0        2        2        0        0
55641 qodem                              	       0        1        1        0        0
55642 qodem-x11                          	       0        1        1        0        0
55643 qof-data                           	       0        1        0        0        1
55644 qogir-dark                         	       0        1        0        0        1
55645 qogir-icon-theme                   	       0        1        1        0        0
55646 qogir-light                        	       0        1        0        0        1
55647 qogir-win                          	       0        1        0        0        1
55648 qogir-win-dark                     	       0        1        0        0        1
55649 qogir-win-light                    	       0        1        0        0        1
55650 qonk                               	       0        5        5        0        0
55651 qosmic                             	       0        3        3        0        0
55652 qpdf-doc                           	       0        1        0        0        1
55653 qpdfview-translations              	       0      287        2        0      285
55654 qperf                              	       0        2        2        0        0
55655 qpopper                            	       0        3        3        0        0
55656 qprint-doc                         	       0        1        0        0        1
55657 qqmusic                            	       0        1        1        0        0
55658 qqwing                             	       0        2        2        0        0
55659 qrazercfg                          	       0        3        3        0        0
55660 qrazercfg-applet                   	       0        2        2        0        0
55661 qrcp                               	       0        1        1        0        0
55662 qreator                            	       0        5        5        0        0
55663 qrenderdoc                         	       0        3        3        0        0
55664 qrisk2                             	       0        1        1        0        0
55665 qrouter                            	       0        6        6        0        0
55666 qrterminal                         	       0        2        2        0        0
55667 qrtr-tools                         	       0        1        1        0        0
55668 qsampler                           	       0        2        2        0        0
55669 qsapecng                           	       0        2        2        0        0
55670 qsapecng-doc                       	       0        1        0        0        1
55671 qsf                                	       0        1        1        0        0
55672 qshutdown                          	       0       11       11        0        0
55673 qsopt-ex                           	       0        2        2        0        0
55674 qspeakers                          	       0        2        2        0        0
55675 qsstv                              	       0       18       18        0        0
55676 qstardict                          	       0        9        9        0        0
55677 qstat                              	       0       17       17        0        0
55678 qstopmotion                        	       0        4        4        0        0
55679 qt-assistant-compat                	       0        3        3        0        0
55680 qt-at-spi                          	       0      106        0        0      106
55681 qt-ponies-data                     	       0        1        0        0        1
55682 qt3-assistant                      	       0        1        1        0        0
55683 qt3-dev-tools                      	       0        1        1        0        0
55684 qt3-doc                            	       0        2        0        0        2
55685 qt3d-assimpsceneimport-plugin      	       0       45        0        0       45
55686 qt3d-defaultgeometryloader-plugin  	       0       45        0        0       45
55687 qt3d-gltfsceneio-plugin            	       0       45        0        0       45
55688 qt3d-scene2d-plugin                	       0       45        0        0       45
55689 qt3d5-dev                          	       0        6        6        0        0
55690 qt3d5-dev-tools                    	       0        3        3        0        0
55691 qt3d5-doc                          	       0       37        0        0       37
55692 qt3d5-doc-html                     	       0       10        0        0       10
55693 qt3d5-examples                     	       0        3        0        0        3
55694 qt4-demos                          	       0        2        2        0        0
55695 qt4-designer                       	       0       15       15        0        0
55696 qt4-dev-tools                      	       0       11       11        0        0
55697 qt4-doc                            	       0       15        0        0       15
55698 qt4-doc-html                       	       0        3        0        0        3
55699 qt4-linguist-tools                 	       0       18       18        0        0
55700 qt4-qmake                          	       0       38       38        0        0
55701 qt4-qmlviewer                      	       0        3        0        0        3
55702 qt4-qtconfig                       	       0       13       13        0        0
55703 qt5-default                        	       0       11        0        0       11
55704 qt5-doc                            	       0       36        0        0       36
55705 qt5-doc-html                       	       0        9        0        0        9
55706 qt5-flatpak-platformtheme          	       0        6        0        0        6
55707 qt5-gtk-platformtheme-dbgsym       	       0        1        1        0        0
55708 qt5-gtk2-platformtheme             	       0      330       15        0      315
55709 qt5-qmake-bin-dbgsym               	       0        1        1        0        0
55710 qt5-qmltooling-plugins             	       0      179        0        0      179
55711 qt5-quick-demos                    	       0        5        0        0        5
55712 qt5-style-kvantum-l10n             	       0       52        0        0       52
55713 qt5-style-kvantum-themes           	       0       48        0        0       48
55714 qt5-style-plugin-plastique         	       0      304        4        1      299
55715 qt5-style-plugins                  	       0      316        0        0      316
55716 qt5-styles-ukui                    	       0        8        0        0        8
55717 qt5-ukui-platformtheme             	       0        6        0        0        6
55718 qt5-xdgdesktopportal-platformtheme 	       0        9        0        0        9
55719 qt5keychain-dev                    	       0       17        3        0       14
55720 qt5qevercloud-dev                  	       0        2        2        0        0
55721 qt5serialport-examples             	       0        5        0        0        5
55722 qt6-3d-dev                         	       0        1        1        0        0
55723 qt6-3d-doc                         	       0        1        0        0        1
55724 qt6-3d-doc-dev                     	       0        1        0        0        1
55725 qt6-3d-doc-html                    	       0        1        0        0        1
55726 qt6-3d-examples                    	       0        1        0        0        1
55727 qt6-5compat-dev                    	       0        7        6        1        0
55728 qt6-5compat-doc                    	       0        1        0        0        1
55729 qt6-5compat-doc-html               	       0        1        0        0        1
55730 qt6-base-dev                       	       0       71       66        5        0
55731 qt6-base-doc                       	       0        2        0        0        2
55732 qt6-base-doc-dev                   	       0        2        0        0        2
55733 qt6-base-doc-html                  	       0        2        0        0        2
55734 qt6-base-examples                  	       0        2        0        0        2
55735 qt6-base-private-dev               	       0       16       15        1        0
55736 qt6-charts-dev                     	       0        5        4        1        0
55737 qt6-charts-doc                     	       0        1        0        0        1
55738 qt6-charts-doc-html                	       0        1        0        0        1
55739 qt6-charts-examples                	       0        1        0        0        1
55740 qt6-connectivity-dev               	       0        1        1        0        0
55741 qt6-connectivity-doc               	       0        1        0        0        1
55742 qt6-connectivity-doc-html          	       0        1        0        0        1
55743 qt6-connectivity-examples          	       0        1        0        0        1
55744 qt6-datavis3d-dev                  	       0        1        1        0        0
55745 qt6-datavis3d-doc                  	       0        1        0        0        1
55746 qt6-datavis3d-doc-html             	       0        1        0        0        1
55747 qt6-datavis3d-examples             	       0        1        0        0        1
55748 qt6-datavisualization-dev          	       0        1        0        1        0
55749 qt6-declarative-dev                	       0       15       13        2        0
55750 qt6-declarative-dev-tools          	       0       53       51        2        0
55751 qt6-declarative-doc                	       0        1        0        0        1
55752 qt6-declarative-doc-dev            	       0        1        0        0        1
55753 qt6-declarative-doc-html           	       0        1        0        0        1
55754 qt6-declarative-examples           	       0        1        0        0        1
55755 qt6-declarative-private-dev        	       0        1        1        0        0
55756 qt6-documentation-tools            	       0       67       67        0        0
55757 qt6-grpc-dev                       	       0        1        1        0        0
55758 qt6-grpc-doc                       	       0        1        0        0        1
55759 qt6-grpc-doc-dev                   	       0        1        0        0        1
55760 qt6-grpc-doc-html                  	       0        1        0        0        1
55761 qt6-grpc-examples                  	       0        1        0        0        1
55762 qt6-grpc-tools                     	       0        1        1        0        0
55763 qt6-httpserver-doc                 	       0        1        0        0        1
55764 qt6-httpserver-doc-html            	       0        1        0        0        1
55765 qt6-httpserver-examples            	       0        1        0        0        1
55766 qt6-imageformats-doc               	       0        1        0        0        1
55767 qt6-imageformats-doc-html          	       0        1        0        0        1
55768 qt6-l10n-tools                     	       0       67       67        0        0
55769 qt6-location-dev                   	       0        1        1        0        0
55770 qt6-location-doc                   	       0        1        0        0        1
55771 qt6-location-doc-dev               	       0        1        0        0        1
55772 qt6-location-doc-html              	       0        1        0        0        1
55773 qt6-location-examples              	       0        1        0        0        1
55774 qt6-location-plugins               	       0        1        0        0        1
55775 qt6-lottie-dev                     	       0        1        0        1        0
55776 qt6-multimedia-dev                 	       0       13       13        0        0
55777 qt6-multimedia-doc                 	       0        1        0        0        1
55778 qt6-multimedia-doc-html            	       0        1        0        0        1
55779 qt6-multimedia-examples            	       0        1        0        0        1
55780 qt6-networkauth-dev                	       0        3        2        1        0
55781 qt6-networkauth-doc                	       0        1        0        0        1
55782 qt6-networkauth-doc-dev            	       0        1        0        0        1
55783 qt6-networkauth-doc-html           	       0        1        0        0        1
55784 qt6-networkauth-examples           	       0        1        0        0        1
55785 qt6-pdf-dev                        	       0        7        7        0        0
55786 qt6-positioning-dev                	       0        8        8        0        0
55787 qt6-positioning-doc                	       0        1        0        0        1
55788 qt6-positioning-doc-dev            	       0        1        0        0        1
55789 qt6-positioning-doc-html           	       0        1        0        0        1
55790 qt6-positioning-examples           	       0        1        0        0        1
55791 qt6-positioning-private-dev        	       0        1        1        0        0
55792 qt6-qmllint-plugins                	       0        7        0        0        7
55793 qt6-qmltooling-plugins             	       0       62        0        0       62
55794 qt6-qmltooling-quick3dprofiler-plugin	       0        1        0        0        1
55795 qt6-qpa-plugins                    	       0      533        0        0      533
55796 qt6-quick3d-assetimporters-plugin  	       0        1        0        0        1
55797 qt6-quick3d-dev                    	       0        1        1        0        0
55798 qt6-quick3d-dev-tools              	       0        1        1        0        0
55799 qt6-quick3d-doc                    	       0        1        0        0        1
55800 qt6-quick3d-doc-dev                	       0        1        0        0        1
55801 qt6-quick3d-doc-html               	       0        1        0        0        1
55802 qt6-quick3d-examples               	       0        1        0        0        1
55803 qt6-quick3d-private-dev            	       0        1        1        0        0
55804 qt6-quick3dphysics-dev             	       0        1        1        0        0
55805 qt6-quick3dphysics-dev-tools       	       0        1        1        0        0
55806 qt6-quick3dphysics-examples        	       0        1        0        0        1
55807 qt6-quicktimeline-dev              	       0        2        1        1        0
55808 qt6-remoteobjects-dev              	       0        1        1        0        0
55809 qt6-remoteobjects-doc              	       0        1        0        0        1
55810 qt6-remoteobjects-doc-dev          	       0        1        0        0        1
55811 qt6-remoteobjects-doc-html         	       0        1        0        0        1
55812 qt6-remoteobjects-examples         	       0        1        0        0        1
55813 qt6-scxml-dev                      	       0        1        1        0        0
55814 qt6-scxml-doc                      	       0        1        0        0        1
55815 qt6-scxml-doc-dev                  	       0        1        0        0        1
55816 qt6-scxml-doc-html                 	       0        1        0        0        1
55817 qt6-scxml-examples                 	       0        1        0        0        1
55818 qt6-sensors-dev                    	       0        2        1        1        0
55819 qt6-sensors-doc                    	       0        1        0        0        1
55820 qt6-sensors-doc-dev                	       0        1        0        0        1
55821 qt6-sensors-doc-html               	       0        1        0        0        1
55822 qt6-sensors-examples               	       0        1        0        0        1
55823 qt6-serialbus-dev                  	       0        3        2        1        0
55824 qt6-serialbus-doc                  	       0        1        0        0        1
55825 qt6-serialbus-doc-dev              	       0        1        0        0        1
55826 qt6-serialbus-doc-html             	       0        1        0        0        1
55827 qt6-serialbus-examples             	       0        1        0        0        1
55828 qt6-serialport-dev                 	       0        5        4        1        0
55829 qt6-serialport-doc                 	       0        1        0        0        1
55830 qt6-serialport-doc-html            	       0        1        0        0        1
55831 qt6-serialport-examples            	       0        1        0        0        1
55832 qt6-shader-baker                   	       0        2        1        1        0
55833 qt6-shadertools-dev                	       0        2        1        1        0
55834 qt6-shadertools-doc                	       0        1        0        0        1
55835 qt6-shadertools-doc-dev            	       0        1        0        0        1
55836 qt6-shadertools-doc-html           	       0        1        0        0        1
55837 qt6-speech-dev                     	       0        2        2        0        0
55838 qt6-speech-doc                     	       0        1        0        0        1
55839 qt6-speech-doc-html                	       0        1        0        0        1
55840 qt6-speech-examples                	       0        1        0        0        1
55841 qt6-speech-flite-plugin            	       0       19        0        0       19
55842 qt6-speech-speechd-plugin          	       0        2        0        0        2
55843 qt6-svg-dev                        	       0       28       27        1        0
55844 qt6-svg-doc                        	       0        1        0        0        1
55845 qt6-svg-doc-dev                    	       0        1        0        0        1
55846 qt6-svg-doc-html                   	       0        1        0        0        1
55847 qt6-svg-examples                   	       0        1        0        0        1
55848 qt6-svg-private-dev                	       0        1        1        0        0
55849 qt6-tools-dev                      	       0       21       21        0        0
55850 qt6-tools-dev-tools                	       0       67       67        0        0
55851 qt6-tools-doc                      	       0        1        0        0        1
55852 qt6-tools-doc-dev                  	       0        1        0        0        1
55853 qt6-tools-doc-html                 	       0        1        0        0        1
55854 qt6-tools-examples                 	       0        1        0        0        1
55855 qt6-tools-private-dev              	       0        1        1        0        0
55856 qt6-virtualkeyboard-dev            	       0        1        0        1        0
55857 qt6-wayland-dev                    	       0        6        5        1        0
55858 qt6-wayland-dev-tools              	       0        9        8        1        0
55859 qt6-wayland-doc                    	       0        1        0        0        1
55860 qt6-wayland-doc-html               	       0        1        0        0        1
55861 qt6-wayland-examples               	       0        1        0        0        1
55862 qt6-wayland-private-dev            	       0        1        1        0        0
55863 qt6-webchannel-dev                 	       0        9        8        1        0
55864 qt6-webchannel-doc                 	       0        1        0        0        1
55865 qt6-webchannel-doc-dev             	       0        1        0        0        1
55866 qt6-webchannel-doc-html            	       0        1        0        0        1
55867 qt6-webchannel-examples            	       0        1        0        0        1
55868 qt6-webengine-dev                  	       0        8        8        0        0
55869 qt6-webengine-dev-tools            	       0        8        8        0        0
55870 qt6-webengine-doc                  	       0        1        0        0        1
55871 qt6-webengine-doc-dev              	       0        1        0        0        1
55872 qt6-webengine-doc-html             	       0        1        0        0        1
55873 qt6-webengine-examples             	       0        1        0        0        1
55874 qt6-webengine-private-dev          	       0        1        1        0        0
55875 qt6-websockets-dev                 	       0        2        1        1        0
55876 qt6-websockets-doc                 	       0        1        0        0        1
55877 qt6-websockets-doc-dev             	       0        1        0        0        1
55878 qt6-websockets-doc-html            	       0        1        0        0        1
55879 qt6-websockets-examples            	       0        1        0        0        1
55880 qt6-websockets-private-dev         	       0        1        1        0        0
55881 qt6-webview-dev                    	       0        1        1        0        0
55882 qt6-webview-doc                    	       0        1        0        0        1
55883 qt6-webview-doc-html               	       0        1        0        0        1
55884 qt6-webview-examples               	       0        1        0        0        1
55885 qt6-webview-plugins                	       0        1        0        0        1
55886 qtads                              	       0        3        3        0        0
55887 qtalarm                            	       0        1        1        0        0
55888 qtbase-opensource-src-build-deps   	       0        1        0        0        1
55889 qtbase5-dev-tools-dbgsym           	       0        1        1        0        0
55890 qtbase5-doc                        	       0       37        0        0       37
55891 qtbase5-doc-dev                    	       0        4        0        0        4
55892 qtbase5-doc-html                   	       0       13        0        0       13
55893 qtbase5-examples                   	       0        6        0        0        6
55894 qtbase5-gles-dev                   	       0        1        1        0        0
55895 qtbase5-private-dev                	       0       42       42        0        0
55896 qtcharts5-doc                      	       0       34        0        0       34
55897 qtcharts5-doc-html                 	       0        9        0        0        9
55898 qtcharts5-examples                 	       0        1        0        0        1
55899 qtchess                            	       0        1        0        0        1
55900 qtconnectivity5-dev                	       0        5        5        0        0
55901 qtconnectivity5-doc                	       0       36        0        0       36
55902 qtconnectivity5-doc-html           	       0        9        0        0        9
55903 qtconnectivity5-examples           	       0        3        0        0        3
55904 qtcore4-l10n                       	       0      184        0        0      184
55905 qtcreator                          	       0       55       55        0        0
55906 qtcreator-data                     	       0       60       60        0        0
55907 qtcreator-doc                      	       0       58        0        0       58
55908 qtcurve                            	       0       23        0        0       23
55909 qtcurve-l10n                       	       0       24        0        0       24
55910 qtcurve-trinity                    	       0        2        0        0        2
55911 qtdatavisualization5-doc           	       0       30        0        0       30
55912 qtdatavisualization5-doc-html      	       0        8        0        0        8
55913 qtdatavisualization5-examples      	       0        3        0        0        3
55914 qtdbustest-runner                  	       0        1        1        0        0
55915 qtdeclarative4-kqtquickcharts-1    	       0        2        2        0        0
55916 qtdeclarative5-dev                 	       0      130      129        1        0
55917 qtdeclarative5-dev-tools           	       0      134      131        1        2
55918 qtdeclarative5-doc                 	       0       36        0        0       36
55919 qtdeclarative5-doc-dev             	       0        1        0        0        1
55920 qtdeclarative5-doc-html            	       0        9        0        0        9
55921 qtdeclarative5-examples            	       0        6        0        0        6
55922 qtdeclarative5-private-dev         	       0        7        7        0        0
55923 qtearth                            	       0        1        1        0        0
55924 qtel                               	       0        4        4        0        0
55925 qtel-icons                         	       0        4        0        0        4
55926 qtemu                              	       0        2        2        0        0
55927 qtenginio5-doc                     	       0        1        0        0        1
55928 qterm                              	       0        3        3        0        0
55929 qtfeedback5-dev                    	       0        2        2        0        0
55930 qtfeedback5-examples               	       0        3        3        0        0
55931 qtgain                             	       0        1        1        0        0
55932 qtgamepad5-doc                     	       0       21        0        0       21
55933 qtgamepad5-doc-html                	       0        8        0        0        8
55934 qtgamepad5-examples                	       0        2        0        0        2
55935 qtgraphicaleffects5-doc            	       0       36        0        0       36
55936 qtgraphicaleffects5-doc-html       	       0        9        0        0        9
55937 qtgstreamer-doc                    	       0        1        0        0        1
55938 qtgstreamer-plugins-qt5            	       0      551        0        0      551
55939 qtikz                              	       0        3        3        0        0
55940 qtiplot                            	       0        1        1        0        0
55941 qtiplot-doc                        	       0        1        0        0        1
55942 qtkeychain-qt5-dev                 	       0       19       18        1        0
55943 qtkeychain-qt6-dev                 	       0        4        4        0        0
55944 qtlocation5-dev                    	       0       10        9        1        0
55945 qtlocation5-doc                    	       0       36        0        0       36
55946 qtlocation5-doc-dev                	       0        1        0        0        1
55947 qtlocation5-doc-html               	       0        9        0        0        9
55948 qtlocation5-examples               	       0        2        0        0        2
55949 qtltools                           	       0        1        1        0        0
55950 qtmib                              	       0        1        1        0        0
55951 qtmir                              	       0        1        0        0        1
55952 qtmir-desktop                      	       0        2        0        0        2
55953 qtmultimedia5-dev                  	       0       52       51        1        0
55954 qtmultimedia5-doc                  	       0       36        0        0       36
55955 qtmultimedia5-doc-html             	       0        9        0        0        9
55956 qtmultimedia5-examples             	       0        3        0        0        3
55957 qtnetworkauth5-doc                 	       0       30        0        0       30
55958 qtnetworkauth5-doc-html            	       0        8        0        0        8
55959 qtnetworkauth5-examples            	       0        3        0        0        3
55960 qtop                               	       0        7        7        0        0
55961 qtox                               	       0       22       22        0        0
55962 qtpass                             	       0       11       11        0        0
55963 qtpdf5-dev                         	       0        2        2        0        0
55964 qtpdf5-doc                         	       0        1        0        0        1
55965 qtpdf5-doc-html                    	       0        1        0        0        1
55966 qtpdf5-examples                    	       0        3        0        0        3
55967 qtpim5-dev                         	       0        2        2        0        0
55968 qtpim5-examples                    	       0        3        0        0        3
55969 qtpositioning5-dev                 	       0       55       54        1        0
55970 qtpositioning5-doc                 	       0        1        0        0        1
55971 qtproj                             	       0        1        1        0        0
55972 qtquickcontrols2-5-dev             	       0       32       32        0        0
55973 qtquickcontrols2-5-doc             	       0       37        0        0       37
55974 qtquickcontrols2-5-doc-html        	       0       10        0        0       10
55975 qtquickcontrols2-5-examples        	       0        4        0        0        4
55976 qtquickcontrols2-5-private-dev     	       0        3        3        0        0
55977 qtquickcontrols5-doc               	       0       37        0        0       37
55978 qtquickcontrols5-doc-html          	       0       10        0        0       10
55979 qtquickcontrols5-examples          	       0        2        0        0        2
55980 qtractor                           	       0       17       17        0        0
55981 qtrassa                            	       0        1        1        0        0
55982 qtremoteobjects5-doc               	       0        1        0        0        1
55983 qtremoteobjects5-doc-html          	       0        1        0        0        1
55984 qtremoteobjects5-examples          	       0        2        0        0        2
55985 qtscript-tools                     	       0        1        1        0        0
55986 qtscript5-dev                      	       0      109      105        4        0
55987 qtscript5-doc                      	       0       36        0        0       36
55988 qtscript5-doc-html                 	       0        9        0        0        9
55989 qtscript5-examples                 	       0        2        0        0        2
55990 qtscxml5-doc                       	       0       30        0        0       30
55991 qtscxml5-doc-html                  	       0        8        0        0        8
55992 qtscxml5-examples                  	       0        2        0        0        2
55993 qtsensors5-doc                     	       0       37        0        0       37
55994 qtsensors5-doc-html                	       0       10        0        0       10
55995 qtsensors5-examples                	       0        3        0        0        3
55996 qtserialbus5-doc                   	       0       30        0        0       30
55997 qtserialbus5-doc-html              	       0        8        0        0        8
55998 qtserialbus5-examples              	       0        2        0        0        2
55999 qtserialport5-doc                  	       0       36        0        0       36
56000 qtserialport5-doc-html             	       0        9        0        0        9
56001 qtspeech5-doc                      	       0        1        0        0        1
56002 qtspeech5-doc-html                 	       0        1        0        0        1
56003 qtspeech5-examples                 	       0        2        0        0        2
56004 qtspeech5-flite-plugin             	       0       14        0        0       14
56005 qtsvg5-doc                         	       0       36        0        0       36
56006 qtsvg5-doc-html                    	       0        9        0        0        9
56007 qtsvg5-examples                    	       0        2        0        0        2
56008 qtsystems5-dev                     	       0        6        6        0        0
56009 qtsystems5-examples                	       0        4        0        0        4
56010 qtsystems5-private-dev             	       0        4        4        0        0
56011 qtsystems5-tools                   	       0        3        3        0        0
56012 qttinysa                           	       0        2        2        0        0
56013 qttools5-doc                       	       0       38        0        0       38
56014 qttools5-doc-html                  	       0       10        0        0       10
56015 qttools5-examples                  	       0        3        0        0        3
56016 qttools5-private-dev               	       0        7        7        0        0
56017 qtvirtualkeyboard-plugin           	       0       15        1        0       14
56018 qtvirtualkeyboard5-doc             	       0       34        0        0       34
56019 qtvirtualkeyboard5-doc-html        	       0        9        0        0        9
56020 qtvirtualkeyboard5-examples        	       0        2        0        0        2
56021 qtwayland5-dev-tools               	       0       15       15        0        0
56022 qtwayland5-doc                     	       0       38        0        0       38
56023 qtwayland5-doc-html                	       0        9        0        0        9
56024 qtwayland5-examples                	       0        2        0        0        2
56025 qtwayland5-private-dev             	       0        7        7        0        0
56026 qtwebchannel5-doc                  	       0       37        0        0       37
56027 qtwebchannel5-doc-html             	       0        9        0        0        9
56028 qtwebchannel5-examples             	       0        2        0        0        2
56029 qtwebengine5-dev                   	       0       46       46        0        0
56030 qtwebengine5-dev-tools             	       0       63       62        1        0
56031 qtwebengine5-doc                   	       0       67        0        0       67
56032 qtwebengine5-doc-html              	       0        9        0        0        9
56033 qtwebengine5-examples              	       0        3        0        0        3
56034 qtwebengine5-private-dev           	       0        4        4        0        0
56035 qtwebkit5-doc                      	       0        3        0        0        3
56036 qtwebkit5-examples-doc             	       0        3        0        0        3
56037 qtwebsockets5-doc                  	       0       37        0        0       37
56038 qtwebsockets5-doc-html             	       0        9        0        0        9
56039 qtwebsockets5-examples             	       0        2        0        0        2
56040 qtwebview5-doc                     	       0       35        0        0       35
56041 qtwebview5-doc-html                	       0        9        0        0        9
56042 qtwebview5-examples                	       0        2        0        0        2
56043 qtx11extras5-doc                   	       0       37        0        0       37
56044 qtx11extras5-doc-html              	       0        9        0        0        9
56045 qtxdg-dev-tools                    	       0        4        4        0        0
56046 qtxdg-tools                        	       0        7        5        2        0
56047 qtxmlpatterns5-dev-tools           	       0       26       24        0        2
56048 qtxmlpatterns5-doc                 	       0       37        0        0       37
56049 qtxmlpatterns5-doc-html            	       0        9        0        0        9
56050 qtxmlpatterns5-examples            	       0        2        0        0        2
56051 quagga                             	       0        2        0        0        2
56052 quagga-bgpd                        	       0        2        2        0        0
56053 quagga-core                        	       0        3        3        0        0
56054 quagga-isisd                       	       0        2        2        0        0
56055 quagga-ospf6d                      	       0        2        2        0        0
56056 quagga-ospfd                       	       0        3        3        0        0
56057 quagga-pimd                        	       0        2        2        0        0
56058 quagga-ripd                        	       0        2        2        0        0
56059 quagga-ripngd                      	       0        2        2        0        0
56060 quake-armagon                      	       0        2        0        0        2
56061 quake-armagon-music                	       0        1        0        0        1
56062 quake-dissolution                  	       0        2        0        0        2
56063 quake-dissolution-music            	       0        1        0        0        1
56064 quake-music                        	       0        3        0        0        3
56065 quake-registered                   	       0        4        0        0        4
56066 quake-shareware                    	       0        1        0        0        1
56067 quake2-data                        	       0        1        0        0        1
56068 quake2-demo-data                   	       0        3        0        0        3
56069 quake2-full-data                   	       0        2        0        0        2
56070 quake2-groundzero-data             	       0        1        0        0        1
56071 quake2-groundzero-music            	       0        1        0        0        1
56072 quake2-music                       	       0        2        0        0        2
56073 quake2-reckoning-data              	       0        1        0        0        1
56074 quake2-reckoning-music             	       0        1        0        0        1
56075 quake3-data                        	       0        1        1        0        0
56076 quake3-demo-data                   	       0        2        2        0        0
56077 quake4                             	       0        1        1        0        0
56078 quakespasm                         	       0       12       12        0        0
56079 quakespasm-build-deps              	       0        1        0        0        1
56080 quakespasm-dbgsym                  	       0        1        1        0        0
56081 qualys-cloud-agent                 	       0        1        0        0        1
56082 quanta-data-trinity                	       0       23       23        0        0
56083 quanta-trinity                     	       0       23       23        0        0
56084 quantum-espresso                   	       0        1        1        0        0
56085 quantum-espresso-data              	       0        1        0        0        1
56086 quarry                             	       0       11       11        0        0
56087 quarto                             	       0        4        4        0        0
56088 quassel                            	       0      134      124       10        0
56089 quassel-core                       	       0        1        1        0        0
56090 quaternion                         	       0       15       15        0        0
56091 qucs-s                             	       0        2        2        0        0
56092 quelcom                            	       0        3        3        0        0
56093 quentier-qt5                       	       0        1        1        0        0
56094 quick-n-easy-web-builder-11        	       0        1        0        0        1
56095 quickcal                           	       0        3        3        0        0
56096 quickemu                           	       0        4        4        0        0
56097 quickgui                           	       0        2        0        0        2
56098 quickplot                          	       0        2        2        0        0
56099 quickroute-gps                     	       0        1        1        0        0
56100 quicktime-utils                    	       0        6        6        0        0
56101 quicktime-x11utils                 	       0        5        5        0        0
56102 quilt-el                           	       0        2        1        1        0
56103 quintuple-agent                    	       0        1        1        0        0
56104 quisk                              	       0        7        7        0        0
56105 quitcount                          	       0        1        1        0        0
56106 quiteinsane                        	       0        1        1        0        0
56107 quollwriter                        	       0        1        1        0        0
56108 qupzilla                           	       0        6        5        0        1
56109 qupzilla-plugin-kwallet            	       0        1        0        0        1
56110 qutebrowser-qtwebengine            	       0       54        0        0       54
56111 qutebrowser-qtwebkit               	       0        2        0        0        2
56112 qutemol                            	       0        1        1        0        0
56113 quvi                               	       0       10       10        0        0
56114 qvge                               	       0        2        2        0        0
56115 qvidcap                            	       0        1        1        0        0
56116 qview                              	       0        1        1        0        0
56117 qweborf                            	       0        3        3        0        0
56118 qwertone                           	       0        3        3        0        0
56119 qwinff                             	       0       14       14        0        0
56120 qwo                                	       0        1        1        0        0
56121 qxgedit                            	       0        4        4        0        0
56122 qxp2odg                            	       0        1        1        0        0
56123 qxw                                	       0        8        8        0        0
56124 r-base                             	       0       75        0        0       75
56125 r-base-dev                         	       0      130        0        0      130
56126 r-base-html                        	       0       93       88        5        0
56127 r-bioc-all                         	       0        1        1        0        0
56128 r-bioc-annotate                    	       0        1        1        0        0
56129 r-bioc-annotationdbi               	       0        1        1        0        0
56130 r-bioc-beachmat                    	       0        1        1        0        0
56131 r-bioc-biobase                     	       0       34       33        1        0
56132 r-bioc-biocgenerics                	       0       34       33        1        0
56133 r-bioc-biocneighbors               	       0        1        1        0        0
56134 r-bioc-biocparallel                	       0        1        1        0        0
56135 r-bioc-biocsingular                	       0        1        1        0        0
56136 r-bioc-biocstyle                   	       0        3        3        0        0
56137 r-bioc-biocversion                 	       0       33       32        1        0
56138 r-bioc-biocviews                   	       0        1        1        0        0
56139 r-bioc-biostrings                  	       0        1        1        0        0
56140 r-bioc-bluster                     	       0        1        1        0        0
56141 r-bioc-complexheatmap              	       0        1        1        0        0
56142 r-bioc-consensusclusterplus        	       0        1        1        0        0
56143 r-bioc-degreport                   	       0        1        1        0        0
56144 r-bioc-delayedarray                	       0        1        1        0        0
56145 r-bioc-delayedmatrixstats          	       0        1        1        0        0
56146 r-bioc-deseq2                      	       0        1        1        0        0
56147 r-bioc-edger                       	       0        1        1        0        0
56148 r-bioc-genefilter                  	       0        1        1        0        0
56149 r-bioc-geneplotter                 	       0        1        1        0        0
56150 r-bioc-genomeinfodb                	       0        1        1        0        0
56151 r-bioc-genomeinfodbdata            	       0        1        1        0        0
56152 r-bioc-genomicalignments           	       0        1        1        0        0
56153 r-bioc-genomicranges               	       0        1        1        0        0
56154 r-bioc-glmgampoi                   	       0        1        1        0        0
56155 r-bioc-go.db                       	       0        1        1        0        0
56156 r-bioc-graph                       	       0        6        6        0        0
56157 r-bioc-hdf5array                   	       0        1        1        0        0
56158 r-bioc-hsmmsinglecell              	       0        1        1        0        0
56159 r-bioc-iranges                     	       0        1        1        0        0
56160 r-bioc-limma                       	       0        1        1        0        0
56161 r-bioc-matrixgenerics              	       0        1        1        0        0
56162 r-bioc-monocle                     	       0        1        1        0        0
56163 r-bioc-org.hs.eg.db                	       0        1        1        0        0
56164 r-bioc-rbgl                        	       0        1        1        0        0
56165 r-bioc-rhdf5                       	       0        1        1        0        0
56166 r-bioc-rhdf5filters                	       0        1        1        0        0
56167 r-bioc-rhdf5lib                    	       0        1        1        0        0
56168 r-bioc-rhtslib                     	       0        1        1        0        0
56169 r-bioc-rsamtools                   	       0        1        1        0        0
56170 r-bioc-s4vectors                   	       0        1        1        0        0
56171 r-bioc-scran                       	       0        1        1        0        0
56172 r-bioc-scuttle                     	       0        1        1        0        0
56173 r-bioc-shortread                   	       0        1        1        0        0
56174 r-bioc-singlecellexperiment        	       0        1        1        0        0
56175 r-bioc-sparsematrixstats           	       0        1        1        0        0
56176 r-bioc-summarizedexperiment        	       0        1        1        0        0
56177 r-bioc-tximport                    	       0        1        1        0        0
56178 r-bioc-xvector                     	       0        1        1        0        0
56179 r-bioc-zlibbioc                    	       0        1        1        0        0
56180 r-cran-abind                       	       0       37       36        1        0
56181 r-cran-acepack                     	       0       37       36        1        0
56182 r-cran-actuar                      	       0        8        8        0        0
56183 r-cran-ade4                        	       0        2        2        0        0
56184 r-cran-adegraphics                 	       0        1        1        0        0
56185 r-cran-admisc                      	       0       32       31        1        0
56186 r-cran-aer                         	       0       35       34        1        0
56187 r-cran-afex                        	       0        7        7        0        0
56188 r-cran-airr                        	       0        1        1        0        0
56189 r-cran-amelia                      	       0        6        6        0        0
56190 r-cran-amore                       	       0        3        3        0        0
56191 r-cran-ape                         	       0        9        9        0        0
56192 r-cran-areal                       	       0        4        4        0        0
56193 r-cran-argparse                    	       0        2        2        0        0
56194 r-cran-argparser                   	       0        1        1        0        0
56195 r-cran-arm                         	       0       11       11        0        0
56196 r-cran-askpass                     	       0       42       40        2        0
56197 r-cran-assertthat                  	       0       39       37        2        0
56198 r-cran-av                          	       0       32       31        1        0
56199 r-cran-backports                   	       0       39       38        1        0
56200 r-cran-base64enc                   	       0       41       40        1        0
56201 r-cran-base64url                   	       0        4        4        0        0
56202 r-cran-batchjobs                   	       0        5        5        0        0
56203 r-cran-batchtools                  	       0        4        4        0        0
56204 r-cran-bayesfactor                 	       0        8        8        0        0
56205 r-cran-bayesfm                     	       0        6        6        0        0
56206 r-cran-bayesm                      	       0        2        2        0        0
56207 r-cran-bayesplot                   	       0        8        8        0        0
56208 r-cran-bayestestr                  	       0        6        6        0        0
56209 r-cran-bbmisc                      	       0        6        6        0        0
56210 r-cran-bbmle                       	       0        7        7        0        0
56211 r-cran-bdsmatrix                   	       0       34       33        1        0
56212 r-cran-beeswarm                    	       0        7        7        0        0
56213 r-cran-bench                       	       0       33       32        1        0
56214 r-cran-bh                          	       0       36       35        1        0
56215 r-cran-biasedurn                   	       0        6        6        0        0
56216 r-cran-bibtex                      	       0        4        3        1        0
56217 r-cran-bindr                       	       0        4        4        0        0
56218 r-cran-bindrcpp                    	       0        4        4        0        0
56219 r-cran-biocmanager                 	       0       34       33        1        0
56220 r-cran-bit                         	       0       39       38        1        0
56221 r-cran-bit64                       	       0       39       38        1        0
56222 r-cran-bitops                      	       0       37       36        1        0
56223 r-cran-blme                        	       0        6        6        0        0
56224 r-cran-blob                        	       0       39       38        1        0
56225 r-cran-blockmodeling               	       0        1        1        0        0
56226 r-cran-bms                         	       0        1        1        0        0
56227 r-cran-bold                        	       0        1        1        0        0
56228 r-cran-bradleyterry2               	       0        1        1        0        0
56229 r-cran-brew                        	       0       38       37        1        0
56230 r-cran-brglm                       	       0        1        1        0        0
56231 r-cran-brglm2                      	       0        5        5        0        0
56232 r-cran-bridgesampling              	       0        8        8        0        0
56233 r-cran-brio                        	       0       38       37        1        0
56234 r-cran-brms                        	       0        8        8        0        0
56235 r-cran-brobdingnag                 	       0        8        8        0        0
56236 r-cran-broom                       	       0       36       35        1        0
56237 r-cran-broom.helpers               	       0        5        5        0        0
56238 r-cran-broom.mixed                 	       0        8        8        0        0
56239 r-cran-bslib                       	       0       37       36        1        0
56240 r-cran-ca                          	       0        5        5        0        0
56241 r-cran-cachem                      	       0       38       37        1        0
56242 r-cran-caic4                       	       0        6        6        0        0
56243 r-cran-cairo                       	       0       34       33        1        0
56244 r-cran-cairodevice                 	       0        1        1        0        0
56245 r-cran-callr                       	       0       38       37        1        0
56246 r-cran-car                         	       0       35       34        1        0
56247 r-cran-cardata                     	       0       35       34        1        0
56248 r-cran-caret                       	       0       36       35        1        0
56249 r-cran-catools                     	       0       35       34        1        0
56250 r-cran-cba                         	       0       34       33        1        0
56251 r-cran-cellranger                  	       0       35       34        1        0
56252 r-cran-checkmate                   	       0       37       36        1        0
56253 r-cran-chk                         	       0        6        6        0        0
56254 r-cran-chron                       	       0       36       35        1        0
56255 r-cran-circlize                    	       0        3        3        0        0
56256 r-cran-classint                    	       0       35       34        1        0
56257 r-cran-cli                         	       0       44       43        1        0
56258 r-cran-cliapp                      	       0       32       31        1        0
56259 r-cran-clipr                       	       0       38       37        1        0
56260 r-cran-clisymbols                  	       0        1        1        0        0
56261 r-cran-clock                       	       0       35       34        1        0
56262 r-cran-clubsandwich                	       0       33       32        1        0
56263 r-cran-clue                        	       0        7        7        0        0
56264 r-cran-cmdfun                      	       0        1        1        0        0
56265 r-cran-cmprsk                      	       0        5        5        0        0
56266 r-cran-coda                        	       0       18       18        0        0
56267 r-cran-coin                        	       0        6        6        0        0
56268 r-cran-collapse                    	       0       34       33        1        0
56269 r-cran-colorspace                  	       0       40       39        1        0
56270 r-cran-colourpicker                	       0        9        9        0        0
56271 r-cran-combinat                    	       0        3        3        0        0
56272 r-cran-commonmark                  	       0       40       39        1        0
56273 r-cran-conditionz                  	       0        1        1        0        0
56274 r-cran-conflicted                  	       0        5        5        0        0
56275 r-cran-conquer                     	       0       36       35        1        0
56276 r-cran-contfrac                    	       0        9        9        0        0
56277 r-cran-conting                     	       0        1        1        0        0
56278 r-cran-corpcor                     	       0        1        1        0        0
56279 r-cran-corrplot                    	       0        5        5        0        0
56280 r-cran-covr                        	       0       35       34        1        0
56281 r-cran-cowplot                     	       0        8        8        0        0
56282 r-cran-cpp11                       	       0       38       37        1        0
56283 r-cran-crayon                      	       0       43       42        1        0
56284 r-cran-credentials                 	       0       36       35        1        0
56285 r-cran-crul                        	       0        7        7        0        0
56286 r-cran-ctmcd                       	       0        1        1        0        0
56287 r-cran-cubature                    	       0        1        1        0        0
56288 r-cran-cubelyr                     	       0       32       31        1        0
56289 r-cran-curl                        	       0       39       38        1        0
56290 r-cran-cutpointr                   	       0        1        1        0        0
56291 r-cran-cvar                        	       0        6        6        0        0
56292 r-cran-cvst                        	       0       35       34        1        0
56293 r-cran-cyclocomp                   	       0        5        5        0        0
56294 r-cran-data.table                  	       0       40       39        1        0
56295 r-cran-datawizard                  	       0        6        6        0        0
56296 r-cran-date                        	       0        7        7        0        0
56297 r-cran-dbi                         	       0       39       38        1        0
56298 r-cran-dbitest                     	       0       36       35        1        0
56299 r-cran-dbplyr                      	       0       35       34        1        0
56300 r-cran-dbscan                      	       0        6        6        0        0
56301 r-cran-ddalpha                     	       0       33       32        1        0
56302 r-cran-ddrtree                     	       0        1        1        0        0
56303 r-cran-deal                        	       0        1        1        0        0
56304 r-cran-decor                       	       0       34       33        1        0
56305 r-cran-deldir                      	       0       35       34        1        0
56306 r-cran-dendextend                  	       0        6        6        0        0
56307 r-cran-densityclust                	       0        1        1        0        0
56308 r-cran-deoptim                     	       0        1        1        0        0
56309 r-cran-deoptimr                    	       0       35       34        1        0
56310 r-cran-deriv                       	       0        5        5        0        0
56311 r-cran-desc                        	       0       40       39        1        0
56312 r-cran-desolve                     	       0        8        8        0        0
56313 r-cran-devtools                    	       0       35       34        1        0
56314 r-cran-dfoptim                     	       0       33       32        1        0
56315 r-cran-diagnosismed                	       0        1        1        0        0
56316 r-cran-diagram                     	       0        7        7        0        0
56317 r-cran-dials                       	       0        3        3        0        0
56318 r-cran-dicedesign                  	       0        3        3        0        0
56319 r-cran-dichromat                   	       0        7        7        0        0
56320 r-cran-diffobj                     	       0       37       36        1        0
56321 r-cran-digest                      	       0       43       42        1        0
56322 r-cran-dimred                      	       0       33       32        1        0
56323 r-cran-diptest                     	       0        5        5        0        0
56324 r-cran-distributional              	       0        8        8        0        0
56325 r-cran-doby                        	       0        5        5        0        0
56326 r-cran-docopt                      	       0       34       33        1        0
56327 r-cran-domc                        	       0       35       34        1        0
56328 r-cran-doparallel                  	       0       35       34        1        0
56329 r-cran-dorng                       	       0        6        6        0        0
56330 r-cran-dotcall64                   	       0       34       33        1        0
56331 r-cran-downlit                     	       0       34       33        1        0
56332 r-cran-dplyr                       	       0       39       38        1        0
56333 r-cran-dqrng                       	       0        1        1        0        0
56334 r-cran-drr                         	       0       35       34        1        0
56335 r-cran-dt                          	       0       35       34        1        0
56336 r-cran-dtplyr                      	       0        9        9        0        0
56337 r-cran-dygraphs                    	       0       35       34        1        0
56338 r-cran-dynamictreecut              	       0        3        3        0        0
56339 r-cran-dynlm                       	       0       34       33        1        0
56340 r-cran-e1071                       	       0       36       35        1        0
56341 r-cran-eaf                         	       0        3        3        0        0
56342 r-cran-earth                       	       0       33       32        1        0
56343 r-cran-eco                         	       0        1        1        0        0
56344 r-cran-ecodist                     	       0        1        1        0        0
56345 r-cran-effects                     	       0        7        7        0        0
56346 r-cran-effectsize                  	       0        6        6        0        0
56347 r-cran-egg                         	       0        2        2        0        0
56348 r-cran-ellipse                     	       0       34       33        1        0
56349 r-cran-ellipsis                    	       0       41       40        1        0
56350 r-cran-elliptic                    	       0        8        8        0        0
56351 r-cran-emdbook                     	       0        6        6        0        0
56352 r-cran-emmeans                     	       0       10       10        0        0
56353 r-cran-emoa                        	       0        3        3        0        0
56354 r-cran-energy                      	       0       32       31        1        0
56355 r-cran-enrichwith                  	       0        5        5        0        0
56356 r-cran-epi                         	       0        5        5        0        0
56357 r-cran-epir                        	       0        6        6        0        0
56358 r-cran-epitools                    	       0        1        1        0        0
56359 r-cran-erm                         	       0        1        1        0        0
56360 r-cran-estimability                	       0       34       33        1        0
56361 r-cran-estimatr                    	       0        6        6        0        0
56362 r-cran-etm                         	       0        5        5        0        0
56363 r-cran-evaluate                    	       0       42       41        1        0
56364 r-cran-evd                         	       0       33       32        1        0
56365 r-cran-exactextractr               	       0        4        4        0        0
56366 r-cran-expint                      	       0        8        8        0        0
56367 r-cran-expm                        	       0       11       11        0        0
56368 r-cran-extradistr                  	       0        8        8        0        0
56369 r-cran-factoextra                  	       0        5        5        0        0
56370 r-cran-factominer                  	       0        6        6        0        0
56371 r-cran-fail                        	       0        1        1        0        0
56372 r-cran-fansi                       	       0       43       42        1        0
56373 r-cran-farver                      	       0       40       39        1        0
56374 r-cran-fasianoptions               	       0        1        1        0        0
56375 r-cran-fassets                     	       0        1        1        0        0
56376 r-cran-fastcluster                 	       0        2        2        0        0
56377 r-cran-fastica                     	       0       35       34        1        0
56378 r-cran-fastmap                     	       0       39       38        1        0
56379 r-cran-fastmatch                   	       0       35       34        1        0
56380 r-cran-fauxpas                     	       0        5        5        0        0
56381 r-cran-fbasics                     	       0        8        8        0        0
56382 r-cran-fbonds                      	       0        1        1        0        0
56383 r-cran-fcopulae                    	       0        1        1        0        0
56384 r-cran-fexoticoptions              	       0        1        1        0        0
56385 r-cran-fextremes                   	       0        1        1        0        0
56386 r-cran-fgarch                      	       0        6        6        0        0
56387 r-cran-fields                      	       0       34       33        1        0
56388 r-cran-filehash                    	       0       36       35        1        0
56389 r-cran-filelock                    	       0        4        3        1        0
56390 r-cran-fimport                     	       0        1        1        0        0
56391 r-cran-findpython                  	       0        2        2        0        0
56392 r-cran-fit.models                  	       0        5        5        0        0
56393 r-cran-flashclust                  	       0        6        6        0        0
56394 r-cran-flexmix                     	       0        5        5        0        0
56395 r-cran-flextable                   	       0        6        6        0        0
56396 r-cran-fmultivar                   	       0        1        1        0        0
56397 r-cran-fnn                         	       0       34       33        1        0
56398 r-cran-fnonlinear                  	       0        1        1        0        0
56399 r-cran-fontawesome                 	       0       37       36        1        0
56400 r-cran-fontbitstreamvera           	       0       35       34        1        0
56401 r-cran-fontliberation              	       0       36       35        1        0
56402 r-cran-fontquiver                  	       0       35       34        1        0
56403 r-cran-foptions                    	       0        1        1        0        0
56404 r-cran-forcats                     	       0       35       34        1        0
56405 r-cran-foreach                     	       0       36       35        1        0
56406 r-cran-forecast                    	       0        6        6        0        0
56407 r-cran-formatr                     	       0        8        8        0        0
56408 r-cran-formattable                 	       0       31       30        1        0
56409 r-cran-formula                     	       0       36       35        1        0
56410 r-cran-fpc                         	       0        5        5        0        0
56411 r-cran-fportfolio                  	       0        1        1        0        0
56412 r-cran-fracdiff                    	       0        6        6        0        0
56413 r-cran-freetypeharfbuzz            	       0        4        4        0        0
56414 r-cran-fregression                 	       0        1        1        0        0
56415 r-cran-fs                          	       0       40       39        1        0
56416 r-cran-ftrading                    	       0        1        1        0        0
56417 r-cran-fts                         	       0       28       27        1        0
56418 r-cran-funitroots                  	       0        1        1        0        0
56419 r-cran-furrr                       	       0       34       33        1        0
56420 r-cran-futile.logger               	       0        7        7        0        0
56421 r-cran-futile.options              	       0        7        7        0        0
56422 r-cran-future                      	       0       37       36        1        0
56423 r-cran-future.apply                	       0       35       34        1        0
56424 r-cran-g.data                      	       0        1        1        0        0
56425 r-cran-gam                         	       0        7        7        0        0
56426 r-cran-gamm4                       	       0        8        8        0        0
56427 r-cran-gargle                      	       0        9        9        0        0
56428 r-cran-gbm                         	       0       10       10        0        0
56429 r-cran-gbrd                        	       0       33       32        1        0
56430 r-cran-gbutils                     	       0        6        6        0        0
56431 r-cran-gclus                       	       0        3        3        0        0
56432 r-cran-gdata                       	       0       36       35        1        0
56433 r-cran-gdtools                     	       0        9        9        0        0
56434 r-cran-gee                         	       0        7        7        0        0
56435 r-cran-geepack                     	       0       34       33        1        0
56436 r-cran-genabel                     	       0        1        1        0        0
56437 r-cran-genabel.data                	       0        1        1        0        0
56438 r-cran-generics                    	       0       38       37        1        0
56439 r-cran-genetics                    	       0        1        1        0        0
56440 r-cran-geoknife                    	       0       28       27        1        0
56441 r-cran-geometry                    	       0       35       34        1        0
56442 r-cran-geosphere                   	       0       34       33        1        0
56443 r-cran-gert                        	       0       36       35        1        0
56444 r-cran-getopt                      	       0        2        2        0        0
56445 r-cran-getoptlong                  	       0        2        2        0        0
56446 r-cran-gfonts                      	       0        6        6        0        0
56447 r-cran-ggalluvial                  	       0        2        2        0        0
56448 r-cran-ggally                      	       0        7        7        0        0
56449 r-cran-gganimate                   	       0       33       32        1        0
56450 r-cran-ggbeeswarm                  	       0        6        6        0        0
56451 r-cran-ggdendro                    	       0        2        2        0        0
56452 r-cran-ggeffects                   	       0        5        5        0        0
56453 r-cran-ggforce                     	       0        5        5        0        0
56454 r-cran-ggfortify                   	       0        8        8        0        0
56455 r-cran-ggplot2                     	       0       39       38        1        0
56456 r-cran-ggpubr                      	       0        5        5        0        0
56457 r-cran-ggrepel                     	       0        7        7        0        0
56458 r-cran-ggridges                    	       0        8        8        0        0
56459 r-cran-ggsci                       	       0        5        5        0        0
56460 r-cran-ggsignif                    	       0        5        5        0        0
56461 r-cran-ggstats                     	       0        5        5        0        0
56462 r-cran-ggthemes                    	       0        6        6        0        0
56463 r-cran-gh                          	       0       37       36        1        0
56464 r-cran-git2r                       	       0        7        7        0        0
56465 r-cran-gitcreds                    	       0       36       35        1        0
56466 r-cran-glmmtmb                     	       0        8        8        0        0
56467 r-cran-glmnet                      	       0       10       10        0        0
56468 r-cran-globaloptions               	       0        4        4        0        0
56469 r-cran-globals                     	       0       37       36        1        0
56470 r-cran-glue                        	       0       44       43        1        0
56471 r-cran-gmaps                       	       0        1        1        0        0
56472 r-cran-gmm                         	       0        3        3        0        0
56473 r-cran-gmodels                     	       0        2        2        0        0
56474 r-cran-gmp                         	       0        6        6        0        0
56475 r-cran-gnm                         	       0        2        2        0        0
56476 r-cran-goftest                     	       0       35       34        1        0
56477 r-cran-googledrive                 	       0        9        9        0        0
56478 r-cran-googlesheets4               	       0        9        9        0        0
56479 r-cran-googlevis                   	       0        4        4        0        0
56480 r-cran-goplot                      	       0        1        1        0        0
56481 r-cran-gower                       	       0       36       35        1        0
56482 r-cran-gparotation                 	       0        8        8        0        0
56483 r-cran-gplots                      	       0       35       34        1        0
56484 r-cran-gregmisc                    	       0        1        1        0        0
56485 r-cran-gridbase                    	       0       32       31        1        0
56486 r-cran-gridextra                   	       0       37       36        1        0
56487 r-cran-gridgraphics                	       0        6        6        0        0
56488 r-cran-gridsvg                     	       0        5        5        0        0
56489 r-cran-gridtext                    	       0        1        1        0        0
56490 r-cran-gsl                         	       0       34       33        1        0
56491 r-cran-gss                         	       0        8        8        0        0
56492 r-cran-gstat                       	       0       32       31        1        0
56493 r-cran-gtable                      	       0       39       38        1        0
56494 r-cran-gtools                      	       0       36       35        1        0
56495 r-cran-gwidgets                    	       0        2        1        1        0
56496 r-cran-gwidgetstcltk               	       0        2        1        1        0
56497 r-cran-hardhat                     	       0       35       34        1        0
56498 r-cran-haven                       	       0       35       34        1        0
56499 r-cran-hdf5                        	       0        1        1        0        0
56500 r-cran-heatmaply                   	       0        2        2        0        0
56501 r-cran-here                        	       0       34       33        1        0
56502 r-cran-hexbin                      	       0       34       33        1        0
56503 r-cran-highr                       	       0       41       40        1        0
56504 r-cran-hmisc                       	       0       36       35        1        0
56505 r-cran-hms                         	       0       39       38        1        0
56506 r-cran-hsaur3                      	       0        9        9        0        0
56507 r-cran-htmltable                   	       0       37       36        1        0
56508 r-cran-htmltools                   	       0       41       40        1        0
56509 r-cran-htmlwidgets                 	       0       40       39        1        0
56510 r-cran-httpcode                    	       0        7        7        0        0
56511 r-cran-httptest2                   	       0        3        3        0        0
56512 r-cran-httpuv                      	       0       41       40        1        0
56513 r-cran-httr                        	       0       38       37        1        0
56514 r-cran-httr2                       	       0       35       34        1        0
56515 r-cran-hunspell                    	       0       33       32        1        0
56516 r-cran-hwriter                     	       0        2        2        0        0
56517 r-cran-hypergeo                    	       0        8        8        0        0
56518 r-cran-ids                         	       0        9        9        0        0
56519 r-cran-igraph                      	       0       35       34        1        0
56520 r-cran-ini                         	       0       37       36        1        0
56521 r-cran-inline                      	       0        9        9        0        0
56522 r-cran-insight                     	       0        7        7        0        0
56523 r-cran-intergraph                  	       0        5        5        0        0
56524 r-cran-interp                      	       0       35       34        1        0
56525 r-cran-intervals                   	       0       33       32        1        0
56526 r-cran-inum                        	       0        5        5        0        0
56527 r-cran-ipred                       	       0       36       35        1        0
56528 r-cran-irace                       	       0        3        3        0        0
56529 r-cran-irdisplay                   	       0        6        6        0        0
56530 r-cran-irkernel                    	       0        1        1        0        0
56531 r-cran-irlba                       	       0       35       34        1        0
56532 r-cran-iso                         	       0        2        2        0        0
56533 r-cran-isoband                     	       0       39       38        1        0
56534 r-cran-isocodes                    	       0        5        5        0        0
56535 r-cran-isoweek                     	       0        1        1        0        0
56536 r-cran-iterators                   	       0       36       35        1        0
56537 r-cran-itertools                   	       0        5        5        0        0
56538 r-cran-its                         	       0        1        1        0        0
56539 r-cran-janeaustenr                 	       0        5        5        0        0
56540 r-cran-jomo                        	       0        8        8        0        0
56541 r-cran-jpeg                        	       0       36       35        1        0
56542 r-cran-jquerylib                   	       0       37       36        1        0
56543 r-cran-jsonlite                    	       0       42       41        1        0
56544 r-cran-kableextra                  	       0        5        5        0        0
56545 r-cran-kernlab                     	       0       35       34        1        0
56546 r-cran-keyring                     	       0        4        3        1        0
56547 r-cran-kmi                         	       0        5        5        0        0
56548 r-cran-knitr                       	       0       41       40        1        0
56549 r-cran-ks                          	       0       34       33        1        0
56550 r-cran-labeling                    	       0       40       39        1        0
56551 r-cran-labelled                    	       0        5        5        0        0
56552 r-cran-lambda.r                    	       0        7        7        0        0
56553 r-cran-lamw                        	       0        1        1        0        0
56554 r-cran-lasso2                      	       0        1        1        0        0
56555 r-cran-later                       	       0       41       40        1        0
56556 r-cran-latticeextra                	       0       36       35        1        0
56557 r-cran-lava                        	       0       36       35        1        0
56558 r-cran-lavaan                      	       0       10       10        0        0
56559 r-cran-lavasearch2                 	       0       32       31        1        0
56560 r-cran-lazyeval                    	       0       39       38        1        0
56561 r-cran-lbfgsb3c                    	       0       33       32        1        0
56562 r-cran-leaps                       	       0        6        6        0        0
56563 r-cran-learnbayes                  	       0        8        8        0        0
56564 r-cran-lexrankr                    	       0        1        1        0        0
56565 r-cran-lhs                         	       0        4        4        0        0
56566 r-cran-libcoin                     	       0        6        6        0        0
56567 r-cran-lifecycle                   	       0       42       41        1        0
56568 r-cran-linprog                     	       0       35       34        1        0
56569 r-cran-lintr                       	       0        5        5        0        0
56570 r-cran-listenv                     	       0       37       36        1        0
56571 r-cran-littler                     	       0       45       44        1        0
56572 r-cran-lme4                        	       0       36       35        1        0
56573 r-cran-lmertest                    	       0       34       33        1        0
56574 r-cran-lmtest                      	       0       35       34        1        0
56575 r-cran-lobstr                      	       0       32       31        1        0
56576 r-cran-locfit                      	       0       35       34        1        0
56577 r-cran-logcondens                  	       0       33       32        1        0
56578 r-cran-logging                     	       0        1        1        0        0
56579 r-cran-logspline                   	       0        7        7        0        0
56580 r-cran-loo                         	       0        8        8        0        0
56581 r-cran-lpsolve                     	       0       35       34        1        0
56582 r-cran-lsd                         	       0        1        1        0        0
56583 r-cran-lsmeans                     	       0        5        5        0        0
56584 r-cran-lubridate                   	       0       39       38        1        0
56585 r-cran-luminescence                	       0        1        1        0        0
56586 r-cran-lwgeom                      	       0       33       32        1        0
56587 r-cran-magic                       	       0       35       34        1        0
56588 r-cran-magick                      	       0       33       32        1        0
56589 r-cran-magrittr                    	       0       44       43        1        0
56590 r-cran-manipulatewidgets           	       0        1        1        0        0
56591 r-cran-mapdata                     	       0       34       33        1        0
56592 r-cran-mapproj                     	       0       35       34        1        0
56593 r-cran-maps                        	       0       35       34        1        0
56594 r-cran-maptools                    	       0       31       30        1        0
56595 r-cran-maptree                     	       0        2        2        0        0
56596 r-cran-marginaleffects             	       0        5        5        0        0
56597 r-cran-markdown                    	       0       40       39        1        0
56598 r-cran-matching                    	       0        1        1        0        0
56599 r-cran-matchit                     	       0        6        6        0        0
56600 r-cran-mathjaxr                    	       0       33       32        1        0
56601 r-cran-matlab                      	       0        1        1        0        0
56602 r-cran-matrixcalc                  	       0        4        4        0        0
56603 r-cran-matrixmodels                	       0       37       36        1        0
56604 r-cran-matrixstats                 	       0       36       35        1        0
56605 r-cran-maxlik                      	       0       34       33        1        0
56606 r-cran-mclogit                     	       0        5        5        0        0
56607 r-cran-mclust                      	       0       36       35        1        0
56608 r-cran-mcmc                        	       0        3        3        0        0
56609 r-cran-mcmcpack                    	       0        3        3        0        0
56610 r-cran-mda                         	       0       34       33        1        0
56611 r-cran-memisc                      	       0        6        6        0        0
56612 r-cran-memoise                     	       0       40       39        1        0
56613 r-cran-mertools                    	       0        6        6        0        0
56614 r-cran-metadat                     	       0       33       32        1        0
56615 r-cran-metafor                     	       0       33       32        1        0
56616 r-cran-mets                        	       0       34       33        1        0
56617 r-cran-mfilter                     	       0        1        1        0        0
56618 r-cran-mi                          	       0        5        5        0        0
56619 r-cran-mice                        	       0        8        8        0        0
56620 r-cran-microbenchmark              	       0        5        5        0        0
56621 r-cran-mime                        	       0       41       40        1        0
56622 r-cran-miniui                      	       0       34       33        1        0
56623 r-cran-minpack.lm                  	       0        1        1        0        0
56624 r-cran-minqa                       	       0       36       35        1        0
56625 r-cran-misc3d                      	       0       34       33        1        0
56626 r-cran-misctools                   	       0       34       33        1        0
56627 r-cran-mitml                       	       0        8        8        0        0
56628 r-cran-mitools                     	       0        8        8        0        0
56629 r-cran-mlbench                     	       0       35       34        1        0
56630 r-cran-mlmetrics                   	       0       34       33        1        0
56631 r-cran-mlmrev                      	       0       33       32        1        0
56632 r-cran-mlr                         	       0        3        3        0        0
56633 r-cran-mnormt                      	       0       12       12        0        0
56634 r-cran-mnp                         	       0        2        2        0        0
56635 r-cran-mockery                     	       0       36       35        1        0
56636 r-cran-mockr                       	       0       37       36        1        0
56637 r-cran-modeest                     	       0        1        1        0        0
56638 r-cran-modeldata                   	       0       34       33        1        0
56639 r-cran-modelmetrics                	       0       36       35        1        0
56640 r-cran-modelr                      	       0       10       10        0        0
56641 r-cran-modeltools                  	       0        6        6        0        0
56642 r-cran-msm                         	       0       10       10        0        0
56643 r-cran-multcomp                    	       0       34       33        1        0
56644 r-cran-multcompview                	       0        7        7        0        0
56645 r-cran-multicool                   	       0       34       33        1        0
56646 r-cran-multicore                   	       0        5        5        0        0
56647 r-cran-munsell                     	       0       40       39        1        0
56648 r-cran-mvnormtest                  	       0        1        1        0        0
56649 r-cran-mvtnorm                     	       0       36       35        1        0
56650 r-cran-nanoarrow                   	       0        5        5        0        0
56651 r-cran-nanotime                    	       0        4        4        0        0
56652 r-cran-natserv                     	       0        1        1        0        0
56653 r-cran-ncdf4                       	       0       33       32        1        0
56654 r-cran-ncdfgeom                    	       0       32       31        1        0
56655 r-cran-ncmeta                      	       0       32       31        1        0
56656 r-cran-network                     	       0       10       10        0        0
56657 r-cran-nfactors                    	       0        6        6        0        0
56658 r-cran-nleqslv                     	       0       34       33        1        0
56659 r-cran-nloptr                      	       0       36       35        1        0
56660 r-cran-nmf                         	       0       31       30        1        0
56661 r-cran-nnls                        	       0        4        4        0        0
56662 r-cran-nortest                     	       0        2        2        0        0
56663 r-cran-nozzle.r1                   	       0        1        1        0        0
56664 r-cran-numderiv                    	       0       36       35        1        0
56665 r-cran-officer                     	       0        6        6        0        0
56666 r-cran-openssl                     	       0       42       40        2        0
56667 r-cran-openxlsx                    	       0       35       34        1        0
56668 r-cran-optimparallel               	       0        5        5        0        0
56669 r-cran-optimx                      	       0       33       32        1        0
56670 r-cran-optparse                    	       0        1        1        0        0
56671 r-cran-ordinal                     	       0        8        8        0        0
56672 r-cran-packrat                     	       0       33       32        1        0
56673 r-cran-palmerpenguins              	       0       34       33        1        0
56674 r-cran-pammtools                   	       0        4        4        0        0
56675 r-cran-pan                         	       0        9        9        0        0
56676 r-cran-pander                      	       0        8        8        0        0
56677 r-cran-parallelly                  	       0       37       36        1        0
56678 r-cran-parallelmap                 	       0        3        3        0        0
56679 r-cran-parameters                  	       0        6        6        0        0
56680 r-cran-paramhelpers                	       0        3        3        0        0
56681 r-cran-party                       	       0        5        5        0        0
56682 r-cran-partykit                    	       0        5        5        0        0
56683 r-cran-patchwork                   	       0        6        6        0        0
56684 r-cran-patrick                     	       0        5        5        0        0
56685 r-cran-pbapply                     	       0       36       35        1        0
56686 r-cran-pbdzmq                      	       0        1        1        0        0
56687 r-cran-pbivnorm                    	       0       10       10        0        0
56688 r-cran-pbkrtest                    	       0       35       34        1        0
56689 r-cran-pbmcapply                   	       0        5        5        0        0
56690 r-cran-pcapp                       	       0       33       32        1        0
56691 r-cran-pcict                       	       0       32       31        1        0
56692 r-cran-pdftools                    	       0        7        7        0        0
56693 r-cran-pec                         	       0        5        5        0        0
56694 r-cran-performance                 	       0        6        6        0        0
56695 r-cran-permute                     	       0       32       31        1        0
56696 r-cran-phangorn                    	       0        9        9        0        0
56697 r-cran-pheatmap                    	       0        1        1        0        0
56698 r-cran-pillar                      	       0       43       42        1        0
56699 r-cran-pingr                       	       0        5        5        0        0
56700 r-cran-pixmap                      	       0        2        2        0        0
56701 r-cran-pkgbuild                    	       0       38       37        1        0
56702 r-cran-pkgconfig                   	       0       43       42        1        0
56703 r-cran-pkgdown                     	       0       33       32        1        0
56704 r-cran-pkgkitten                   	       0       44       43        1        0
56705 r-cran-pkgload                     	       0       39       38        1        0
56706 r-cran-pkgmaker                    	       0        2        2        0        0
56707 r-cran-pki                         	       0        4        4        0        0
56708 r-cran-plm                         	       0       33       32        1        0
56709 r-cran-plogr                       	       0       37       36        1        0
56710 r-cran-plot3d                      	       0       33       32        1        0
56711 r-cran-plotly                      	       0        3        3        0        0
56712 r-cran-plotmo                      	       0       34       33        1        0
56713 r-cran-plotrix                     	       0       35       34        1        0
56714 r-cran-pls                         	       0       35       34        1        0
56715 r-cran-plumber                     	       0       33       32        1        0
56716 r-cran-plyr                        	       0       37       36        1        0
56717 r-cran-png                         	       0       40       39        1        0
56718 r-cran-polspline                   	       0        7        7        0        0
56719 r-cran-polyclip                    	       0       35       34        1        0
56720 r-cran-polycor                     	       0       32       31        1        0
56721 r-cran-polynom                     	       0        5        5        0        0
56722 r-cran-poorman                     	       0        6        6        0        0
56723 r-cran-popepi                      	       0        4        4        0        0
56724 r-cran-posterior                   	       0        8        8        0        0
56725 r-cran-prabclus                    	       0        5        5        0        0
56726 r-cran-pracma                      	       0       33       32        1        0
56727 r-cran-praise                      	       0       39       38        1        0
56728 r-cran-prediction                  	       0        6        6        0        0
56729 r-cran-prettycode                  	       0       32       31        1        0
56730 r-cran-prettyr                     	       0        1        1        0        0
56731 r-cran-prettyunits                 	       0       40       39        1        0
56732 r-cran-prevalence                  	       0        1        1        0        0
56733 r-cran-proc                        	       0       36       35        1        0
56734 r-cran-processx                    	       0       38       37        1        0
56735 r-cran-prodlim                     	       0       36       35        1        0
56736 r-cran-profilemodel                	       0        1        1        0        0
56737 r-cran-profmem                     	       0       33       32        1        0
56738 r-cran-profvis                     	       0       33       32        1        0
56739 r-cran-progress                    	       0       38       37        1        0
56740 r-cran-progressr                   	       0       35       34        1        0
56741 r-cran-projpred                    	       0        8        8        0        0
56742 r-cran-promises                    	       0       41       40        1        0
56743 r-cran-proto                       	       0        1        1        0        0
56744 r-cran-proxy                       	       0       36       35        1        0
56745 r-cran-ps                          	       0       38       37        1        0
56746 r-cran-pscl                        	       0       34       33        1        0
56747 r-cran-psy                         	       0        1        1        0        0
56748 r-cran-psych                       	       0        9        9        0        0
56749 r-cran-psychotools                 	       0        2        2        0        0
56750 r-cran-psychotree                  	       0        2        2        0        0
56751 r-cran-psychtools                  	       0        8        8        0        0
56752 r-cran-publish                     	       0        5        5        0        0
56753 r-cran-purrr                       	       0       40       39        1        0
56754 r-cran-purrrlyr                    	       0        4        4        0        0
56755 r-cran-pvclust                     	       0        7        7        0        0
56756 r-cran-pwr                         	       0        5        5        0        0
56757 r-cran-pwt                         	       0        1        1        0        0
56758 r-cran-pwt8                        	       0        1        1        0        0
56759 r-cran-qap                         	       0        3        3        0        0
56760 r-cran-qlcmatrix                   	       0        1        1        0        0
56761 r-cran-qpdf                        	       0        8        8        0        0
56762 r-cran-qtl                         	       0        1        1        0        0
56763 r-cran-quadprog                    	       0       36       35        1        0
56764 r-cran-quantmod                    	       0       36       35        1        0
56765 r-cran-quantreg                    	       0       36       35        1        0
56766 r-cran-quickjsr                    	       0        4        4        0        0
56767 r-cran-qvcalc                      	       0        2        2        0        0
56768 r-cran-r.cache                     	       0       34       33        1        0
56769 r-cran-r.devices                   	       0       32       31        1        0
56770 r-cran-r.methodss3                 	       0       38       37        1        0
56771 r-cran-r.oo                        	       0       38       37        1        0
56772 r-cran-r.rsp                       	       0       33       32        1        0
56773 r-cran-r.utils                     	       0       36       35        1        0
56774 r-cran-r2html                      	       0        1        1        0        0
56775 r-cran-r6                          	       0       46       44        2        0
56776 r-cran-ragg                        	       0       33       32        1        0
56777 r-cran-randomfields                	       0       28       27        1        0
56778 r-cran-randomfieldsutils           	       0       29       28        1        0
56779 r-cran-randomforest                	       0       10       10        0        0
56780 r-cran-ranger                      	       0        9        9        0        0
56781 r-cran-rann                        	       0       34       33        1        0
56782 r-cran-rappdirs                    	       0       41       39        2        0
56783 r-cran-raschsampler                	       0        1        1        0        0
56784 r-cran-raster                      	       0       33       32        1        0
56785 r-cran-ratelimitr                  	       0        2        2        0        0
56786 r-cran-rbibutils                   	       0       34       33        1        0
56787 r-cran-rcarb                       	       0        1        1        0        0
56788 r-cran-rcmdcheck                   	       0       35       34        1        0
56789 r-cran-rcmdr                       	       0        2        2        0        0
56790 r-cran-rcmdrmisc                   	       0        2        2        0        0
56791 r-cran-rcolorbrewer                	       0       40       39        1        0
56792 r-cran-rcpp                        	       0       44       43        1        0
56793 r-cran-rcpparmadillo               	       0       36       35        1        0
56794 r-cran-rcppcctz                    	       0        4        4        0        0
56795 r-cran-rcppdate                    	       0        4        4        0        0
56796 r-cran-rcppeigen                   	       0       37       36        1        0
56797 r-cran-rcpphnsw                    	       0        1        1        0        0
56798 r-cran-rcppml                      	       0        3        3        0        0
56799 r-cran-rcppparallel                	       0        9        9        0        0
56800 r-cran-rcppprogress                	       0       35       34        1        0
56801 r-cran-rcpproll                    	       0       35       34        1        0
56802 r-cran-rcpptoml                    	       0       33       32        1        0
56803 r-cran-rcsdp                       	       0        5        5        0        0
56804 r-cran-rcurl                       	       0       35       34        1        0
56805 r-cran-rdpack                      	       0       34       33        1        0
56806 r-cran-readr                       	       0       35       34        1        0
56807 r-cran-readstata13                 	       0        3        3        0        0
56808 r-cran-readxl                      	       0       35       34        1        0
56809 r-cran-recipes                     	       0       36       35        1        0
56810 r-cran-registry                    	       0       33       32        1        0
56811 r-cran-relimp                      	       0        3        3        0        0
56812 r-cran-relsurv                     	       0        4        4        0        0
56813 r-cran-rematch                     	       0       35       34        1        0
56814 r-cran-rematch2                    	       0       37       36        1        0
56815 r-cran-remotes                     	       0       36       35        1        0
56816 r-cran-rentrez                     	       0        1        1        0        0
56817 r-cran-renv                        	       0        5        5        0        0
56818 r-cran-repr                        	       0        6        6        0        0
56819 r-cran-reprex                      	       0        9        9        0        0
56820 r-cran-reshape                     	       0       10       10        0        0
56821 r-cran-reshape2                    	       0       36       35        1        0
56822 r-cran-reticulate                  	       0       33       32        1        0
56823 r-cran-rex                         	       0       37       36        1        0
56824 r-cran-rgdal                       	       0       29       28        1        0
56825 r-cran-rgenoud                     	       0        1        1        0        0
56826 r-cran-rggobi                      	       0        1        1        0        0
56827 r-cran-rgl                         	       0       34       33        1        0
56828 r-cran-rglpk                       	       0        1        1        0        0
56829 r-cran-rgtk2                       	       0        2        2        0        0
56830 r-cran-rhandsontable               	       0        1        1        0        0
56831 r-cran-rhpcblasctl                 	       0        5        5        0        0
56832 r-cran-rinside                     	       0        1        1        0        0
56833 r-cran-rio                         	       0       35       34        1        0
56834 r-cran-riskregression              	       0        5        5        0        0
56835 r-cran-ritis                       	       0        1        1        0        0
56836 r-cran-rjags                       	       0        2        2        0        0
56837 r-cran-rjava                       	       0        4        4        0        0
56838 r-cran-rjson                       	       0        5        5        0        0
56839 r-cran-rlang                       	       0       44       43        1        0
56840 r-cran-rlrsim                      	       0        6        6        0        0
56841 r-cran-rlumshiny                   	       0        1        1        0        0
56842 r-cran-rmariadb                    	       0        2        2        0        0
56843 r-cran-rmpfr                       	       0        5        5        0        0
56844 r-cran-rmpi                        	       0        7        7        0        0
56845 r-cran-rms                         	       0        6        6        0        0
56846 r-cran-rmutil                      	       0        1        1        0        0
56847 r-cran-rmysql                      	       0       36       35        1        0
56848 r-cran-rncl                        	       0        1        1        0        0
56849 r-cran-rneos                       	       0        1        1        0        0
56850 r-cran-rnetcdf                     	       0       33       32        1        0
56851 r-cran-rngtools                    	       0       35       34        1        0
56852 r-cran-robumeta                    	       0       33       32        1        0
56853 r-cran-robust                      	       0        5        5        0        0
56854 r-cran-robustbase                  	       0       35       34        1        0
56855 r-cran-rocr                        	       0       35       34        1        0
56856 r-cran-rodbc                       	       0        3        3        0        0
56857 r-cran-rose                        	       0       33       32        1        0
56858 r-cran-roxygen2                    	       0       36       35        1        0
56859 r-cran-rpostgresql                 	       0       34       33        1        0
56860 r-cran-rprojroot                   	       0       40       39        1        0
56861 r-cran-rprotobuf                   	       0        1        1        0        0
56862 r-cran-rquantlib                   	       0        1        1        0        0
56863 r-cran-rrcov                       	       0        5        5        0        0
56864 r-cran-rredlist                    	       0        1        1        0        0
56865 r-cran-rsample                     	       0       34       33        1        0
56866 r-cran-rsclient                    	       0        1        1        0        0
56867 r-cran-rsconnect                   	       0       33       32        1        0
56868 r-cran-rsdmx                       	       0        1        1        0        0
56869 r-cran-rserve                      	       0        1        1        0        0
56870 r-cran-rsolnp                      	       0        6        6        0        0
56871 r-cran-rspectra                    	       0       34       33        1        0
56872 r-cran-rsprng                      	       0        1        1        0        0
56873 r-cran-rsqlite                     	       0       39       38        1        0
56874 r-cran-rstan                       	       0        8        8        0        0
56875 r-cran-rstanarm                    	       0        8        8        0        0
56876 r-cran-rstantools                  	       0        8        8        0        0
56877 r-cran-rstatix                     	       0        5        5        0        0
56878 r-cran-rstudioapi                  	       0       40       39        1        0
56879 r-cran-rsvd                        	       0        1        1        0        0
56880 r-cran-rsvg                        	       0       34       33        1        0
56881 r-cran-rsymphony                   	       0        1        1        0        0
56882 r-cran-rtdists                     	       0        8        8        0        0
56883 r-cran-rtsne                       	       0       32       31        1        0
56884 r-cran-runit                       	       0       37       36        1        0
56885 r-cran-rversions                   	       0       34       33        1        0
56886 r-cran-rvest                       	       0       10       10        0        0
56887 r-cran-rwiener                     	       0        8        8        0        0
56888 r-cran-s2                          	       0       35       34        1        0
56889 r-cran-sandwich                    	       0       35       34        1        0
56890 r-cran-sass                        	       0       37       36        1        0
56891 r-cran-scales                      	       0       40       39        1        0
56892 r-cran-scatterd3                   	       0        1        1        0        0
56893 r-cran-scatterplot3d               	       0       34       33        1        0
56894 r-cran-segmented                   	       0        1        1        0        0
56895 r-cran-selectr                     	       0       35       34        1        0
56896 r-cran-sem                         	       0        5        5        0        0
56897 r-cran-sendmailr                   	       0        5        5        0        0
56898 r-cran-seriation                   	       0        3        3        0        0
56899 r-cran-sessioninfo                 	       0       35       34        1        0
56900 r-cran-setrng                      	       0       34       33        1        0
56901 r-cran-sf                          	       0       35       34        1        0
56902 r-cran-sfsmisc                     	       0       34       33        1        0
56903 r-cran-sftime                      	       0       32       31        1        0
56904 r-cran-shape                       	       0       12       12        0        0
56905 r-cran-shinybs                     	       0        1        1        0        0
56906 r-cran-shinydashboard              	       0        1        1        0        0
56907 r-cran-shinyjs                     	       0       10       10        0        0
56908 r-cran-shinystan                   	       0        8        8        0        0
56909 r-cran-simplermarkdown             	       0        2        2        0        0
56910 r-cran-sitmo                       	       0        1        1        0        0
56911 r-cran-sjlabelled                  	       0        4        4        0        0
56912 r-cran-sjmisc                      	       0        4        4        0        0
56913 r-cran-sjplot                      	       0        1        1        0        0
56914 r-cran-sjstats                     	       0        4        4        0        0
56915 r-cran-slam                        	       0        2        2        0        0
56916 r-cran-slider                      	       0       34       33        1        0
56917 r-cran-sm                          	       0       34       33        1        0
56918 r-cran-smcfcs                      	       0        4        4        0        0
56919 r-cran-sn                          	       0        3        3        0        0
56920 r-cran-sna                         	       0        9        9        0        0
56921 r-cran-snakecase                   	       0        5        5        0        0
56922 r-cran-snow                        	       0        2        2        0        0
56923 r-cran-snowballc                   	       0        3        3        0        0
56924 r-cran-sodium                      	       0       38       36        2        0
56925 r-cran-solrium                     	       0        1        1        0        0
56926 r-cran-sourcetools                 	       0       41       40        1        0
56927 r-cran-sp                          	       0       40       39        1        0
56928 r-cran-spacetime                   	       0       33       32        1        0
56929 r-cran-spam                        	       0       34       33        1        0
56930 r-cran-sparsem                     	       0       36       35        1        0
56931 r-cran-sparsesvd                   	       0        1        1        0        0
56932 r-cran-spatialreg                  	       0        5        5        0        0
56933 r-cran-spatstat                    	       0       35       34        1        0
56934 r-cran-spatstat.core               	       0       29       28        1        0
56935 r-cran-spatstat.data               	       0       35       34        1        0
56936 r-cran-spatstat.explore            	       0       35       34        1        0
56937 r-cran-spatstat.geom               	       0       35       34        1        0
56938 r-cran-spatstat.linnet             	       0       35       34        1        0
56939 r-cran-spatstat.model              	       0       35       34        1        0
56940 r-cran-spatstat.random             	       0       35       34        1        0
56941 r-cran-spatstat.sparse             	       0       35       34        1        0
56942 r-cran-spatstat.utils              	       0       35       34        1        0
56943 r-cran-spdata                      	       0       34       33        1        0
56944 r-cran-spdep                       	       0       34       33        1        0
56945 r-cran-spelling                    	       0       33       32        1        0
56946 r-cran-splines2                    	       0        8        8        0        0
56947 r-cran-squarem                     	       0       36       35        1        0
56948 r-cran-stable                      	       0        1        1        0        0
56949 r-cran-stabledist                  	       0        8        8        0        0
56950 r-cran-stablelearner               	       0        2        2        0        0
56951 r-cran-stanheaders                 	       0        8        8        0        0
56952 r-cran-stars                       	       0       33       32        1        0
56953 r-cran-statip                      	       0        1        1        0        0
56954 r-cran-statmod                     	       0       36       35        1        0
56955 r-cran-statnet.common              	       0       10       10        0        0
56956 r-cran-stringdist                  	       0        2        2        0        0
56957 r-cran-stringi                     	       0       42       41        1        0
56958 r-cran-stringr                     	       0       42       41        1        0
56959 r-cran-strucchange                 	       0       34       33        1        0
56960 r-cran-suppdists                   	       0        1        1        0        0
56961 r-cran-survey                      	       0        8        8        0        0
56962 r-cran-svglite                     	       0       33       32        1        0
56963 r-cran-swagger                     	       0       33       32        1        0
56964 r-cran-sys                         	       0       42       40        2        0
56965 r-cran-systemfit                   	       0       33       32        1        0
56966 r-cran-systemfonts                 	       0       34       33        1        0
56967 r-cran-tcltk2                      	       0        4        3        1        0
56968 r-cran-teachingdemos               	       0       33       32        1        0
56969 r-cran-tensor                      	       0       35       34        1        0
56970 r-cran-tensora                     	       0        8        8        0        0
56971 r-cran-terra                       	       0       34       33        1        0
56972 r-cran-testit                      	       0       37       36        1        0
56973 r-cran-testthat                    	       0       39       38        1        0
56974 r-cran-textshaping                 	       0       33       32        1        0
56975 r-cran-tgp                         	       0        2        2        0        0
56976 r-cran-th.data                     	       0       34       33        1        0
56977 r-cran-thematic                    	       0       33       32        1        0
56978 r-cran-themis                      	       0       32       31        1        0
56979 r-cran-threejs                     	       0        8        8        0        0
56980 r-cran-tibble                      	       0       42       41        1        0
56981 r-cran-tidyr                       	       0       37       36        1        0
56982 r-cran-tidyselect                  	       0       40       39        1        0
56983 r-cran-tidytext                    	       0        1        1        0        0
56984 r-cran-tidyverse                   	       0        9        9        0        0
56985 r-cran-tiff                        	       0        1        1        0        0
56986 r-cran-tikzdevice                  	       0       36       35        1        0
56987 r-cran-timechange                  	       0       36       35        1        0
56988 r-cran-timedate                    	       0       37       36        1        0
56989 r-cran-timereg                     	       0       35       34        1        0
56990 r-cran-timeseries                  	       0       37       36        1        0
56991 r-cran-tinytest                    	       0       33       32        1        0
56992 r-cran-tinytex                     	       0       41       40        1        0
56993 r-cran-tkrplot                     	       0        1        1        0        0
56994 r-cran-tmb                         	       0        8        8        0        0
56995 r-cran-tmvnsim                     	       0        4        4        0        0
56996 r-cran-tokenizers                  	       0        1        1        0        0
56997 r-cran-transformr                  	       0       33       32        1        0
56998 r-cran-triebeard                   	       0        7        7        0        0
56999 r-cran-truncdist                   	       0       33       32        1        0
57000 r-cran-truncnorm                   	       0        9        9        0        0
57001 r-cran-tseries                     	       0       36       35        1        0
57002 r-cran-tsp                         	       0        3        3        0        0
57003 r-cran-ttr                         	       0       36       35        1        0
57004 r-cran-tufte                       	       0       35       34        1        0
57005 r-cran-tweenr                      	       0       33       32        1        0
57006 r-cran-tzdb                        	       0       35       34        1        0
57007 r-cran-ucminf                      	       0       33       32        1        0
57008 r-cran-unitizer                    	       0        5        5        0        0
57009 r-cran-units                       	       0       35       34        1        0
57010 r-cran-urca                        	       0       34       33        1        0
57011 r-cran-urlchecker                  	       0       33       32        1        0
57012 r-cran-urltools                    	       0        7        7        0        0
57013 r-cran-uroot                       	       0        6        6        0        0
57014 r-cran-usethis                     	       0       37       36        1        0
57015 r-cran-utf8                        	       0       43       42        1        0
57016 r-cran-uuid                        	       0       12       12        0        0
57017 r-cran-v8                          	       0        6        6        0        0
57018 r-cran-vcd                         	       0        6        6        0        0
57019 r-cran-vcdextra                    	       0        1        1        0        0
57020 r-cran-vcr                         	       0        5        5        0        0
57021 r-cran-vctrs                       	       0       42       41        1        0
57022 r-cran-vdiffr                      	       0       34       33        1        0
57023 r-cran-vegan                       	       0       32       31        1        0
57024 r-cran-venndiagram                 	       0        5        5        0        0
57025 r-cran-vgam                        	       0        8        8        0        0
57026 r-cran-vioplot                     	       0        5        5        0        0
57027 r-cran-vipor                       	       0        6        6        0        0
57028 r-cran-viridis                     	       0       36       35        1        0
57029 r-cran-viridislite                 	       0       40       39        1        0
57030 r-cran-vroom                       	       0       35       34        1        0
57031 r-cran-waldo                       	       0       37       36        1        0
57032 r-cran-warp                        	       0       34       33        1        0
57033 r-cran-wdi                         	       0        1        1        0        0
57034 r-cran-webfakes                    	       0       33       32        1        0
57035 r-cran-webmockr                    	       0        5        5        0        0
57036 r-cran-webshot                     	       0        9        9        0        0
57037 r-cran-webutils                    	       0       36       35        1        0
57038 r-cran-whisker                     	       0       37       36        1        0
57039 r-cran-whoami                      	       0        5        5        0        0
57040 r-cran-wikidataqueryservicer       	       0        2        2        0        0
57041 r-cran-wikidatar                   	       0        2        2        0        0
57042 r-cran-wikipedir                   	       0        2        2        0        0
57043 r-cran-wikitaxa                    	       0        1        1        0        0
57044 r-cran-withr                       	       0       44       43        1        0
57045 r-cran-wk                          	       0       35       34        1        0
57046 r-cran-wkutils                     	       0       28       27        1        0
57047 r-cran-wordcloud                   	       0        1        1        0        0
57048 r-cran-worrms                      	       0        1        1        0        0
57049 r-cran-writexl                     	       0        6        6        0        0
57050 r-cran-xfun                        	       0       41       40        1        0
57051 r-cran-xml                         	       0       37       36        1        0
57052 r-cran-xml2                        	       0       38       37        1        0
57053 r-cran-xmlparsedata                	       0        5        5        0        0
57054 r-cran-xopen                       	       0       35       34        1        0
57055 r-cran-xtable                      	       0       41       40        1        0
57056 r-cran-xts                         	       0       36       35        1        0
57057 r-cran-yaml                        	       0       44       42        2        0
57058 r-cran-zeallot                     	       0        4        4        0        0
57059 r-cran-zelig                       	       0        1        1        0        0
57060 r-cran-zip                         	       0       37       36        1        0
57061 r-cran-zoo                         	       0       37       36        1        0
57062 r-doc-html                         	       0      138        0        0      138
57063 r-doc-info                         	       0       15        0        0       15
57064 r-doc-pdf                          	       0       10        0        0       10
57065 r-mathlib                          	       0       18       16        2        0
57066 r-omegahat-xmlrpc                  	       0        1        1        0        0
57067 r-other-iwrlars                    	       0        1        1        0        0
57068 r-other-mott-happy.hbrem           	       0        1        1        0        0
57069 r-recommended                      	       0      137        0        0      137
57070 r5rs-doc                           	       0        1        0        0        1
57071 rabbit                             	       0        1        1        0        0
57072 rabbitvcs-cli                      	       0        5        5        0        0
57073 rabbitvcs-core                     	       0        6        6        0        0
57074 rabbitvcs-gedit                    	       0        1        1        0        0
57075 rabbitvcs-nautilus                 	       0        1        0        0        1
57076 rabbitvcs-thunar                   	       0        1        0        0        1
57077 racket                             	       0       18       18        0        0
57078 racket-common                      	       0       18       18        0        0
57079 racket-doc                         	       0       20        0        0       20
57080 racoon                             	       0        1        1        0        0
57081 radare2                            	       0        9        9        0        0
57082 radare2-cutter                     	       0        1        1        0        0
57083 radeontool                         	       0       63       61        2        0
57084 radiance-materials                 	       0        1        0        0        1
57085 radiant                            	       0        1        1        0        0
57086 radioclk                           	       0        2        2        0        0
57087 radiotray                          	       0        1        1        0        0
57088 radium-compressor                  	       0        2        2        0        0
57089 radon                              	       0        1        1        0        0
57090 radvdump                           	       0       11       11        0        0
57091 rafkill                            	       0        4        4        0        0
57092 rafkill-data                       	       0        4        0        0        4
57093 ragel                              	       0       12       12        0        0
57094 raidutils                          	       0        1        0        0        1
57095 rails                              	       0        6        0        0        6
57096 raincat-data                       	       0       17        0        0       17
57097 rainlendar2-lite                   	       0        2        2        0        0
57098 rainlendar2-pro                    	       0        1        1        0        0
57099 rainloop                           	       0        1        1        0        0
57100 rakarrack                          	       0       10       10        0        0
57101 rake-compiler                      	       0        3        3        0        0
57102 raku                               	       0        7        0        0        7
57103 raku-file-find                     	       0        7        6        1        0
57104 raku-file-which                    	       0        7        6        1        0
57105 raku-getopt-long                   	       0        8        7        1        0
57106 raku-hash-merge                    	       0        7        6        1        0
57107 raku-json-class                    	       0        7        6        1        0
57108 raku-json-fast                     	       0        7        6        1        0
57109 raku-json-marshal                  	       0        7        6        1        0
57110 raku-json-name                     	       0        7        6        1        0
57111 raku-json-optin                    	       0        7        6        1        0
57112 raku-json-unmarshal                	       0        7        6        1        0
57113 raku-librarycheck                  	       0        7        6        1        0
57114 raku-license-spdx                  	       0        7        6        1        0
57115 raku-log                           	       0        7        6        1        0
57116 raku-meta6                         	       0        7        6        1        0
57117 raku-readline                      	       0        7        6        1        0
57118 raku-tap-harness                   	       0        8        7        1        0
57119 raku-test-meta                     	       0        7        6        1        0
57120 raku-uri                           	       0        7        6        1        0
57121 raku-zef                           	       0        7        6        1        0
57122 rakudo                             	       0       10        9        1        0
57123 rambo-k                            	       0        2        2        0        0
57124 ramond                             	       0        1        1        0        0
57125 rampler                            	       0        1        1        0        0
57126 rancid                             	       0        1        1        0        0
57127 randmac                            	       0        3        3        0        0
57128 randomplay                         	       0        1        1        0        0
57129 randtype                           	       0        5        5        0        0
57130 rapid-photo-downloader             	       0        4        4        0        0
57131 rapid-spring                       	       0        1        1        0        0
57132 rapiddisk                          	       0        1        0        1        0
57133 rapidjson-dev                      	       0       16       16        0        0
57134 rapidjson-doc                      	       0        1        0        0        1
57135 rapidsvn                           	       0       12       12        0        0
57136 rapmap                             	       0        1        1        0        0
57137 raptor-utils                       	       0        1        1        0        0
57138 raptor2-utils                      	       0       13       12        1        0
57139 rar-2.80                           	       0        1        1        0        0
57140 rarpd                              	       0        3        3        0        0
57141 rasdaemon                          	       0        8        8        0        0
57142 rasmol                             	       0        4        4        0        0
57143 rasmol-doc                         	       0        1        0        0        1
57144 raspberrypi-bootloader             	       0        3        0        0        3
57145 raspberrypi-kernel                 	       0        2        1        0        1
57146 raspberrypi-kernel-headers         	       0        1        1        0        0
57147 raspberrypi-net-mods               	       0        1        1        0        0
57148 raspell                            	       0        6        5        1        0
57149 raspi-config                       	       0        2        2        0        0
57150 raspi-firmware                     	       0        8        8        0        0
57151 raspi-gpio                         	       0        1        1        0        0
57152 rasqal-utils                       	       0        4        4        0        0
57153 raster3d                           	       0        2        2        0        0
57154 raster3d-doc                       	       0        1        0        0        1
57155 rasterio                           	       0        1        1        0        0
57156 rasterlite2-bin                    	       0        4        4        0        0
57157 rasterview                         	       0        3        3        0        0
57158 ratbagd                            	       0       10       10        0        0
57159 rate4site                          	       0        1        1        0        0
57160 ratfor                             	       0        2        2        0        0
57161 rationalplan-single                	       0        1        1        0        0
57162 ratmenu                            	       0        3        3        0        0
57163 ratt                               	       0        3        3        0        0
57164 rav1e                              	       0        2        2        0        0
57165 rawdog                             	       0        1        1        0        0
57166 rawtherapee-data                   	       0       52        0        0       52
57167 rawtran                            	       0        3        3        0        0
57168 rawtran-doc                        	       0        2        0        0        2
57169 raxml                              	       0        4        4        0        0
57170 ray                                	       0        1        1        0        0
57171 razergenie                         	       0        1        1        0        0
57172 rbd-fuse                           	       0        1        1        0        0
57173 rbd-nbd                            	       0        1        1        0        0
57174 rblcheck                           	       0        6        6        0        0
57175 rbldnsd                            	       0        1        1        0        0
57176 rc                                 	       0        9        9        0        0
57177 rccl                               	       0        3        0        0        3
57178 rccl-dev                           	       0        3        2        1        0
57179 rclone-browser                     	       0       19       19        0        0
57180 rcm                                	       0        3        3        0        0
57181 rcon                               	       0        1        1        0        0
57182 rcs-dbgsym                         	       0        1        1        0        0
57183 rcse                               	       0        1        1        0        0
57184 rcse-build-deps                    	       0        1        0        0        1
57185 rdd                                	       0        2        2        0        0
57186 rdiff-backup-fs                    	       0       12       12        0        0
57187 rdist                              	       0        3        3        0        0
57188 rdmacm-utils                       	       0        1        1        0        0
57189 rdopng                             	       0        1        1        0        0
57190 rdopt                              	       0        1        1        0        0
57191 rdp-alignment                      	       0        1        1        0        0
57192 rdp-classifier                     	       0        1        1        0        0
57193 rdp-readseq                        	       0        1        1        0        0
57194 rdtool                             	       0        3        3        0        0
57195 rdtool-elisp                       	       0        1        1        0        0
57196 rdup                               	       0        2        2        0        0
57197 readahead-fedora                   	       0        3        3        0        0
57198 readline-common                    	       0     4155        0        0     4155
57199 readline-doc                       	       0       26        0        0       26
57200 readpe                             	       0        3        3        0        0
57201 readpst                            	       0        5        0        0        5
57202 readseq                            	       0        3        3        0        0
57203 readstat                           	       0       11       11        0        0
57204 realpath                           	       0       16        0        0       16
57205 realplayer                         	       0        1        1        0        0
57206 realtimebattle                     	       0        1        1        0        0
57207 realtimebattle-common              	       0        1        1        0        0
57208 realvnc-vnc-server                 	       0        4        4        0        0
57209 rear                               	       0        6        6        0        0
57210 rear-doc                           	       0        2        0        0        2
57211 rebar                              	       0        1        1        0        0
57212 rebar3                             	       0        1        1        0        0
57213 reboot-notifier                    	       0        1        0        0        1
57214 recap                              	       0        3        3        0        0
57215 recite                             	       0        1        1        0        0
57216 reclass                            	       0        1        1        0        0
57217 reclass-doc                        	       0        1        0        0        1
57218 recode-doc                         	       0        5        0        0        5
57219 recoll                             	       0       33        0        0       33
57220 recollgui                          	       0       35       35        0        0
57221 recon-ng                           	       0        2        2        0        0
57222 recordmydesktop                    	       0      169      167        2        0
57223 recover                            	       0        2        2        0        0
57224 recutils                           	       0       13       13        0        0
57225 redeclipse-common                  	       0       10        0        0       10
57226 redeclipse-data                    	       0       10        0        0       10
57227 redeclipse-server                  	       0        1        1        0        0
57228 redis                              	       0       40        0        0       40
57229 redis-redisearch                   	       0        1        1        0        0
57230 redis-sentinel                     	       0        2        2        0        0
57231 redland-bindings-build-deps        	       0        1        0        0        1
57232 redland-utils                      	       0        5        5        0        0
57233 redmine                            	       0        5        5        0        0
57234 redmine-mysql                      	       0        2        0        0        2
57235 redmine-pgsql                      	       0        1        0        0        1
57236 redmine-sqlite                     	       0        3        0        0        3
57237 redsea                             	       0        1        1        0        0
57238 redshift-build-deps                	       0        1        0        0        1
57239 redshift-dbgsym                    	       0        1        1        0        0
57240 redshift-qt                        	       0        2        2        0        0
57241 redsocks                           	       0        2        2        0        0
57242 refblas3                           	       0        1        0        0        1
57243 referenceassemblies-pcl            	       0       22       22        0        0
57244 refracta2usb                       	       0        4        3        1        0
57245 refractainstaller-base             	       0      150      144        6        0
57246 refractainstaller-gui              	       0      137      132        5        0
57247 refractasnapshot-base              	       0      147      141        6        0
57248 refractasnapshot-gui               	       0      135      130        5        0
57249 regexxer                           	       0        8        8        0        0
57250 regina-normal                      	       0        1        1        0        0
57251 regina-normal-doc                  	       0        1        0        0        1
57252 regina-rexx                        	       0        4        3        1        0
57253 registry-tools                     	       0        6        6        0        0
57254 reglookup-doc                      	       0       23        0        0       23
57255 regolith-compositor-picom-glx      	       0        1        0        0        1
57256 regolith-default-settings          	       0        1        0        0        1
57257 regolith-desktop                   	       0        1        0        0        1
57258 regolith-i3-compositor             	       0        1        0        0        1
57259 regolith-i3-control-center-regolith	       0        1        0        0        1
57260 regolith-i3-dbus-activation        	       0        1        0        0        1
57261 regolith-i3-default-style          	       0        1        0        0        1
57262 regolith-i3-gaps                   	       0        1        0        0        1
57263 regolith-i3-i3xrocks               	       0        1        0        0        1
57264 regolith-i3-ilia                   	       0        1        0        0        1
57265 regolith-i3-root-config            	       0        1        0        0        1
57266 regolith-i3-session                	       0        1        0        0        1
57267 regolith-i3-unclutter              	       0        1        0        0        1
57268 regolith-i3xrocks-config           	       0        1        0        0        1
57269 regolith-look-ayu                  	       0        1        0        0        1
57270 regolith-look-ayu-dark             	       0        1        0        0        1
57271 regolith-look-ayu-mirage           	       0        1        0        0        1
57272 regolith-look-blackhole            	       0        1        0        0        1
57273 regolith-look-default              	       0        1        0        0        1
57274 regolith-look-default-loader       	       0        1        0        0        1
57275 regolith-look-dracula              	       0        1        0        0        1
57276 regolith-look-gruvbox              	       0        1        0        0        1
57277 regolith-look-i3-default           	       0        1        0        0        1
57278 regolith-look-lascaille            	       0        1        0        0        1
57279 regolith-look-nevil                	       0        1        0        0        1
57280 regolith-look-nord                 	       0        1        0        0        1
57281 regolith-look-solarized-dark       	       0        1        0        0        1
57282 regolith-session-flashback-ext     	       0        1        0        0        1
57283 regolith-wm-base-launchers         	       0        1        0        0        1
57284 regolith-wm-config                 	       0        1        1        0        0
57285 regolith-wm-ftue                   	       0        1        0        0        1
57286 regolith-wm-navigation             	       0        1        0        0        1
57287 regolith-wm-networkmanager         	       0        1        0        0        1
57288 regolith-wm-resize                 	       0        1        0        0        1
57289 regolith-wm-rofication-ilia        	       0        1        0        0        1
57290 regolith-wm-swap-focus             	       0        1        0        0        1
57291 regolith-wm-workspace-config       	       0        1        0        0        1
57292 rehex                              	       0        1        1        0        0
57293 rekor                              	       0        2        2        0        0
57294 relational-cli                     	       0        1        1        0        0
57295 relevation                         	       0        1        1        0        0
57296 remake                             	       0        5        5        0        0
57297 remind                             	       0       31       30        1        0
57298 remind-tools                       	       0       23       22        1        0
57299 remmina-dev                        	       0        1        1        0        0
57300 remmina-plugin-exec                	       0       14        0        0       14
57301 remmina-plugin-gnome               	       0        1        1        0        0
57302 remmina-plugin-kiosk               	       0        7        7        0        0
57303 remmina-plugin-kwallet             	       0        7        1        0        6
57304 remmina-plugin-nx                  	       0        2        1        0        1
57305 remmina-plugin-python              	       0        4        0        0        4
57306 remmina-plugin-spice               	       0       12        5        0        7
57307 remmina-plugin-telepathy           	       0        1        1        0        0
57308 remmina-plugin-www                 	       0       12        0        0       12
57309 remmina-plugin-x2go                	       0       13        2        0       11
57310 remmina-plugin-xdmcp               	       0        4        1        0        3
57311 remote-logon-config-agent          	       0        2        2        0        0
57312 remote-logon-service               	       0        2        0        0        2
57313 remotetrx                          	       0        2        2        0        0
57314 rename-flac                        	       0        4        4        0        0
57315 renattach                          	       0        1        1        0        0
57316 render-dev                         	       0        1        0        0        1
57317 renderdoc                          	       0        3        0        0        3
57318 renderdoccmd                       	       0        3        3        0        0
57319 reniced                            	       0        2        2        0        0
57320 renpy-demo                         	       0        1        1        0        0
57321 renpy-doc                          	       0        1        0        0        1
57322 renrot                             	       0        4        4        0        0
57323 rep                                	       0       20       20        0        0
57324 rep-doc                            	       0        6        0        0        6
57325 rep-gtk                            	       0       19       19        0        0
57326 repetier-host                      	       0        2        2        0        0
57327 replaygain                         	       0        1        1        0        0
57328 repmgr                             	       0        1        0        0        1
57329 repmgr-common                      	       0        1        1        0        0
57330 repo-manager-loc-os                	       0        1        0        1        0
57331 repopush                           	       0        1        1        0        0
57332 reportbug-gtk                      	       0       10        0        0       10
57333 reportbug-ng                       	       0        2        2        0        0
57334 reposurgeon                        	       0        3        3        0        0
57335 repowerd                           	       0        1        1        0        0
57336 repowerd-data                      	       0        1        0        0        1
57337 repowerd-tools                     	       0        1        1        0        0
57338 reprof                             	       0        1        1        0        0
57339 request-tracker4                   	       0        1        1        0        0
57340 rerun                              	       0        1        1        0        0
57341 resample                           	       0        1        1        0        0
57342 rescuezilla                        	       0        1        1        0        0
57343 reserialize                        	       0        3        3        0        0
57344 residualvm                         	       0        1        1        0        0
57345 residualvm-data                    	       0        1        0        0        1
57346 resilio-sync                       	       0        4        4        0        0
57347 restartd                           	       0        1        1        0        0
57348 resvg                              	       0        1        1        0        0
57349 retext                             	       0       21       21        0        0
57350 retro-runner                       	       0        5        0        0        5
57351 retroarch-assets                   	       0       27        0        0       27
57352 retry                              	       0        9        9        0        0
57353 reuse                              	       0        1        1        0        0
57354 rev-plugins                        	       0       20       18        2        0
57355 revelation                         	       0        3        3        0        0
57356 revolt                             	       0        6        6        0        0
57357 rex                                	       0        1        1        0        0
57358 rexical                            	       0        1        1        0        0
57359 rexima                             	       0        3        3        0        0
57360 rfdump                             	       0       13       13        0        0
57361 rfkill-dbgsym                      	       0        1        1        0        0
57362 rgbpaint                           	       0        7        7        0        0
57363 rhinote                            	       0        4        4        0        0
57364 rhvoice                            	       0        3        3        0        0
57365 rhvoice-english                    	       0        2        0        0        2
57366 rhvoice-russian                    	       0        3        0        0        3
57367 rhythmbox-data                     	       0      401        0        0      401
57368 rhythmbox-dev                      	       0        1        0        1        0
57369 rhythmbox-doc                      	       0        6        0        0        6
57370 rhythmbox-plugin-alternative-toolbar	       0        9        8        1        0
57371 rhythmbox-plugin-cdrecorder        	       0      346        1        0      345
57372 rhythmbox-plugins                  	       0      385       15        0      370
57373 ri                                 	       0       24        0        0       24
57374 ri-li-data                         	       0       18        0        0       18
57375 ri1.8                              	       0        1        0        0        1
57376 ri1.9.1                            	       0        1        0        0        1
57377 ric                                	       0        1        1        0        0
57378 ricks-amdgpu-utils                 	       0       20        3        0       17
57379 rickslab-gpu-utils                 	       0       24       24        0        0
57380 ricochet                           	       0        2        2        0        0
57381 ricochet-im                        	       0        1        1        0        0
57382 riece                              	       0        1        1        0        0
57383 riemann-c-client                   	       0        1        1        0        0
57384 rien-common                        	       0       15       14        1        0
57385 rien-desktop                       	       0        4        4        0        0
57386 rien-fetch                         	       0        1        1        0        0
57387 rien-fpm                           	       0        2        0        0        2
57388 rien-guest                         	       0        9        0        0        9
57389 rien-keyring                       	       0       15        0        0       15
57390 rien-knot-resolver                 	       0        5        0        1        4
57391 rien-nginx                         	       0        5        0        0        5
57392 rien-torrent                       	       0        1        1        0        0
57393 rien-webmail                       	       0        2        0        0        2
57394 rig                                	       0        8        8        0        0
57395 rime-data-bopomofo                 	       0        3        0        0        3
57396 rime-data-cangjie5                 	       0        4        0        0        4
57397 rime-data-luna-pinyin              	       0        4        1        0        3
57398 rime-data-stroke                   	       0        4        0        0        4
57399 rime-data-terra-pinyin             	       0        4        0        0        4
57400 rime-essay                         	       0        4        0        0        4
57401 rime-prelude                       	       0        4        0        0        4
57402 rinetd                             	       0        2        2        0        0
57403 ring                               	       0        2        1        0        1
57404 ring-daemon                        	       0        2        1        0        1
57405 rinse                              	       0       10       10        0        0
57406 riot-desktop                       	       0        1        0        0        1
57407 riot-nightly                       	       0        1        0        0        1
57408 ripit                              	       0       16       16        0        0
57409 ripmake                            	       0        1        1        0        0
57410 ripmime                            	       0        4        4        0        0
57411 ripper                             	       0        3        3        0        0
57412 ripperx                            	       0       56       56        0        0
57413 riseup-vpn                         	       0        6        6        0        0
57414 rkflashkit                         	       0        1        1        0        0
57415 rkward                             	       0       13       13        0        0
57416 rkward-data                        	       0       13        0        0       13
57417 rlfe                               	       0        5        5        0        0
57418 rlinetd                            	       0        4        4        0        0
57419 rlinux                             	       0        1        1        0        0
57420 rlpr                               	       0        4        4        0        0
57421 rmagic                             	       0        1        1        0        0
57422 rmail                              	       0        2        2        0        0
57423 rman                               	       0        1        1        0        0
57424 rmligs-german                      	       0        2        2        0        0
57425 rmlint                             	       0       24       24        0        0
57426 rmlint-doc                         	       0        3        0        0        3
57427 rmlint-gui                         	       0       18       18        0        0
57428 rmw                                	       0        1        1        0        0
57429 rna-star                           	       0        1        1        0        0
57430 rnahybrid                          	       0        1        1        0        0
57431 rnbyc                              	       0        1        1        0        0
57432 rng-tools                          	       0       23        4        0       19
57433 rng-tools6                         	       0        1        1        0        0
57434 rnnoise                            	       0        1        1        0        0
57435 rnp                                	       0        1        1        0        0
57436 roam-research                      	       0        1        1        0        0
57437 roarclients                        	       0        1        1        0        0
57438 roarplaylistd                      	       0        1        1        0        0
57439 roarplaylistd-codechelper-gst      	       0        1        1        0        0
57440 roarplaylistd-tools                	       0        1        1        0        0
57441 robocode-doc                       	       0        1        0        0        1
57442 robocut                            	       0        1        1        0        0
57443 robotour                           	       0        1        1        0        0
57444 rocalution                         	       0        3        0        0        3
57445 rocalution-dev                     	       0        3        2        1        0
57446 rocblas                            	       0        4        3        1        0
57447 rocblas-dev                        	       0        4        3        1        0
57448 roccat-tools                       	       0        1        1        0        0
57449 rocfft                             	       0        3        2        1        0
57450 rocfft-dev                         	       0        3        2        1        0
57451 rockdodger                         	       0        2        2        0        0
57452 rocksdb-tools                      	       0        1        1        0        0
57453 rocksndiamonds                     	       0       10       10        0        0
57454 rocm                               	       0        1        0        0        1
57455 rocm-bandwidth-test                	       0        1        1        0        0
57456 rocm-clang-ocl                     	       0        1        1        0        0
57457 rocm-cmake                         	       0        9        0        0        9
57458 rocm-core                          	       0       17       15        2        0
57459 rocm-core-asan                     	       0        1        1        0        0
57460 rocm-core5.0.2                     	       0        1        1        0        0
57461 rocm-dbgapi                        	       0        3        2        1        0
57462 rocm-debug-agent                   	       0        3        0        0        3
57463 rocm-developer-tools               	       0        1        0        0        1
57464 rocm-gdb                           	       0        1        0        1        0
57465 rocm-hip-libraries                 	       0        3        0        0        3
57466 rocm-hip-runtime                   	       0        6        0        0        6
57467 rocm-hip-runtime-dev               	       0        3        0        0        3
57468 rocm-hip-sdk                       	       0        3        0        0        3
57469 rocm-khronos-cts                   	       0        1        1        0        0
57470 rocm-language-runtime              	       0       15        0        0       15
57471 rocm-llvm-dev                      	       0        1        1        0        0
57472 rocm-ml-libraries                  	       0        3        0        0        3
57473 rocm-ml-sdk                        	       0        2        0        0        2
57474 rocm-ocltst                        	       0        1        0        0        1
57475 rocm-opencl-dev                    	       0        7        6        1        0
57476 rocm-opencl-icd                    	       0        1        0        0        1
57477 rocm-opencl-runtime                	       0       15        0        0       15
57478 rocm-opencl-sdk                    	       0        5        0        0        5
57479 rocm-openmp-sdk                    	       0        2        0        0        2
57480 rocm-smi                           	       0       10        8        1        1
57481 rocm-smi-lib                       	       0        4        3        1        0
57482 rocm-utils                         	       0        3        0        0        3
57483 rocm-validation-suite              	       0        2        2        0        0
57484 rocprim-dev                        	       0        3        2        1        0
57485 rocprofiler                        	       0        1        0        1        0
57486 rocprofiler-dev                    	       0        2        0        1        1
57487 rocprofiler-plugins                	       0        1        0        1        0
57488 rocprofiler-sdk                    	       0        1        0        1        0
57489 rocprofiler-sdk-roctx              	       0        1        0        1        0
57490 rocrand                            	       0        4        0        0        4
57491 rocrand-dev                        	       0        4        3        1        0
57492 rocs                               	       0       44       42        2        0
57493 rocsolver                          	       0        4        0        0        4
57494 rocsolver-dev                      	       0        4        3        1        0
57495 rocsparse                          	       0        4        0        0        4
57496 rocsparse-dev                      	       0        4        3        1        0
57497 rocthrust-dev                      	       0        3        2        1        0
57498 roctracer                          	       0        2        1        1        0
57499 roctracer-dev                      	       0        3        1        1        1
57500 rocwmma-dev                        	       0        3        1        0        2
57501 roffit                             	       0        2        2        0        0
57502 roger                              	       0        1        1        0        0
57503 roger-plugins-evolution            	       0        1        0        0        1
57504 roger-plugins-fritzfon             	       0        1        0        0        1
57505 roger-plugins-gtknotify            	       0        1        0        0        1
57506 roger-plugins-indicator            	       0        1        0        0        1
57507 roger-plugins-notification         	       0        1        0        0        1
57508 roger-plugins-statusicon           	       0        1        0        0        1
57509 roger-router                       	       0        1        1        0        0
57510 roger-router-cli                   	       0        1        1        0        0
57511 rolisteam                          	       0        1        1        0        0
57512 rolisteam-build-deps               	       0        1        0        0        1
57513 rolldice-build-deps                	       0        1        0        0        1
57514 rolldice-dbgsym                    	       0        1        1        0        0
57515 rollup                             	       0        2        2        0        0
57516 rolo                               	       0        6        6        0        0
57517 ronn                               	       0        5        5        0        0
57518 roodi                              	       0        1        1        0        0
57519 rootlesskit                        	       0       11       11        0        0
57520 ros-actionlib-msgs                 	       0        1        0        0        1
57521 ros-base                           	       0        1        0        0        1
57522 ros-base-dev                       	       0        1        0        0        1
57523 ros-base-lisp-dev                  	       0        1        0        0        1
57524 ros-base-python-dev                	       0        1        0        0        1
57525 ros-cmake-modules                  	       0        2        0        0        2
57526 ros-core                           	       0        1        0        0        1
57527 ros-core-dev                       	       0        1        0        0        1
57528 ros-core-lisp-dev                  	       0        1        0        0        1
57529 ros-core-python-dev                	       0        1        0        0        1
57530 ros-core-rosbuild-dev              	       0        1        0        0        1
57531 ros-desktop                        	       0        1        0        0        1
57532 ros-desktop-full                   	       0        1        0        0        1
57533 ros-desktop-full-lisp-dev          	       0        1        0        0        1
57534 ros-desktop-lisp-dev               	       0        1        0        0        1
57535 ros-diagnostic-msgs                	       0        1        0        0        1
57536 ros-environment                    	       0        2        0        0        2
57537 ros-geometry-msgs                  	       0        1        0        0        1
57538 ros-groovy-eigen-stl-containers    	       0        1        0        0        1
57539 ros-map-msgs                       	       0        1        0        0        1
57540 ros-message-generation             	       0        3        0        0        3
57541 ros-message-runtime                	       0        4        0        0        4
57542 ros-mk                             	       0        1        0        0        1
57543 ros-move-base-msgs                 	       0        1        0        0        1
57544 ros-nav-msgs                       	       0        1        0        0        1
57545 ros-pcl-msgs                       	       0        1        0        0        1
57546 ros-perception                     	       0        1        0        0        1
57547 ros-perception-lisp-dev            	       0        1        0        0        1
57548 ros-robot                          	       0        1        0        0        1
57549 ros-robot-dev                      	       0        1        0        0        1
57550 ros-robot-lisp-dev                 	       0        1        0        0        1
57551 ros-robot-python-dev               	       0        1        0        0        1
57552 ros-roscpp-msg                     	       0        1        0        0        1
57553 ros-rosgraph-msgs                  	       0        1        0        0        1
57554 ros-sensor-msgs                    	       0        1        0        0        1
57555 ros-shape-msgs                     	       0        1        0        0        1
57556 ros-simulators                     	       0        1        0        0        1
57557 ros-simulators-dev                 	       0        1        0        0        1
57558 ros-simulators-lisp-dev            	       0        1        0        0        1
57559 ros-simulators-python-dev          	       0        1        0        0        1
57560 ros-std-msgs                       	       0        1        0        0        1
57561 ros-std-srvs                       	       0        1        0        0        1
57562 ros-stereo-msgs                    	       0        1        0        0        1
57563 ros-tf2-msgs                       	       0        1        0        0        1
57564 ros-topic-tools-srvs               	       0        1        0        0        1
57565 ros-trajectory-msgs                	       0        1        0        0        1
57566 ros-visualization-msgs             	       0        1        0        0        1
57567 ros-viz                            	       0        1        0        0        1
57568 rosbash                            	       0        1        1        0        0
57569 rosbuild                           	       0        1        1        0        0
57570 rosegarden                         	       0       42       39        3        0
57571 roslang                            	       0        1        0        0        1
57572 roslisp                            	       0        1        0        0        1
57573 rosnix-firewall                    	       0        2        0        0        2
57574 rosout                             	       0        1        1        0        0
57575 rospack-tools                      	       0        2        2        0        0
57576 rotix                              	       0        4        4        0        0
57577 rott                               	       0        6        6        0        0
57578 rotter                             	       0        7        7        0        0
57579 roundcube                          	       0       18        0        0       18
57580 roundcube-core                     	       0       18       18        0        0
57581 roundcube-mysql                    	       0       19        0        0       19
57582 roundcube-plugin-authres-status    	       0        1        1        0        0
57583 roundcube-plugin-compose-addressbook	       0        1        1        0        0
57584 roundcube-plugin-contextmenu       	       0        1        1        0        0
57585 roundcube-plugin-dovecot-impersonate	       0        1        1        0        0
57586 roundcube-plugin-fail2ban          	       0        1        1        0        0
57587 roundcube-plugin-html5-notifier    	       0        1        1        0        0
57588 roundcube-plugin-keyboard-shortcuts	       0        1        1        0        0
57589 roundcube-plugin-listcommands      	       0        1        1        0        0
57590 roundcube-plugin-message-highlight 	       0        1        1        0        0
57591 roundcube-plugin-sauserprefs       	       0        1        1        0        0
57592 roundcube-plugin-thunderbird-labels	       0        1        1        0        0
57593 roundcube-plugins                  	       0       16       16        0        0
57594 roundcube-plugins-extra            	       0        7        6        0        1
57595 roundcube-skin-classic             	       0        2        0        0        2
57596 roundcube-skin-larry               	       0        2        0        0        2
57597 roundcubemail                      	       0        1        1        0        0
57598 roundcubemail-plugin-kolab-delegation	       0        1        1        0        0
57599 roundcubemail-plugins-kolab        	       0        1        0        0        1
57600 roundcubemail-skin-chameleon       	       0        1        0        0        1
57601 route-rnd                          	       0        2        2        0        0
57602 routino                            	       0       19       18        1        0
57603 routino-common                     	       0       19        0        0       19
57604 routino-www                        	       0        3        0        0        3
57605 rovclock                           	       0       11       11        0        0
57606 rover                              	       0        3        3        0        0
57607 rox-archive                        	       0        2        2        0        0
57608 rox-edit                           	       0        2        2        0        0
57609 rox-lib2                           	       0        2        2        0        0
57610 rox-mime-jon                       	       0        2        0        0        2
57611 rox-wallpaper                      	       0        2        2        0        0
57612 roxterm                            	       0        4        0        0        4
57613 roxterm-common                     	       0        4        0        0        4
57614 roxterm-gtk3                       	       0        4        4        0        0
57615 rpi-eeprom                         	       0        1        1        0        0
57616 rpi-imager                         	       0       51       50        1        0
57617 rpi-imager-dbgsym                  	       0        1        1        0        0
57618 rpi-update                         	       0        1        1        0        0
57619 rplay-client                       	       0        2        2        0        0
57620 rpm-i18n                           	       0       13        0        0       13
57621 rpm2html                           	       0        2        2        0        0
57622 rpmlint                            	       0        3        3        0        0
57623 rpp                                	       0        2        0        0        2
57624 rpp-dev                            	       0        1        0        1        0
57625 rr                                 	       0        5        5        0        0
57626 rrdcollect                         	       0        3        3        0        0
57627 rrdtool-tcl                        	       0        5        0        0        5
57628 rrep                               	       0        1        1        0        0
57629 rrootage                           	       0        4        4        0        0
57630 rrootage-data                      	       0        6        0        0        6
57631 rrqnet                             	       0        5        5        0        0
57632 rs                                 	       0        1        1        0        0
57633 rsbackup-graph                     	       0        2        2        0        0
57634 rsbackup-lib                       	       0        1        1        0        0
57635 rsem                               	       0        1        1        0        0
57636 rsemd                              	       0        1        1        0        0
57637 rsgain                             	       0        2        2        0        0
57638 rsh-client                         	       0       20       18        2        0
57639 rsh-redone-client                  	       0        3        3        0        0
57640 rsh-redone-server                  	       0        2        2        0        0
57641 rsplib-doc                         	       0        2        0        0        2
57642 rsplib-fgp-cfgfiles                	       0        2        0        0        2
57643 rsplib-tools                       	       0        2        2        0        0
57644 rsrce                              	       0        1        1        0        0
57645 rss-glx                            	       0       23       22        1        0
57646 rss2email                          	       0        6        6        0        0
57647 rssguard                           	       0        2        2        0        0
57648 rssh                               	       0       15       15        0        0
57649 rsshfs                             	       0        3        3        0        0
57650 rsstail                            	       0        8        8        0        0
57651 rstat-client                       	       0        2        2        0        0
57652 rstatd                             	       0        1        1        0        0
57653 rstcheck                           	       0        2        2        0        0
57654 rstudio                            	       0       28       28        0        0
57655 rsync-build-deps                   	       0        1        0        0        1
57656 rsyncbackup-2pir                   	       0        1        1        0        0
57657 rsyncrypto                         	       0        2        2        0        0
57658 rsyslog-dbgsym                     	       0        1        1        0        0
57659 rsyslog-doc                        	       0       24        0        0       24
57660 rsyslog-gssapi                     	       0        1        0        0        1
57661 rsyslog-mysql                      	       0        1        1        0        0
57662 rsyslog-openssl                    	       0        2        0        0        2
57663 rsyslog-pgsql                      	       0        1        0        0        1
57664 rsyslog-snmp                       	       0        1        0        0        1
57665 rt-tests                           	       0        2        2        0        0
57666 rt3573sta-driver                   	       0        1        0        0        1
57667 rt4-apache2                        	       0        1        0        0        1
57668 rt4-clients                        	       0        1        1        0        0
57669 rt4-db-mysql                       	       0        1        0        0        1
57670 rtags                              	       0        2        2        0        0
57671 rtax                               	       0        1        1        0        0
57672 rtcontrolcenter                    	       0        1        1        0        0
57673 rtcw                               	       0        3        3        0        0
57674 rtcw-common                        	       0        3        3        0        0
57675 rtcw-en-data                       	       0        1        0        0        1
57676 rtirq-init                         	       0       10        0        0       10
57677 rtklib                             	       0        3        3        0        0
57678 rtklib-doc                         	       0        1        0        0        1
57679 rtklib-qt                          	       0        3        3        0        0
57680 rtl-ais                            	       0        2        2        0        0
57681 rtl-connector                      	       0        1        1        0        0
57682 rtl-tcp-connector                  	       0        1        1        0        0
57683 rtl8192eu-dkms                     	       0        1        1        0        0
57684 rtl8821cu-dkms                     	       0        1        1        0        0
57685 rtl88x2bu-dkms                     	       0        2        2        0        0
57686 rtorrent-build-deps                	       0        1        0        0        1
57687 rtorrent-dbgsym                    	       0        1        1        0        0
57688 rts5229                            	       0        1        0        0        1
57689 rttool                             	       0        1        1        0        0
57690 rtv                                	       0        2        2        0        0
57691 rtw89-dkms                         	       0        1        1        0        0
57692 rtx                                	       0        1        1        0        0
57693 rubberband-cli                     	       0       42       41        1        0
57694 rubberband-lv2                     	       0        2        2        0        0
57695 rubberband-vamp                    	       0        6        5        0        1
57696 rubi-deb                           	       0        2        0        0        2
57697 rubiks                             	       0        3        3        0        0
57698 rubocop                            	       0        5        5        0        0
57699 ruby-abstract-type                 	       0        1        1        0        0
57700 ruby-ace-rails-ap                  	       0        1        1        0        0
57701 ruby-actioncable                   	       0       11       10        1        0
57702 ruby-actionmailbox                 	       0       10        9        1        0
57703 ruby-actionmailer                  	       0       14       13        1        0
57704 ruby-actionpack                    	       0       14       13        1        0
57705 ruby-actionpack-action-caching     	       0        5        5        0        0
57706 ruby-actionpack-xml-parser         	       0        6        6        0        0
57707 ruby-actiontext                    	       0       10        9        1        0
57708 ruby-actionview                    	       0       14       13        1        0
57709 ruby-active-model-serializers      	       0        1        1        0        0
57710 ruby-activejob                     	       0       14       13        1        0
57711 ruby-activeldap                    	       0        1        1        0        0
57712 ruby-activemodel                   	       0       17       16        1        0
57713 ruby-activerecord                  	       0       16       15        1        0
57714 ruby-activestorage                 	       0       11       10        1        0
57715 ruby-adsf                          	       0        1        1        0        0
57716 ruby-adsf-live                     	       0        1        1        0        0
57717 ruby-afm                           	       0        5        5        0        0
57718 ruby-airbrussh                     	       0        1        1        0        0
57719 ruby-akismet                       	       0        1        1        0        0
57720 ruby-all-dev                       	       0       12       12        0        0
57721 ruby-amq-protocol                  	       0        1        1        0        0
57722 ruby-amrita2                       	       0        1        1        0        0
57723 ruby-ansi                          	       0        5        5        0        0
57724 ruby-archive-zip                   	       0        2        2        0        0
57725 ruby-arel                          	       0        5        5        0        0
57726 ruby-arr-pm                        	       0        1        1        0        0
57727 ruby-ascii85                       	       0        5        5        0        0
57728 ruby-asciidoctor-include-ext       	       0        1        1        0        0
57729 ruby-asciidoctor-pdf               	       0        1        1        0        0
57730 ruby-asciidoctor-plantuml          	       0        1        1        0        0
57731 ruby-ast                           	       0        5        5        0        0
57732 ruby-atomic                        	       0       16       14        1        1
57733 ruby-aubio                         	       0        3        3        0        0
57734 ruby-augeas                        	       0       31       15        0       16
57735 ruby-avl-tree                      	       0        2        1        0        1
57736 ruby-aws-sdk                       	       0        1        1        0        0
57737 ruby-bcrypt-pbkdf                  	       0       31        6        0       25
57738 ruby-bdb                           	       0        1        0        0        1
57739 ruby-bindata                       	       0        1        1        0        0
57740 ruby-bindex                        	       0        7        1        0        6
57741 ruby-binding-of-caller             	       0        6        5        1        0
57742 ruby-blankslate                    	       0       12       11        1        0
57743 ruby-bootsnap                      	       0        7        6        1        0
57744 ruby-bootstrap-form                	       0        1        1        0        0
57745 ruby-bson                          	       0        2        1        0        1
57746 ruby-bunny                         	       0        1        1        0        0
57747 ruby-byebug                        	       0       11       10        1        0
57748 ruby-cairo                         	       0       34       34        0        0
57749 ruby-cairo-gobject                 	       0       34       34        0        0
57750 ruby-capybara                      	       0        7        6        1        0
57751 ruby-case-transform                	       0        1        1        0        0
57752 ruby-celluloid                     	       0        4        4        0        0
57753 ruby-celluloid-essentials          	       0        1        1        0        0
57754 ruby-celluloid-extras              	       0        1        1        0        0
57755 ruby-celluloid-fsm                 	       0        1        1        0        0
57756 ruby-celluloid-pool                	       0        1        1        0        0
57757 ruby-celluloid-supervision         	       0        1        1        0        0
57758 ruby-certificate-authority         	       0        3        2        1        0
57759 ruby-charlock-holmes               	       0        2        0        0        2
57760 ruby-chef-utils                    	       0        3        3        0        0
57761 ruby-chromedriver-helper           	       0        1        1        0        0
57762 ruby-chunky-png                    	       0        4        4        0        0
57763 ruby-cleanroom                     	       0        1        1        0        0
57764 ruby-coffee-rails                  	       0        4        4        0        0
57765 ruby-coffee-script                 	       0       10       10        0        0
57766 ruby-coffee-script-source          	       0       11       10        1        0
57767 ruby-color                         	       0        1        1        0        0
57768 ruby-colored2                      	       0        1        1        0        0
57769 ruby-colorize                      	       0        5        5        0        0
57770 ruby-columnize                     	       0       11       10        1        0
57771 ruby-commandline                   	       0        1        1        0        0
57772 ruby-commonmarker                  	       0        2        0        0        2
57773 ruby-connection-pool               	       0       10        9        1        0
57774 ruby-console                       	       0        1        1        0        0
57775 ruby-crack                         	       0        1        1        0        0
57776 ruby-crass                         	       0       11       10        1        0
57777 ruby-cri                           	       0        1        1        0        0
57778 ruby-cri-doc                       	       0        1        0        0        1
57779 ruby-css-parser                    	       0        7        7        0        0
57780 ruby-csv                           	       0        1        1        0        0
57781 ruby-cucumber-core                 	       0        1        1        0        0
57782 ruby-cucumber-wire                 	       0        1        1        0        0
57783 ruby-curb                          	       0        1        0        0        1
57784 ruby-curses                        	       0       10       10        0        0
57785 ruby-dataobjects                   	       0        2        2        0        0
57786 ruby-dataobjects-mysql             	       0        1        1        0        0
57787 ruby-dataobjects-postgres          	       0        2        2        0        0
57788 ruby-dataobjects-sqlite3           	       0        2        2        0        0
57789 ruby-dbd-mysql                     	       0        1        1        0        0
57790 ruby-dbd-pg                        	       0        2        2        0        0
57791 ruby-dbd-sqlite3                   	       0        1        1        0        0
57792 ruby-dbi                           	       0        2        2        0        0
57793 ruby-dbm                           	       0       13        0        0       13
57794 ruby-dbus                          	       0        1        1        0        0
57795 ruby-ddmetrics                     	       0        1        1        0        0
57796 ruby-ddplugin                      	       0        1        1        0        0
57797 ruby-deb-version                   	       0        1        1        0        0
57798 ruby-debug-inspector               	       0        6        3        0        3
57799 ruby-declarative                   	       0        1        1        0        0
57800 ruby-declarative-option            	       0        1        1        0        0
57801 ruby-deprecated                    	       0        2        2        0        0
57802 ruby-dev                           	       0       85        0        0       85
57803 ruby-device-detector               	       0        1        1        0        0
57804 ruby-diff-lcs                      	       0        9        9        0        0
57805 ruby-dirty-memoize                 	       0        1        1        0        0
57806 ruby-distribution                  	       0        1        1        0        0
57807 ruby-dnsruby                       	       0        5        5        0        0
57808 ruby-docile                        	       0        2        2        0        0
57809 ruby-docker-api                    	       0        1        1        0        0
57810 ruby-dotenv                        	       0        1        1        0        0
57811 ruby-ecasound                      	       0        1        1        0        0
57812 ruby-ed25519                       	       0       31        6        0       25
57813 ruby-eim-xml                       	       0        1        1        0        0
57814 ruby-enum                          	       0        2        2        0        0
57815 ruby-erubis                        	       0       25       24        1        0
57816 ruby-ethon                         	       0        4        4        0        0
57817 ruby-execjs                        	       0       14       13        1        0
57818 ruby-exif                          	       0        4        0        0        4
57819 ruby-expression-parser             	       0        1        1        0        0
57820 ruby-expression-parser-doc         	       0        1        0        0        1
57821 ruby-extlib                        	       0        2        2        0        0
57822 ruby-facets                        	       0        1        1        0        0
57823 ruby-fakefs                        	       0        2        2        0        0
57824 ruby-faraday-middleware            	       0        1        1        0        0
57825 ruby-faraday-net-http              	       0        1        1        0        0
57826 ruby-fast-xs                       	       0        2        2        0        0
57827 ruby-fcgi                          	       0        4        4        0        0
57828 ruby-feedparser                    	       0        1        1        0        0
57829 ruby-ffi-compiler                  	       0        4        4        0        0
57830 ruby-ffi-libarchive                	       0        2        2        0        0
57831 ruby-ffi-yajl                      	       0        1        1        0        0
57832 ruby-fiber-local                   	       0        1        1        0        0
57833 ruby-flexmock                      	       0        2        2        0        0
57834 ruby-fssm                          	       0        1        1        0        0
57835 ruby-full                          	       0       11        0        0       11
57836 ruby-fuzzyurl                      	       0        1        1        0        0
57837 ruby-gdk3                          	       0       24       24        0        0
57838 ruby-gettext-activerecord          	       0        1        1        0        0
57839 ruby-gettext-i18n-rails            	       0        1        1        0        0
57840 ruby-gettext-setup                 	       0        1        1        0        0
57841 ruby-gherkin                       	       0        1        1        0        0
57842 ruby-git                           	       0        2        2        0        0
57843 ruby-globalid                      	       0       14       13        1        0
57844 ruby-god                           	       0        1        1        0        0
57845 ruby-grpc                          	       0       24        0        0       24
57846 ruby-gsl                           	       0        1        0        0        1
57847 ruby-gssapi                        	       0        1        1        0        0
57848 ruby-gstreamer                     	       0        4        4        0        0
57849 ruby-gtk2                          	       0        9        9        0        0
57850 ruby-gtk3                          	       0       23       23        0        0
57851 ruby-haml                          	       0        3        3        0        0
57852 ruby-hamster                       	       0        2        2        0        0
57853 ruby-hashery                       	       0        4        4        0        0
57854 ruby-hashie                        	       0        1        1        0        0
57855 ruby-hdfeos5                       	       0        3        3        0        0
57856 ruby-hiera                         	       0       10        0        0       10
57857 ruby-hike                          	       0       14       13        1        0
57858 ruby-hikidoc                       	       0        2        2        0        0
57859 ruby-hitimes                       	       0        5        1        0        4
57860 ruby-hivex                         	       0        1        1        0        0
57861 ruby-hmac                          	       0        3        3        0        0
57862 ruby-hpricot                       	       0        2        2        0        0
57863 ruby-html-pipeline                 	       0        3        3        0        0
57864 ruby-html2haml                     	       0        3        3        0        0
57865 ruby-html2text                     	       0        1        1        0        0
57866 ruby-htmlentities                  	       0        9        9        0        0
57867 ruby-htree                         	       0        3        3        0        0
57868 ruby-http                          	       0        4        4        0        0
57869 ruby-http-accept                   	       0        4        3        1        0
57870 ruby-http-connection               	       0        1        1        0        0
57871 ruby-http-form-data                	       0        4        4        0        0
57872 ruby-http-parser                   	       0        4        4        0        0
57873 ruby-http-parser.rb-doc            	       0        1        0        0        1
57874 ruby-hyperestraier                 	       0        2        2        0        0
57875 ruby-i18n-data                     	       0        1        1        0        0
57876 ruby-icalendar                     	       0        1        1        0        0
57877 ruby-ice-cube                      	       0        1        1        0        0
57878 ruby-imagesize                     	       0        1        1        0        0
57879 ruby-iniparse                      	       0        1        1        0        0
57880 ruby-innate                        	       0        2        2        0        0
57881 ruby-innertube                     	       0        1        1        0        0
57882 ruby-inotify                       	       0        1        1        0        0
57883 ruby-io-like                       	       0        2        2        0        0
57884 ruby-jar-dependencies              	       0        1        1        0        0
57885 ruby-jbuilder                      	       0       10        9        1        0
57886 ruby-jekyll-avatar                 	       0        1        1        0        0
57887 ruby-jekyll-coffeescript           	       0        6        6        0        0
57888 ruby-jekyll-compose                	       0        1        1        0        0
57889 ruby-jekyll-gist                   	       0        6        6        0        0
57890 ruby-jekyll-last-modified-at       	       0        1        0        0        1
57891 ruby-jekyll-mentions               	       0        1        1        0        0
57892 ruby-jekyll-paginate               	       0        5        5        0        0
57893 ruby-jekyll-paginate-v2            	       0        1        0        0        1
57894 ruby-jekyll-redirect-from          	       0        1        1        0        0
57895 ruby-jekyll-seo-tag                	       0        8        0        0        8
57896 ruby-jekyll-sitemap                	       0        1        1        0        0
57897 ruby-joiner                        	       0        1        1        0        0
57898 ruby-jquery-rails                  	       0        8        7        1        0
57899 ruby-jsonapi-renderer              	       0        1        1        0        0
57900 ruby-kramdown-rfc2629              	       0        1        1        0        0
57901 ruby-krb5-auth                     	       0        1        1        0        0
57902 ruby-launchy-shim                  	       0        1        1        0        0
57903 ruby-libnotify                     	       0        1        1        0        0
57904 ruby-librarian                     	       0        1        1        0        0
57905 ruby-libxml                        	       0        2        1        0        1
57906 ruby-loofah                        	       0       14       13        1        0
57907 ruby-mab                           	       0        1        1        0        0
57908 ruby-magic                         	       0        2        2        0        0
57909 ruby-mail                          	       0       23       22        1        0
57910 ruby-mapscript                     	       0        1        0        0        1
57911 ruby-marcel                        	       0       12       11        1        0
57912 ruby-maruku                        	       0        2        2        0        0
57913 ruby-mathml                        	       0        1        1        0        0
57914 ruby-mdl                           	       0        1        1        0        0
57915 ruby-mecab                         	       0        1        0        0        1
57916 ruby-mechanize                     	       0        3        3        0        0
57917 ruby-memcache-client               	       0        1        1        0        0
57918 ruby-metaclass                     	       0        3        3        0        0
57919 ruby-metriks                       	       0        1        1        0        0
57920 ruby-middleware                    	       0        1        1        0        0
57921 ruby-mimemagic                     	       0        7        7        0        0
57922 ruby-mini-magick                   	       0        3        3        0        0
57923 ruby-mini-mime                     	       0       19       18        1        0
57924 ruby-minitar                       	       0        4        4        0        0
57925 ruby-mixlib-archive                	       0        1        1        0        0
57926 ruby-mixlib-authentication         	       0        1        1        0        0
57927 ruby-mixlib-cli                    	       0        5        5        0        0
57928 ruby-mixlib-config                 	       0        3        3        0        0
57929 ruby-mixlib-log                    	       0        2        2        0        0
57930 ruby-mixlib-shellout               	       0        3        3        0        0
57931 ruby-mocha                         	       0        3        3        0        0
57932 ruby-molinillo                     	       0       12       11        1        0
57933 ruby-mongo                         	       0        2        2        0        0
57934 ruby-mp3tag                        	       0        2        2        0        0
57935 ruby-msfrpc-client                 	       0        1        1        0        0
57936 ruby-msgpack                       	       0       10        2        0        8
57937 ruby-multi-test                    	       0        1        1        0        0
57938 ruby-multibitnums                  	       0        1        0        0        1
57939 ruby-mustache                      	       0        9        9        0        0
57940 ruby-mustermann                    	       0        6        6        0        0
57941 ruby-mysql                         	       0        2        2        0        0
57942 ruby-narray                        	       0        6        0        0        6
57943 ruby-narray-miss                   	       0        2        2        0        0
57944 ruby-nenv                          	       0        1        1        0        0
57945 ruby-neovim                        	       0        1        1        0        0
57946 ruby-net-dns                       	       0        1        1        0        0
57947 ruby-net-http-persistent           	       0       16       15        1        0
57948 ruby-net-ldap                      	       0        7        7        0        0
57949 ruby-net-ssh-gateway               	       0        3        3        0        0
57950 ruby-net-ssh-multi                 	       0        2        2        0        0
57951 ruby-netcdf                        	       0        1        1        0        0
57952 ruby-netrc                         	       0        6        5        1        0
57953 ruby-notifier                      	       0        1        1        0        0
57954 ruby-notify                        	       0        2        2        0        0
57955 ruby-notmuch                       	       0        4        0        0        4
57956 ruby-ntlm                          	       0        3        3        0        0
57957 ruby-oauth                         	       0        1        1        0        0
57958 ruby-octokit                       	       0        6        6        0        0
57959 ruby-odbc                          	       0        2        2        0        0
57960 ruby-oily-png                      	       0        1        1        0        0
57961 ruby-okular                        	       0        1        1        0        0
57962 ruby-ole                           	       0        1        1        0        0
57963 ruby-openid                        	       0        3        3        0        0
57964 ruby-opennebula                    	       0        1        1        0        0
57965 ruby-optimist                      	       0       44        0        0       44
57966 ruby-origami                       	       0        4        4        0        0
57967 ruby-packet                        	       0        2        2        0        0
57968 ruby-paint                         	       0       45       44        1        0
57969 ruby-pango                         	       0       33       33        0        0
57970 ruby-parallel                      	       0        5        5        0        0
57971 ruby-parser                        	       0        1        1        0        0
57972 ruby-parslet                       	       0        2        2        0        0
57973 ruby-passenger                     	       0        5        0        0        5
57974 ruby-password                      	       0        2        1        0        1
57975 ruby-pastel                        	       0        1        1        0        0
57976 ruby-pdf-core                      	       0        4        4        0        0
57977 ruby-pdf-inspector                 	       0        2        2        0        0
57978 ruby-pdf-reader                    	       0        4        4        0        0
57979 ruby-pg                            	       0        5        5        0        0
57980 ruby-phonon                        	       0        2        2        0        0
57981 ruby-plist                         	       0        1        1        0        0
57982 ruby-polyglot                      	       0       12       11        1        0
57983 ruby-poppler                       	       0        2        2        0        0
57984 ruby-posix-spawn                   	       0        5        5        0        0
57985 ruby-prawn                         	       0        3        3        0        0
57986 ruby-prawn-icon                    	       0        1        1        0        0
57987 ruby-prawn-svg                     	       0        1        1        0        0
57988 ruby-prawn-table                   	       0        2        2        0        0
57989 ruby-prawn-templates               	       0        1        1        0        0
57990 ruby-premailer                     	       0        1        1        0        0
57991 ruby-progressbar                   	       0        5        5        0        0
57992 ruby-protected-attributes          	       0        3        3        0        0
57993 ruby-proxifier                     	       0        1        1        0        0
57994 ruby-pry-byebug                    	       0        1        1        0        0
57995 ruby-psych                         	       0        1        0        0        1
57996 ruby-puppet-forge                  	       0        1        1        0        0
57997 ruby-puppet-resource-api           	       0        1        1        0        0
57998 ruby-puppetserver-ca-cli           	       0        1        1        0        0
57999 ruby-qdbm                          	       0        2        0        0        2
58000 ruby-qt4-script                    	       0        3        3        0        0
58001 ruby-qt4-test                      	       0        3        3        0        0
58002 ruby-qt4-uitools                   	       0        3        3        0        0
58003 ruby-rack-livereload               	       0        1        1        0        0
58004 ruby-rack-openid                   	       0        3        3        0        0
58005 ruby-rack-proxy                    	       0        6        5        1        0
58006 ruby-rack-test                     	       0       16       15        1        0
58007 ruby-rails                         	       0       14        0        0       14
58008 ruby-rails-deprecated-sanitizer    	       0       14       13        1        0
58009 ruby-rails-dom-testing             	       0       14       13        1        0
58010 ruby-rails-html-sanitizer          	       0       14       13        1        0
58011 ruby-rails-i18n                    	       0        1        1        0        0
58012 ruby-rails-observers               	       0        5        5        0        0
58013 ruby-railties                      	       0       14       13        1        0
58014 ruby-rainbow                       	       0       15       15        0        0
58015 ruby-ramaze                        	       0        1        1        0        0
58016 ruby-rbpdf                         	       0        6        6        0        0
58017 ruby-rbpdf-font                    	       0        6        6        0        0
58018 ruby-rbtree                        	       0       17        0        0       17
58019 ruby-rc4                           	       0        4        4        0        0
58020 ruby-rd                            	       0        4        4        0        0
58021 ruby-redis                         	       0        2        2        0        0
58022 ruby-regexp-parser                 	       0       11       10        1        0
58023 ruby-request-store                 	       0        5        5        0        0
58024 ruby-rest-client                   	       0        6        5        1        0
58025 ruby-rgen                          	       0        4        4        0        0
58026 ruby-riddle                        	       0        1        1        0        0
58027 ruby-rmagick                       	       0       24       24        0        0
58028 ruby-rmagick-doc                   	       0        2        0        0        2
58029 ruby-roadie                        	       0        5        5        0        0
58030 ruby-roadie-rails                  	       0        5        5        0        0
58031 ruby-ronn                          	       0        7        7        0        0
58032 ruby-rotp                          	       0        3        3        0        0
58033 ruby-rqrcode                       	       0        3        3        0        0
58034 ruby-rqrcode-core                  	       0        3        3        0        0
58035 ruby-rrd                           	       0        6        0        0        6
58036 ruby-rspec                         	       0        4        4        0        0
58037 ruby-rspec-core                    	       0        5        5        0        0
58038 ruby-rspec-expectations            	       0        5        5        0        0
58039 ruby-rspec-logsplit                	       0        1        1        0        0
58040 ruby-rspec-mocks                   	       0        5        5        0        0
58041 ruby-rspec-support                 	       0        5        5        0        0
58042 ruby-rsvg2                         	       0        1        1        0        0
58043 ruby-rsync                         	       0        1        1        0        0
58044 ruby-rt                            	       0        2        2        0        0
58045 ruby-rubame                        	       0        3        3        0        0
58046 ruby-rubocop-ast                   	       0        5        5        0        0
58047 ruby-rubocop-rspec                 	       0        1        1        0        0
58048 ruby-ruby-parser                   	       0        3        3        0        0
58049 ruby-ruby2-keywords                	       0       36        0        0       36
58050 ruby-rubymail-doc                  	       0        1        0        0        1
58051 ruby-rugged                        	       0        2        0        0        2
58052 ruby-safe-yaml                     	       0       14       14        0        0
58053 ruby-sanitize                      	       0        2        2        0        0
58054 ruby-sass                          	       0       25       24        1        0
58055 ruby-sass-rails                    	       0       10        9        1        0
58056 ruby-sassc-rails                   	       0        6        5        1        0
58057 ruby-sawyer                        	       0        6        6        0        0
58058 ruby-scanf                         	       0        2        0        0        2
58059 ruby-schash                        	       0        1        1        0        0
58060 ruby-sdbm                          	       0      740        0        0      740
58061 ruby-sdl                           	       0        7        7        0        0
58062 ruby-sdoc                          	       0        7        6        1        0
58063 ruby-selenium-webdriver            	       0        7        6        1        0
58064 ruby-selinux                       	       0       18        1        0       17
58065 ruby-semantic-range                	       0        6        5        1        0
58066 ruby-sequel                        	       0        5        5        0        0
58067 ruby-sequel-pg                     	       0        2        2        0        0
58068 ruby-settingslogic-doc             	       0        1        0        0        1
58069 ruby-setup                         	       0        1        1        0        0
58070 ruby-sexp-processor                	       0        4        4        0        0
58071 ruby-shadow                        	       0       29        1        0       28
58072 ruby-sigar                         	       0        1        0        0        1
58073 ruby-simple-po-parser              	       0        1        1        0        0
58074 ruby-simplecov                     	       0        2        2        0        0
58075 ruby-simplecov-html                	       0        2        2        0        0
58076 ruby-simpleidn                     	       0        3        3        0        0
58077 ruby-slim                          	       0        2        2        0        0
58078 ruby-sorted-set                    	       0       17        0        0       17
58079 ruby-specinfra                     	       0        1        1        0        0
58080 ruby-spreadsheet                   	       0        1        1        0        0
58081 ruby-spring                        	       0       10        9        1        0
58082 ruby-spring-watcher-listen         	       0        6        5        1        0
58083 ruby-sprockets                     	       0       14       13        1        0
58084 ruby-sprockets-rails               	       0       14       13        1        0
58085 ruby-sshkit                        	       0        1        1        0        0
58086 ruby-stackprof                     	       0        1        1        0        0
58087 ruby-state-machines                	       0        1        1        0        0
58088 ruby-stomp                         	       0        3        3        0        0
58089 ruby-stringex                      	       0        2        2        0        0
58090 ruby-svg-graph                     	       0        2        2        0        0
58091 ruby-svn                           	       0       10       10        0        0
58092 ruby-sync                          	       0        3        0        0        3
58093 ruby-sys-filesystem                	       0       14       14        0        0
58094 ruby-syslog-logger                 	       0        1        1        0        0
58095 ruby-systemu                       	       0        3        3        0        0
58096 ruby-table-print                   	       0        1        1        0        0
58097 ruby-task-list                     	       0        2        2        0        0
58098 ruby-tcltk                         	       0        1        0        0        1
58099 ruby-temple                        	       0        3        3        0        0
58100 ruby-term-ansicolor                	       0        4        4        0        0
58101 ruby-termios                       	       0        2        1        0        1
58102 ruby-terser                        	       0        5        4        1        0
58103 ruby-text-format                   	       0        1        1        0        0
58104 ruby-thinking-sphinx               	       0        1        1        0        0
58105 ruby-thor                          	       0       56       55        1        0
58106 ruby-thread-safe                   	       0       14       13        1        0
58107 ruby-timers                        	       0        5        5        0        0
58108 ruby-tins                          	       0        4        4        0        0
58109 ruby-tioga                         	       0        1        1        0        0
58110 ruby-tioga-doc                     	       0        1        0        0        1
58111 ruby-toml                          	       0        1        1        0        0
58112 ruby-treetop                       	       0       12       11        1        0
58113 ruby-trollop                       	       0        9        8        1        0
58114 ruby-ttfunk                        	       0        5        5        0        0
58115 ruby-tty-color                     	       0        1        1        0        0
58116 ruby-tty-screen                    	       0        1        1        0        0
58117 ruby-turbolinks                    	       0        9        8        1        0
58118 ruby-turbolinks-source             	       0        7        6        1        0
58119 ruby-twitter4r                     	       0        1        1        0        0
58120 ruby-tzinfo                        	       0       26       25        1        0
58121 ruby-uconv                         	       0        4        4        0        0
58122 ruby-uglifier                      	       0        4        4        0        0
58123 ruby-unicorn-engine                	       0        1        0        0        1
58124 ruby-unidecode                     	       0        1        1        0        0
58125 ruby-usb                           	       0        1        1        0        0
58126 ruby-uuidtools                     	       0        3        3        0        0
58127 ruby-uuidtools-doc                 	       0        1        0        0        1
58128 ruby-validatable                   	       0        1        1        0        0
58129 ruby-wavefile                      	       0        3        3        0        0
58130 ruby-web-console                   	       0       10        9        1        0
58131 ruby-webpacker                     	       0        6        5        1        0
58132 ruby-webrobots                     	       0        3        3        0        0
58133 ruby-websocket                     	       0        9        8        1        0
58134 ruby-websocket-driver              	       0       11       10        1        0
58135 ruby-websocket-extensions          	       0       11       10        1        0
58136 ruby-whitequark-parser             	       0        5        5        0        0
58137 ruby-whitewash                     	       0        1        1        0        0
58138 ruby-wirble                        	       0        2        2        0        0
58139 ruby-xdg                           	       0       15       15        0        0
58140 ruby-xml-simple                    	       0       22        0        0       22
58141 ruby-xpath                         	       0        7        6        1        0
58142 ruby-ya2yaml                       	       0        1        1        0        0
58143 ruby-yell                          	       0        1        1        0        0
58144 ruby-zeitwerk                      	       0       18       17        1        0
58145 ruby1.6                            	       0        1        1        0        0
58146 ruby1.8                            	       0       15       15        0        0
58147 ruby1.8-dev                        	       0        2        2        0        0
58148 ruby1.8-examples                   	       0        1        0        0        1
58149 ruby1.9.1                          	       0       15       15        0        0
58150 ruby1.9.1-dev                      	       0        3        3        0        0
58151 ruby1.9.1-examples                 	       0        2        0        0        2
58152 ruby1.9.1-full                     	       0        1        0        0        1
58153 ruby2.0                            	       0        5        5        0        0
58154 ruby2.1-dev                        	       0        1        1        0        0
58155 ruby2.1-doc                        	       0        1        1        0        0
58156 ruby2.2                            	       0        2        2        0        0
58157 ruby2.3-dev                        	       0        6        6        0        0
58158 ruby2.3-doc                        	       0        2        2        0        0
58159 ruby2.3-tcltk                      	       0        1        1        0        0
58160 ruby2.5-dev                        	       0        7        7        0        0
58161 ruby2.5-doc                        	       0        7        7        0        0
58162 ruby2.7-dev                        	       0       14       14        0        0
58163 ruby2.7-doc                        	       0       12       12        0        0
58164 ruby3.0                            	       0        7        7        0        0
58165 ruby3.1-doc                        	       0       71        0        0       71
58166 ruby3.3-dev                        	       0        2        2        0        0
58167 ruby3.3-doc                        	       0        2        0        0        2
58168 rubybook                           	       0        1        0        0        1
58169 rubygems                           	       0        1        1        0        0
58170 rubygems-doc                       	       0        1        0        0        1
58171 rudder-agent                       	       0        1        1        0        0
58172 rudder-jetty                       	       0        1        1        0        0
58173 rudder-techniques                  	       0        1        0        0        1
58174 rulex                              	       0        1        1        0        0
58175 runawk                             	       0        1        1        0        0
58176 runds-connector                    	       0        1        1        0        0
58177 runescape-launcher                 	       0        2        2        0        0
58178 rungetty                           	       0        1        1        0        0
58179 rungettyloop                       	       0        1        1        0        0
58180 runit-run                          	       0       21       21        0        0
58181 runit-services                     	       0      233        3        0      230
58182 runit-sysv                         	       0        7        0        0        7
58183 runlim                             	       0        1        1        0        0
58184 runoverssh                         	       0        1        1        0        0
58185 runsc                              	       0        2        2        0        0
58186 rusers                             	       0        2        2        0        0
58187 rush                               	       0        3        3        0        0
58188 rust                               	       0        1        0        1        0
58189 rust-all                           	       0       14        0        0       14
58190 rust-analyzer                      	       0        1        1        0        0
58191 rust-clippy                        	       0       14       14        0        0
58192 rust-coreutils                     	       0        1        1        0        0
58193 rust-diffutils                     	       0        1        1        0        0
58194 rust-doc                           	       0       13        0        0       13
58195 rust-findutils                     	       0        1        1        0        0
58196 rust-gdb                           	       0       31       31        0        0
58197 rust-lldb                          	       0        4        4        0        0
58198 rust-markdown                      	       0        2        2        0        0
58199 rust-oids                          	       0        1        1        0        0
58200 rust-src                           	       0        8        8        0        0
58201 rustc-mozilla                      	       0        3        3        0        0
58202 rustc-web                          	       0        1        1        0        0
58203 rustdesk                           	       0       24       21        0        3
58204 rustfmt                            	       0       18       18        0        0
58205 rustfmt-web                        	       0        1        1        0        0
58206 rustup                             	       0        2        2        0        0
58207 rutebook                           	       0        1        0        0        1
58208 rutokenplugin                      	       0        2        2        0        0
58209 rviz                               	       0        1        1        0        0
58210 rwall                              	       0        2        2        0        0
58211 rwho                               	       0       13       12        1        0
58212 rx320                              	       0        1        1        0        0
58213 rxp                                	       0        1        1        0        0
58214 rxvt-unicode-256color              	       0       25        4        0       21
58215 rxvt-unicode-lite                  	       0        2        0        0        2
58216 rygel-gst-launch                   	       0        1        0        0        1
58217 rygel-preferences                  	       0       10       10        0        0
58218 rygel-ruih                         	       0        2        0        0        2
58219 ryzom-client-config                	       0        1        0        0        1
58220 s-tui                              	       0       30       29        1        0
58221 s3270                              	       0        2        2        0        0
58222 s3cmd                              	       0        8        8        0        0
58223 s3d-data                           	       0        1        0        0        1
58224 s3dfm                              	       0        3        3        0        0
58225 s3dvt                              	       0        2        2        0        0
58226 s3dx11gate                         	       0        1        1        0        0
58227 s3fs                               	       0        3        2        1        0
58228 s4cmd                              	       0        2        2        0        0
58229 s5                                 	       0        3        3        0        0
58230 s51dude                            	       0        1        1        0        0
58231 s6                                 	       0        8        8        0        0
58232 s6-doc                             	       0        6        0        0        6
58233 sa-exim                            	       0        2        2        0        0
58234 sabnzbdplus                        	       0        2        2        0        0
58235 sac                                	       0        2        2        0        0
58236 sacc                               	       0        4        4        0        0
58237 sacd                               	       0        1        1        0        0
58238 sacd-extract                       	       0        1        1        0        0
58239 safecat                            	       0        2        2        0        0
58240 safte-monitor                      	       0        1        1        0        0
58241 saga                               	       0        6        6        0        0
58242 saga-common                        	       0        7        0        0        7
58243 sagemath                           	       0        9        9        0        0
58244 sagemath-common                    	       0        2        2        0        0
58245 sagemath-database-conway-polynomials	       0       12        0        0       12
58246 sagemath-database-cremona-elliptic-curves	       0        2        0        0        2
58247 sagemath-database-elliptic-curves  	       0       12        0        0       12
58248 sagemath-database-graphs           	       0       12        0        0       12
58249 sagemath-database-mutually-combinatorial-designs	       0       12        0        0       12
58250 sagemath-database-polytopes        	       0       12        0        0       12
58251 sagemath-doc                       	       0       10        0        0       10
58252 sagemath-doc-en                    	       0        2        0        0        2
58253 sagemath-jupyter                   	       0        9        0        0        9
58254 sagetex                            	       0        8        0        0        8
58255 sagetex-doc                        	       0        1        0        0        1
58256 saidar                             	       0        8        8        0        0
58257 sail-codecs                        	       0        2        0        0        2
58258 sailcut                            	       0        1        1        0        0
58259 saint                              	       0        1        1        0        0
58260 salmid                             	       0        1        1        0        0
58261 salmon                             	       0        1        1        0        0
58262 salt-api                           	       0        1        1        0        0
58263 salt-cloud                         	       0        1        1        0        0
58264 salt-doc                           	       0        1        0        0        1
58265 salt-master                        	       0        1        1        0        0
58266 salt-pepper                        	       0        1        1        0        0
58267 salt-ssh                           	       0        1        1        0        0
58268 sam                                	       0        1        1        0        0
58269 sam2p                              	       0        3        3        0        0
58270 samba-ad-provision                 	       0      194        0        0      194
58271 samba-common                       	       0     1062        0        0     1062
58272 samba-dev                          	       0        6        5        1        0
58273 samba-doc-pdf                      	       0        3        0        0        3
58274 samba-vfs-replic                   	       0        1        0        0        1
58275 sambamba                           	       0        1        0        1        0
58276 samhain                            	       0        3        3        0        0
58277 samplerate-programs                	       0        1        0        0        1
58278 samplv1                            	       0        7        7        0        0
58279 samplv1-common                     	       0        9        1        0        8
58280 samplv1-lv2                        	       0        5        5        0        0
58281 samtools                           	       0        7        7        0        0
58282 sancho                             	       0        1        1        0        0
58283 sandsifter-build-deps              	       0        1        0        0        1
58284 sane-dbg                           	       0        1        1        0        0
58285 saods9                             	       0       12       12        0        0
58286 saods9-doc                         	       0       12        0        0       12
58287 saods9-tclpackages                 	       0        1        0        0        1
58288 saoxmlrpc                          	       0        1        0        0        1
58289 sapmachine-11-jdk                  	       0        1        1        0        0
58290 sapphire                           	       0        1        1        0        0
58291 sarg                               	       0        1        1        0        0
58292 sas2ircu                           	       0        4        4        0        0
58293 sasm                               	       0        1        1        0        0
58294 sasmodels-private-libs             	       0        1        1        0        0
58295 sass-elisp                         	       0        1        1        0        0
58296 sat4j                              	       0        5        5        0        0
58297 satellite-gtk                      	       0        2        2        0        0
58298 sauerbraten                        	       0        5        5        0        0
58299 savi                               	       0        5        5        0        0
58300 sawfish                            	       0       19       19        0        0
58301 sawfish-data                       	       0       19       17        0        2
58302 sawfish-lisp-source                	       0        7        0        0        7
58303 sawfish-merlin-ugliness            	       0        1        0        0        1
58304 sawfish-pager                      	       0        1        1        0        0
58305 sawfish-themes                     	       0        5        0        0        5
58306 sayonara                           	       0        7        7        0        0
58307 saytime                            	       0        2        2        0        0
58308 sbackup                            	       0        1        1        0        0
58309 sbc-tools                          	       0        3        3        0        0
58310 sbcl-doc                           	       0       13        0        0       13
58311 sbcl-source                        	       0       11       10        1        0
58312 sbrsh                              	       0        1        1        0        0
58313 sbrshd                             	       0        1        1        0        0
58314 sbt                                	       0        2        2        0        0
58315 sbt-ivy                            	       0        1        0        0        1
58316 sbuild                             	       0       22       22        0        0
58317 sbuild-debian-developer-setup      	       0        1        1        0        0
58318 sbuild-qemu                        	       0        2        2        0        0
58319 sbws                               	       0        1        1        0        0
58320 sbxkb                              	       0        1        1        0        0
58321 sc-im                              	       0        1        1        0        0
58322 sc3-plugins                        	       0        1        0        0        1
58323 sc3-plugins-language               	       0        1        0        0        1
58324 sc3-plugins-server                 	       0        4        4        0        0
58325 scala                              	       0        9        8        1        0
58326 scala-asm                          	       0        1        0        0        1
58327 scala-doc                          	       0        2        0        0        2
58328 scala-library                      	       0       15        0        0       15
58329 scala-mode-el                      	       0        1        0        0        1
58330 scala-parser-combinators           	       0       15        0        0       15
58331 scala-xml                          	       0       10        0        0       10
58332 scalable-cyrfonts-tex              	       0        1        0        0        1
58333 scalapack-mpi-test                 	       0        1        0        0        1
58334 scalapack-test-common              	       0        1        0        0        1
58335 scale2x                            	       0        1        1        0        0
58336 scamp                              	       0        2        2        0        0
58337 scanbd                             	       0        1        1        0        0
58338 scangearmp-common                  	       0        2        2        0        0
58339 scangearmp-mg2500series            	       0        1        1        0        0
58340 scangearmp-mg3500series            	       0        1        1        0        0
58341 scangearmp2                        	       0        5        5        0        0
58342 scantailor                         	       0        1        1        0        0
58343 scantailor-advanced                	       0        1        1        0        0
58344 scantailor-deviant                 	       0        1        1        0        0
58345 scantailor-experimental            	       0        1        1        0        0
58346 scantailor-universal               	       0        1        1        0        0
58347 scantool                           	       0        4        4        0        0
58348 sccache                            	       0        5        5        0        0
58349 scdoc-dbgsym                       	       0        1        1        0        0
58350 scenebuilder                       	       0        2        1        0        1
58351 sch-rnd                            	       0        2        0        0        2
58352 sch-rnd-core                       	       0        2        2        0        0
58353 sch-rnd-doc                        	       0        2        0        0        2
58354 sch-rnd-export-extra               	       0        2        2        0        0
58355 sch-rnd-export-vector              	       0        2        2        0        0
58356 sch-rnd-io-alien                   	       0        2        2        0        0
58357 sch-rnd-lib-gui                    	       0        2        2        0        0
58358 schema2ldif                        	       0        5        5        0        0
58359 scheme48                           	       0        4        4        0        0
58360 scheme48-doc                       	       0        4        0        0        4
58361 scheme9                            	       0        2        2        0        0
58362 schildichat-desktop                	       0        2        0        0        2
58363 schroot-common                     	       0       52        0        0       52
58364 scid-data                          	       0       18        0        0       18
58365 scid-rating-data                   	       0        2        0        0        2
58366 scid-spell-data                    	       0        2        0        0        2
58367 sciebo-client                      	       0        3        3        0        0
58368 sciebo-client-caja                 	       0        1        0        0        1
58369 sciebo-client-overlays-icons       	       0        1        0        0        1
58370 science-all                        	       0        1        0        0        1
58371 science-config                     	       0       10       10        0        0
58372 science-electronics                	       0        2        1        0        1
58373 science-imageanalysis              	       0        1        1        0        0
58374 science-imageanalysis-dev          	       0        2        2        0        0
58375 science-linguistics                	       0        1        1        0        0
58376 science-machine-learning           	       0        2        2        0        0
58377 science-neuroscience-modeling      	       0        1        1        0        0
58378 science-tasks                      	       0       10        0        0       10
58379 science-typesetting                	       0        3        3        0        0
58380 scilab                             	       0       14       14        0        0
58381 scilab-ann                         	       0        2        2        0        0
58382 scilab-celestlab                   	       0        1        1        0        0
58383 scilab-cli                         	       0       16       16        0        0
58384 scilab-data                        	       0       16       16        0        0
58385 scilab-doc                         	       0       11        0        0       11
58386 scilab-doc-fr                      	       0        1        0        0        1
58387 scilab-doc-pt-br                   	       0        1        0        0        1
58388 scilab-full-bin                    	       0       14       14        0        0
58389 scilab-include                     	       0       16       16        0        0
58390 scilab-jims                        	       0        1        1        0        0
58391 scilab-minimal-bin                 	       0       16       16        0        0
58392 scilab-overload                    	       0        1        1        0        0
58393 scilab-plotlib                     	       0        1        1        0        0
58394 scilab-scimax                      	       0        1        1        0        0
58395 scilab-scimax-doc                  	       0        1        1        0        0
58396 scilab-test                        	       0        1        1        0        0
58397 scim-dev                           	       0        1        0        0        1
58398 scim-dev-doc                       	       0        2        0        0        2
58399 scim-modules-table                 	       0        2        2        0        0
58400 scim-qt-immodule                   	       0        1        0        0        1
58401 scim-tables-ja                     	       0        2        0        0        2
58402 sciplot-bin                        	       0        1        1        0        0
58403 sciteproj                          	       0       10       10        0        0
58404 scm                                	       0        4        4        0        0
58405 scmxx                              	       0        2        2        0        0
58406 scons                              	       0       57       57        0        0
58407 scons-doc                          	       0        3        0        0        3
58408 scorched3d-data                    	       0       14        0        0       14
58409 scotch                             	       0        1        1        0        0
58410 scour                              	       0      103      102        1        0
58411 scowl                              	       0        1        0        0        1
58412 scp-wallet                         	       0        1        1        0        0
58413 scram                              	       0        2        2        0        0
58414 scram-gui                          	       0        1        1        0        0
58415 scratch                            	       0       22       22        0        0
58416 scratch-desktop                    	       0        2        2        0        0
58417 scratchbox-core                    	       0        1        1        0        0
58418 scratchbox-devkit-apt-https        	       0        1        1        0        0
58419 scratchbox-devkit-autotools-legacy 	       0        1        1        0        0
58420 scratchbox-devkit-debian-squeeze   	       0        1        1        0        0
58421 scratchbox-devkit-doctools         	       0        1        1        0        0
58422 scratchbox-devkit-git              	       0        1        1        0        0
58423 scratchbox-devkit-perl             	       0        1        1        0        0
58424 scratchbox-devkit-python-legacy    	       0        1        1        0        0
58425 scratchbox-devkit-qemu             	       0        1        1        0        0
58426 scratchbox-devkit-svn              	       0        1        1        0        0
58427 scratchbox-libs                    	       0        2        2        0        0
58428 scratchbox-toolchain-cs2007q3-glibc2.5-arm7	       0        1        1        0        0
58429 scratchbox-toolchain-cs2007q3-glibc2.5-i486	       0        1        1        0        0
58430 scratchbox-toolchain-cs2009q3-eglibc2.10-armv7-hard	       0        1        1        0        0
58431 scratchbox-toolchain-cs2009q3-eglibc2.10-i486	       0        1        1        0        0
58432 scratchbox-toolchain-host-gcc      	       0        2        2        0        0
58433 scrcpy                             	       0       16       16        0        0
58434 scrcpy-server                      	       0       17        0        0       17
58435 screenie                           	       0       20       19        1        0
58436 screenkey                          	       0       10       10        0        0
58437 screenruler                        	       0       20       20        0        0
58438 screentest                         	       0        4        4        0        0
58439 scribble                           	       0        1        1        0        0
58440 scribus                            	       0      135      131        4        0
58441 scribus-archive-keyring            	       0        1        0        0        1
58442 scribus-data                       	       0      135        0        0      135
58443 scribus-dev                        	       0        3        3        0        0
58444 scribus-doc                        	       0       29        0        0       29
58445 scribus-ng                         	       0        2        0        0        2
58446 scribus-ng-doc                     	       0        1        0        0        1
58447 scribus-template                   	       0       39        0        0       39
58448 scrm                               	       0        1        1        0        0
58449 scrollkeeper                       	       0        4        0        0        4
58450 scrollz                            	       0        2        2        0        0
58451 scrypt                             	       0        3        2        1        0
58452 scsi-idle                          	       0        1        1        0        0
58453 scsiadd                            	       0        3        3        0        0
58454 scst                               	       0        1        0        0        1
58455 scst-dkms                          	       0        1        1        0        0
58456 scstadmin                          	       0        2        2        0        0
58457 sct                                	       0        7        7        0        0
58458 sctk                               	       0        2        2        0        0
58459 scummvm-data                       	       0       63        0        0       63
58460 scute                              	       0        3        0        0        3
58461 scythe                             	       0        1        1        0        0
58462 sd                                 	       0        1        1        0        0
58463 sdate                              	       0        1        1        0        0
58464 sdb                                	       0        1        1        0        0
58465 sdcc                               	       0       27       27        0        0
58466 sdcc-doc                           	       0       24        0        0       24
58467 sdcc-libraries                     	       0       27       27        0        0
58468 sdcc-ucsim                         	       0       10       10        0        0
58469 sdcv                               	       0        5        5        0        0
58470 sdd                                	       0        1        1        0        0
58471 sddm-theme-breeze                  	       0      354        0        0      354
58472 sddm-theme-circles                 	       0        1        0        0        1
58473 sddm-theme-debian-breeze           	       0      322        0        0      322
58474 sddm-theme-debian-elarun           	       0       34        0        0       34
58475 sddm-theme-debian-maui             	       0      189        0        0      189
58476 sddm-theme-elarun                  	       0       34        0        0       34
58477 sddm-theme-maldives                	       0       55        0        0       55
58478 sddm-theme-maui                    	       0      147        0        0      147
58479 sddm-theme-maya                    	       0       28        0        0       28
58480 sdf                                	       0        6        6        0        0
58481 sdf-doc                            	       0        2        0        0        2
58482 sdformat-doc                       	       0        1        0        0        1
58483 sdformat-sdf                       	       0        2        0        0        2
58484 sdl-ball                           	       0        8        8        0        0
58485 sdl-ball-data                      	       0        8        0        0        8
58486 sdlbasic                           	       0        2        2        0        0
58487 sdlbrt                             	       0        2        2        0        0
58488 sdop                               	       0        6        6        0        0
58489 sdrangelove                        	       0        3        3        0        0
58490 sdrpp                              	       0        5        5        0        0
58491 seabios                            	       0      649        0        0      649
58492 seadrive-daemon                    	       0        1        1        0        0
58493 seafile-cli                        	       0        2        2        0        0
58494 seafile-daemon                     	       0        7        7        0        0
58495 seafile-gui                        	       0        6        6        0        0
58496 seahorse-adventures                	       0        1        1        0        0
58497 seahorse-daemon                    	       0       76       71        5        0
58498 seahorse-nautilus                  	       0        1        1        0        0
58499 seamonkey                          	       0        2        2        0        0
58500 sear                               	       0        1        1        0        0
58501 sear-media                         	       0        1        0        0        1
58502 search-ccsb                        	       0        1        1        0        0
58503 search-citeseer                    	       0        2        2        0        0
58504 searchandrescue-common             	       0        9        0        0        9
58505 searchandrescue-data               	       0        9        0        0        9
58506 searchmonkey                       	       0       18       17        1        0
58507 searx                              	       0        5        5        0        0
58508 seaview                            	       0        1        1        0        0
58509 sec                                	       0        1        1        0        0
58510 secback-client                     	       0        1        1        0        0
58511 secback-client-dbgsym              	       0        1        1        0        0
58512 secback-libs-dbgsym                	       0        1        1        0        0
58513 secback-server-dbgsym              	       0        1        1        0        0
58514 secback-simpel-config              	       0        1        0        0        1
58515 seccomp                            	       0        3        3        0        0
58516 seccure                            	       0        3        3        0        0
58517 secpanel                           	       0        3        3        0        0
58518 secrecy                            	       0        2        2        0        0
58519 secrets                            	       0        2        2        0        0
58520 secure-delete                      	       0       37       37        0        0
58521 securefs                           	       0        1        1        0        0
58522 sedparse                           	       0        1        1        0        0
58523 sedsed                             	       0        1        1        0        0
58524 seed                               	       0        1        1        0        0
58525 seedtools                          	       0        2        2        0        0
58526 seekwatcher                        	       0        1        1        0        0
58527 seergdb                            	       0        1        1        0        0
58528 segger-jlink-udev-rules            	       0        4        0        0        4
58529 select-xface                       	       0        3        3        0        0
58530 selektor                           	       0        3        3        0        0
58531 selfhtml                           	       0        3        0        0        3
58532 selflinux                          	       0        1        1        0        0
58533 selflinux-pdf                      	       0        1        0        0        1
58534 selint                             	       0        1        1        0        0
58535 selinux-basics                     	       0        3        3        0        0
58536 selinux-doc                        	       0        1        0        0        1
58537 selinux-policy-default             	       0        9        0        0        9
58538 selinux-policy-dev                 	       0        4        4        0        0
58539 selinux-policy-doc                 	       0        1        0        0        1
58540 selinux-policy-mls                 	       0        2        0        0        2
58541 selinux-policy-src                 	       0        1        0        0        1
58542 semanage-utils                     	       0        1        0        0        1
58543 semi                               	       0        2        2        0        0
58544 semodule-utils                     	       0        4        4        0        0
58545 sen                                	       0        3        3        0        0
58546 sendemail                          	       0       10       10        0        0
58547 sendfile                           	       0        4        4        0        0
58548 sendip                             	       0        4        4        0        0
58549 sendmail                           	       0       36        0        0       36
58550 sendmail-base                      	       0       50       50        0        0
58551 sendmail-cf                        	       0       53        0        0       53
58552 sendmail-doc                       	       0        9        0        0        9
58553 sensord                            	       0        2        2        0        0
58554 sensors-applet                     	       0       25        0        0       25
58555 sentencepiece                      	       0        1        1        0        0
58556 sentinelagent                      	       0       11        0        0       11
58557 sentineldl                         	       0        2        2        0        0
58558 sentinelsat                        	       0        4        4        0        0
58559 seq24                              	       0        9        9        0        0
58560 seqkit                             	       0        1        1        0        0
58561 seqprep                            	       0        1        1        0        0
58562 seqtk                              	       0        2        2        0        0
58563 sequeler                           	       0        4        4        0        0
58564 sequencer64                        	       0        5        5        0        0
58565 ser                                	       0        1        1        0        0
58566 ser-jabber-module                  	       0        1        1        0        0
58567 serdi                              	       0        5        4        1        0
58568 seriousproton-build-deps           	       0        1        0        0        1
58569 servefile                          	       0        1        1        0        0
58570 service-wrapper                    	       0        8        7        1        0
58571 session-desktop                    	       0        2        2        0        0
58572 session-manager-plugin             	       0        1        1        0        0
58573 sessioninstaller                   	       0        1        1        0        0
58574 setbfree                           	       0        5        5        0        0
58575 setnet                             	       0       24       24        0        0
58576 setools                            	       0       10       10        0        0
58577 setools-gui                        	       0        2        2        0        0
58578 setpriv                            	       0        1        1        0        0
58579 setpwc                             	       0        1        1        0        0
58580 setzer                             	       0        4        4        0        0
58581 sextractor                         	       0        9        1        0        8
58582 seyon                              	       0        2        2        0        0
58583 sf2-librazik                       	       0        1        0        0        1
58584 sf2-uiems                          	       0        1        0        0        1
58585 sf3convert                         	       0        3        3        0        0
58586 sfarkxtc                           	       0        2        2        0        0
58587 sfeed                              	       0        1        1        0        0
58588 sfftw-dev                          	       0        4        4        0        0
58589 sfftw2                             	       0        5        0        0        5
58590 sffview                            	       0        3        3        0        0
58591 sfind                              	       0        1        1        0        0
58592 sfizz                              	       0        2        2        0        0
58593 sfnt2woff-zopfli                   	       0        1        1        0        0
58594 sformat                            	       0        2        2        0        0
58595 sfwbar                             	       0        1        1        0        0
58596 sfz-avldrumkits                    	       0        1        0        0        1
58597 sfz-librazik                       	       0        1        0        0        1
58598 sfz-nobudgetorchestra              	       0        1        0        0        1
58599 sgabios                            	       0       17        0        0       17
58600 sgb                                	       0        1        1        0        0
58601 sgb-doc                            	       0        1        0        0        1
58602 sgf2dg                             	       0        3        3        0        0
58603 sgml-base-doc                      	       0       11        0        0       11
58604 sgml-data                          	       0     2032        0        0     2032
58605 sgml-spell-checker                 	       0        2        2        0        0
58606 sgmls-doc                          	       0        9        0        0        9
58607 sgmlspl                            	       0       81       80        1        0
58608 sgmltools-lite                     	       0        2        2        0        0
58609 sha1cdsum                          	       0        3        2        0        1
58610 shaderc                            	       0        2        2        0        0
58611 shadowsocks-v2ray-plugin           	       0        1        1        0        0
58612 shake                              	       0        1        1        0        0
58613 shake-fs                           	       0        1        1        0        0
58614 shaketracker                       	       0        1        1        0        0
58615 shanty                             	       0        1        1        0        0
58616 shapelib                           	       0        3        3        0        0
58617 shapetools-tutorial                	       0        1        0        0        1
58618 shared-desktop-ontologies          	       0       11        0        0       11
58619 sharutils-doc                      	       0       15        0        0       15
58620 shatag                             	       0        1        1        0        0
58621 shc                                	       0        7        7        0        0
58622 shellia                            	       0        3        0        0        3
58623 shelr                              	       0        2        2        0        0
58624 sherlock                           	       0        2        2        0        0
58625 sherlock.lv2                       	       0        1        1        0        0
58626 shfmt                              	       0        7        7        0        0
58627 shiboken2                          	       0      123      121        2        0
58628 shiboken2-doc                      	       0        2        0        0        2
58629 shift-nano                         	       0        1        0        0        1
58630 shiki-brave-theme                  	       0       15        0        0       15
58631 shiki-colors                       	       0       13        0        0       13
58632 shiki-colors-metacity-theme        	       0       16        0        0       16
58633 shiki-colors-xfwm-theme            	       0       21        0        0       21
58634 shiki-dust-theme                   	       0       16        0        0       16
58635 shiki-human-theme                  	       0       16        0        0       16
58636 shiki-illustrious-theme            	       0       16        0        0       16
58637 shiki-noble-theme                  	       0       16        0        0       16
58638 shiki-wine-theme                   	       0       17        0        0       17
58639 shiki-wise-theme                   	       0       16        0        0       16
58640 shim                               	       0        1        1        0        0
58641 shim-helpers-amd64-signed-template 	       0        2        0        0        2
58642 shim-helpers-arm64-signed          	       0        2        2        0        0
58643 shim-helpers-i386-signed           	       0        2        2        0        0
58644 shineenc                           	       0        1        1        0        0
58645 shiro-plugins                      	       0        2        2        0        0
58646 shishi-common                      	       0        5        0        0        5
58647 shntool                            	       0       47       46        1        0
58648 shogivar                           	       0        3        3        0        0
58649 shogivar-data                      	       0        3        0        0        3
58650 shoogle                            	       0        1        1        0        0
58651 shorewall-doc                      	       0        9        0        0        9
58652 shorewall-init                     	       0        5        4        1        0
58653 shorewall-lite                     	       0        1        0        1        0
58654 shorewall6-lite                    	       0        1        0        1        0
58655 shotcut-data                       	       0       60        0        0       60
58656 shotdetect                         	       0        1        1        0        0
58657 shove                              	       0        1        1        0        0
58658 showfoto                           	       0        9        9        0        0
58659 showq                              	       0        5        5        0        0
58660 shunit2                            	       0        4        4        0        0
58661 shutter                            	       0       15       15        0        0
58662 si                                 	       0        1        1        0        0
58663 sibsim4                            	       0        1        1        0        0
58664 sic                                	       0        1        1        0        0
58665 sidplay                            	       0        6        6        0        0
58666 sidplay-base                       	       0       10        9        1        0
58667 siduction-archive-keyring          	       0        2        0        0        2
58668 siege                              	       0        3        3        0        0
58669 sieve-connect                      	       0        3        3        0        0
58670 siftool                            	       0        1        1        0        0
58671 siggen                             	       0        3        3        0        0
58672 sightviewer                        	       0        1        1        0        0
58673 sigil                              	       0       23       22        1        0
58674 sigil-data                         	       0       23        0        0       23
58675 sigma-align                        	       0        1        1        0        0
58676 signald                            	       0        1        1        0        0
58677 signaldctl                         	       0        1        1        0        0
58678 signapk                            	       0        2        2        0        0
58679 signify                            	       0        3        3        0        0
58680 signify-openbsd-keys               	       0        4        0        0        4
58681 signon-kwallet-extension           	       0       28        0        0       28
58682 signon-plugin-oauth2               	       0      764        0        0      764
58683 signon-plugin-oauth2-dev           	       0        1        1        0        0
58684 signon-plugin-password             	       0       29        0        0       29
58685 signon-ui-qt                       	       0        2        2        0        0
58686 signon-ui-service                  	       0       29        0        0       29
58687 signon-ui-x11                      	       0       26       25        1        0
58688 signond                            	       0       29       28        1        0
58689 signond-dev                        	       0        1        1        0        0
58690 signond-doc                        	       0        1        0        0        1
58691 sigrok                             	       0       15        0        0       15
58692 sigrok-cli                         	       0       16       14        2        0
58693 sigrok-firmware-fx2lafw            	       0       17        0        0       17
58694 sigviewer                          	       0        3        3        0        0
58695 silan                              	       0        6        6        0        0
58696 silentjack                         	       0        9        9        0        0
58697 silicon-sword                      	       0        2        2        0        0
58698 silkaj                             	       0        1        1        0        0
58699 silverjuke                         	       0       10        9        1        0
58700 sim-data                           	       0        1        0        0        1
58701 sim4                               	       0        4        4        0        0
58702 sim4db                             	       0        2        2        0        0
58703 simavr                             	       0        8        8        0        0
58704 simg2img                           	       0        3        0        0        3
58705 simh                               	       0       10       10        0        0
58706 simhash                            	       0        1        1        0        0
58707 similarity-tester                  	       0        4        4        0        0
58708 simple-cdd                         	       0        4        4        0        0
58709 simple-fb2-reader                  	       0        1        1        0        0
58710 simple-image-filter                	       0        1        1        0        0
58711 simple-image-reducer               	       0        1        1        0        0
58712 simple-mtpfs                       	       0        1        1        0        0
58713 simple-netaid-gtk2                 	       0        1        1        0        0
58714 simple-obfs                        	       0        1        1        0        0
58715 simpleburn                         	       0        2        2        0        0
58716 simplemonitor                      	       0        1        1        0        0
58717 simplenote                         	       0        1        0        0        1
58718 simpleproxy                        	       0        4        4        0        0
58719 simplescreenrecorder-lib           	       0      157        0        0      157
58720 simpletimesheet                    	       0        1        0        0        1
58721 simplex                            	       0        4        4        0        0
58722 simplyhtml                         	       0       33       32        1        0
58723 simplyhtml-doc                     	       0        1        0        0        1
58724 simstring-bin                      	       0        1        1        0        0
58725 simulavr                           	       0        7        7        0        0
58726 simulide                           	       0       17       17        0        0
58727 simulpic                           	       0        7        7        0        0
58728 simutrans                          	       0       11       11        0        0
58729 simutrans-data                     	       0       11        0        0       11
58730 simutrans-pak128.britain           	       0        4        0        0        4
58731 simutrans-pak64                    	       0       12        0        0       12
58732 sing                               	       0        1        1        0        0
58733 singular                           	       0       13        0        0       13
58734 singular-data                      	       0       13        0        0       13
58735 singular-doc                       	       0       11        0        0       11
58736 singular-modules                   	       0       13        0        0       13
58737 singular-ui                        	       0       13       13        0        0
58738 singularity                        	       0        6        6        0        0
58739 singularity-ce                     	       0        1        1        0        0
58740 singularity-music                  	       0        6        0        0        6
58741 sinntp                             	       0        2        1        1        0
58742 sioyek                             	       0        5        5        0        0
58743 sip-dev                            	       0       15       15        0        0
58744 sip-tester                         	       0        5        5        0        0
58745 sip-tools                          	       0        2        2        0        0
58746 sip5-tools                         	       0        1        1        0        0
58747 sip6-doc                           	       0        1        0        0        1
58748 sipsak                             	       0        5        5        0        0
58749 siril                              	       0        3        3        0        0
58750 siril-common                       	       0        3        0        0        3
58751 sispmctl                           	       0        1        1        0        0
58752 sispwctrl                          	       0        1        1        0        0
58753 sisu                               	       0        1        1        0        0
58754 sisu-pdf                           	       0        1        1        0        0
58755 sisu-postgresql                    	       0        1        1        0        0
58756 sisu-sqlite                        	       0        1        1        0        0
58757 sitecopy                           	       0        8        8        0        0
58758 sjaakii                            	       0        4        4        0        0
58759 sjeng                              	       0       58       53        5        0
58760 skalibs                            	       0        1        0        0        1
58761 skanlite                           	       0       57       56        1        0
58762 skanpage                           	       0       10       10        0        0
58763 skeema                             	       0        1        1        0        0
58764 sketch                             	       0        9        9        0        0
58765 sketch-doc                         	       0        6        0        0        6
58766 skippy-xd                          	       0        3        3        0        0
58767 skkdic                             	       0       10        3        0        7
58768 skkdic-cdb                         	       0        1        0        0        1
58769 skkdic-extra                       	       0        5        1        0        4
58770 skladnik                           	       0        7        6        1        0
58771 skopeo                             	       0        4        4        0        0
58772 skrooge                            	       0       12       11        1        0
58773 skrooge-common                     	       0       12        0        0       12
58774 sks                                	       0        1        1        0        0
58775 skycat                             	       0        7        7        0        0
58776 skydns                             	       0        1        1        0        0
58777 skype                              	       0        1        1        0        0
58778 skypeweb                           	       0        1        0        0        1
58779 skyview                            	       0        2        2        0        0
58780 skyview-java                       	       0        2        0        0        2
58781 sl                                 	       0       61       60        1        0
58782 sl-modem-daemon                    	       0        1        1        0        0
58783 sl-modem-dkms                      	       0        1        1        0        0
58784 sl-modem-source                    	       0        1        0        0        1
58785 sla                                	       0        1        1        0        0
58786 slac                               	       0        1        1        0        0
58787 slade                              	       0        2        2        0        0
58788 slang-cfitsio                      	       0        2        0        0        2
58789 slang-curl                         	       0        3        0        0        3
58790 slang-expat                        	       0        2        2        0        0
58791 slang-gdbm                         	       0        2        2        0        0
58792 slang-gsl                          	       0        1        0        0        1
58793 slang-pvm                          	       0        1        1        0        0
58794 slang-sqlite                       	       0        2        0        0        2
58795 slang-tess                         	       0        1        1        0        0
58796 slang-wildcard                     	       0        2        2        0        0
58797 slang-xfig                         	       0        1        0        0        1
58798 slang1                             	       0        2        0        0        2
58799 slapd-contrib                      	       0        4        4        0        0
58800 slashem-gtk                        	       0        7        7        0        0
58801 slashem-sdl                        	       0        3        3        0        0
58802 slashem-x11                        	       0        4        4        0        0
58803 slashtime                          	       0        1        1        0        0
58804 sleepd                             	       0        7        7        0        0
58805 sleepenh                           	       0        1        1        0        0
58806 slib                               	       0       14       14        0        0
58807 slic3r                             	       0       22       22        0        0
58808 slic3r-prusa                       	       0        6        1        0        5
58809 slic3r-prusa-build-deps            	       0        1        0        0        1
58810 slice                              	       0        6        6        0        0
58811 slim-dbgsym                        	       0        1        1        0        0
58812 slime                              	       0       24       22        2        0
58813 slimevolley                        	       0        1        1        0        0
58814 slimevolley-data                   	       0        1        0        0        1
58815 slimit                             	       0        1        1        0        0
58816 slimjet                            	       0        7        5        1        1
58817 slingshot                          	       0        2        2        0        0
58818 slirp                              	       0        5        5        0        0
58819 slkvm-novz                         	       0        1        1        0        0
58820 slocate                            	       0        1        1        0        0
58821 sloccount                          	       0       25       24        1        0
58822 slony1-2-bin                       	       0        2        2        0        0
58823 slony1-2-doc                       	       0        1        0        0        1
58824 slop                               	       0       18       18        0        0
58825 slrn                               	       0       20       19        1        0
58826 slrnface                           	       0        4        3        1        0
58827 slsh                               	       0       37       36        1        0
58828 sludge-devkit                      	       0        5        4        1        0
58829 sludge-doc                         	       0        5        0        0        5
58830 slugify                            	       0        1        1        0        0
58831 slurm                              	       0       17       17        0        0
58832 slurm-client                       	       0        3        3        0        0
58833 slurm-wlm                          	       0        2        0        0        2
58834 slurm-wlm-basic-plugins            	       0        3        1        0        2
58835 slurm-wlm-basic-plugins-dev        	       0        1        0        0        1
58836 slurm-wlm-elasticsearch-plugin     	       0        1        0        0        1
58837 slurm-wlm-elasticsearch-plugin-dev 	       0        1        0        0        1
58838 slurm-wlm-hdf5-plugin              	       0        1        1        0        0
58839 slurm-wlm-hdf5-plugin-dev          	       0        1        0        0        1
58840 slurm-wlm-influxdb-plugin          	       0        1        0        0        1
58841 slurm-wlm-influxdb-plugin-dev      	       0        1        0        0        1
58842 slurm-wlm-ipmi-plugins             	       0        1        0        0        1
58843 slurm-wlm-ipmi-plugins-dev         	       0        1        0        0        1
58844 slurm-wlm-jwt-plugin               	       0        1        0        0        1
58845 slurm-wlm-jwt-plugin-dev           	       0        1        0        0        1
58846 slurm-wlm-mysql-plugin-dev         	       0        1        0        0        1
58847 slurm-wlm-plugins                  	       0        1        0        0        1
58848 slurm-wlm-plugins-dev              	       0        1        0        0        1
58849 slurm-wlm-rrd-plugin               	       0        1        0        0        1
58850 slurm-wlm-rrd-plugin-dev           	       0        1        0        0        1
58851 slurm-wlm-rsmi-plugin              	       0        1        0        0        1
58852 slurm-wlm-rsmi-plugin-dev          	       0        1        0        0        1
58853 slurmctld                          	       0        2        2        0        0
58854 slurmd                             	       0        2        2        0        0
58855 slurp                              	       0       19       16        3        0
58856 sm                                 	       0        5        5        0        0
58857 smalt                              	       0        1        1        0        0
58858 smartdimmer                        	       0        3        3        0        0
58859 smartgit                           	       0        4        4        0        0
58860 smartlist                          	       0        1        0        0        1
58861 smartmontools-build-deps           	       0        1        0        0        1
58862 smartmontools-dbgsym               	       0        1        1        0        0
58863 smartpm                            	       0        1        1        0        0
58864 smartpm-core                       	       0        1        1        0        0
58865 smartsvn                           	       0        2        2        0        0
58866 smarty-gettext                     	       0        2        2        0        0
58867 smarty4                            	       0        1        1        0        0
58868 smb2www                            	       0        1        1        0        0
58869 smb4k                              	       0       26       25        1        0
58870 smb4k-trinity                      	       0        1        1        0        0
58871 smbfs                              	       0        1        1        0        0
58872 smbldap-tools                      	       0        9        8        1        0
58873 smc                                	       0        1        1        0        0
58874 smc-data                           	       0        1        0        0        1
58875 smc-music                          	       0        1        0        0        1
58876 smcroute                           	       0        2        2        0        0
58877 smem                               	       0       25       25        0        0
58878 smemcap                            	       0        2        2        0        0
58879 smenu                              	       0        1        1        0        0
58880 smf-utils                          	       0        2        2        0        0
58881 smi-lib-amdgpu                     	       0        1        0        0        1
58882 smithwaterman                      	       0        1        1        0        0
58883 smlnj                              	       0        2        2        0        0
58884 smlnj-runtime                      	       0        2        2        0        0
58885 smpeg-gtv                          	       0        2        2        0        0
58886 smplayer-skins                     	       0        1        0        0        1
58887 smplayer-translations              	       0        1        0        0        1
58888 smplayer2                          	       0        1        1        0        0
58889 smplayer2-common                   	       0        2        0        0        2
58890 smpq                               	       0        2        2        0        0
58891 smsclient                          	       0        2        2        0        0
58892 smstools                           	       0        5        5        0        0
58893 smtm                               	       0        1        1        0        0
58894 smtpping                           	       0        4        4        0        0
58895 smuxi                              	       0        1        0        0        1
58896 smuxi-engine                       	       0        1        1        0        0
58897 snac                               	       0        1        1        0        0
58898 snacc                              	       0        4        4        0        0
58899 snacc-doc                          	       0        4        0        0        4
58900 snake4                             	       0        4        4        0        0
58901 snakemake                          	       0        1        1        0        0
58902 snap-aligner                       	       0        1        1        0        0
58903 snap-confine                       	       0        1        1        0        0
58904 snapd-glib-tests                   	       0        1        0        0        1
58905 snapper                            	       0       18       17        1        0
58906 snapper-gui                        	       0        8        8        0        0
58907 snappymail                         	       0        2        2        0        0
58908 snapraid                           	       0        3        3        0        0
58909 snarf                              	       0        1        1        0        0
58910 snd                                	       0        9        0        0        9
58911 snd-common                         	       0       12        9        0        3
58912 snd-doc                            	       0        8        0        0        8
58913 snd-gtk-jack                       	       0        5        0        0        5
58914 snd-gtk-pulse                      	       0        4        0        0        4
58915 snd-gui-jack                       	       0       10       10        0        0
58916 snd-gui-pulse                      	       0        6        6        0        0
58917 snd-nox                            	       0        4        4        0        0
58918 sndio-tools                        	       0       22       21        1        0
58919 snes9x                             	       0        1        1        0        0
58920 snetaid                            	       0        1        1        0        0
58921 sni-qt                             	       0       23        0        0       23
58922 snibbetracker                      	       0        1        1        0        0
58923 sniffnet                           	       0        1        1        0        0
58924 sniproxy                           	       0        1        1        0        0
58925 snis                               	       0        2        2        0        0
58926 snis-build-deps                    	       0        1        0        0        1
58927 snis-dbgsym                        	       0        1        1        0        0
58928 snmpb                              	       0        1        1        0        0
58929 snmpsim                            	       0        2        2        0        0
58930 snooper                            	       0        2        2        0        0
58931 snooze                             	       0        2        2        0        0
58932 snort-doc                          	       0        4        0        0        4
58933 snort-rules-default                	       0       11        0        0       11
58934 snowballz                          	       0        2        2        0        0
58935 snowflake-odbc                     	       0        1        1        0        0
58936 snpomatic                          	       0        1        1        0        0
58937 sntop                              	       0        7        7        0        0
58938 so-far                             	       0        1        0        0        1
58939 so-synth-lv2                       	       0        5        5        0        0
58940 soapdenovo                         	       0        1        1        0        0
58941 soapdenovo2                        	       0        1        1        0        0
58942 soapy-connector                    	       0        1        1        0        0
58943 soapyosmo-common0.7                	       0        5        0        0        5
58944 soapyosmo-common0.8                	       0       44        2        0       42
58945 soapyremote-server                 	       0        6        6        0        0
58946 soapysdr-module-airspy             	       0        3        0        0        3
58947 soapysdr-module-all                	       0       18        0        0       18
58948 soapysdr-module-audio              	       0        3        0        0        3
58949 soapysdr-module-bladerf            	       0        4        0        0        4
58950 soapysdr-module-hackrf             	       0        4        0        0        4
58951 soapysdr-module-lms7               	       0        3        0        0        3
58952 soapysdr-module-mirisdr            	       0        3        0        0        3
58953 soapysdr-module-osmosdr            	       0        4        0        0        4
58954 soapysdr-module-redpitaya          	       0        3        0        0        3
58955 soapysdr-module-remote             	       0        3        0        0        3
58956 soapysdr-module-rfspace            	       0        3        0        0        3
58957 soapysdr-module-rtlsdr             	       0        6        0        0        6
58958 soapysdr-module-uhd                	       0        3        0        0        3
58959 soapysdr-tools                     	       0       38       38        0        0
58960 soapysdr0.6-module-rtlsdr          	       0        1        0        0        1
58961 soapysdr0.7-module-airspy          	       0        5        0        0        5
58962 soapysdr0.7-module-all             	       0        5        0        0        5
58963 soapysdr0.7-module-audio           	       0        6        0        0        6
58964 soapysdr0.7-module-bladerf         	       0        5        0        0        5
58965 soapysdr0.7-module-hackrf          	       0        5        0        0        5
58966 soapysdr0.7-module-lms7            	       0        5        0        0        5
58967 soapysdr0.7-module-mirisdr         	       0        5        0        0        5
58968 soapysdr0.7-module-osmosdr         	       0        5        0        0        5
58969 soapysdr0.7-module-redpitaya       	       0        5        0        0        5
58970 soapysdr0.7-module-remote          	       0        5        0        0        5
58971 soapysdr0.7-module-rfspace         	       0        5        0        0        5
58972 soapysdr0.7-module-rtlsdr          	       0        6        0        0        6
58973 soapysdr0.7-module-uhd             	       0        5        0        0        5
58974 soapysdr0.8-module-airspy          	       0       45        2        0       43
58975 soapysdr0.8-module-all             	       0       43        0        0       43
58976 soapysdr0.8-module-audio           	       0       45        2        0       43
58977 soapysdr0.8-module-bladerf         	       0       45        2        0       43
58978 soapysdr0.8-module-hackrf          	       0       45        2        0       43
58979 soapysdr0.8-module-lms7            	       0       44        2        0       42
58980 soapysdr0.8-module-mirisdr         	       0       44        2        0       42
58981 soapysdr0.8-module-osmosdr         	       0       44        2        0       42
58982 soapysdr0.8-module-redpitaya       	       0       45        2        0       43
58983 soapysdr0.8-module-remote          	       0       45        2        0       43
58984 soapysdr0.8-module-rfspace         	       0       44        2        0       42
58985 soapysdr0.8-module-rtlsdr          	       0       44        2        0       42
58986 soapysdr0.8-module-uhd             	       0       45        2        0       43
58987 socklog                            	       0       10       10        0        0
58988 socklog-run                        	       0        4        0        0        4
58989 sockperf                           	       0        2        2        0        0
58990 socks4-server                      	       0        1        1        0        0
58991 sockstat                           	       0       13       13        0        0
58992 sodipodi                           	       0        1        1        0        0
58993 sofa-apps                          	       0        1        1        0        0
58994 sofa-data                          	       0        1        0        0        1
58995 sofia-sip-bin                      	       0        1        1        0        0
58996 sofia-sip-doc                      	       0        2        0        0        2
58997 softether-common                   	       0        7        0        0        7
58998 softether-vpnclient                	       0        6        6        0        0
58999 softether-vpncmd                   	       0        7        7        0        0
59000 softether-vpnserver                	       0        1        1        0        0
59001 softhsm2                           	       0        5        5        0        0
59002 softhsm2-common                    	       0        5        0        0        5
59003 softmaker-freeoffice-2018          	       0        2        2        0        0
59004 softmaker-freeoffice-2021          	       0        1        1        0        0
59005 softmaker-freeoffice-2024          	       0        1        1        0        0
59006 softmaker-office-2018              	       0        1        1        0        0
59007 softmaker-office-nx                	       0        1        1        0        0
59008 solaar-gnome3                      	       0        3        0        0        3
59009 solarc-theme                       	       0        1        0        0        1
59010 solfege                            	       0       18       17        1        0
59011 solfege-doc                        	       0       16        0        0       16
59012 solid-pop3d                        	       0        2        2        0        0
59013 solr                               	       0        1        1        0        0
59014 solrdump                           	       0        1        1        0        0
59015 solvespace                         	       0       27       27        0        0
59016 sonata                             	       0       14       13        1        0
59017 songbook                           	       0        1        1        0        0
59018 songbook-doc                       	       0        1        0        0        1
59019 songbook-font-arabia               	       0        1        0        0        1
59020 songbook-font-bigtop               	       0        1        0        0        1
59021 songbook-font-casper               	       0        1        0        0        1
59022 songbook-font-castanet             	       0        1        0        0        1
59023 songbook-font-crescent             	       0        1        0        0        1
59024 songbook-font-dawncastle           	       0        1        0        0        1
59025 songbook-font-expo                 	       0        1        0        0        1
59026 songbook-font-florence             	       0        1        0        0        1
59027 songbook-font-frankenstein         	       0        1        0        0        1
59028 songbook-font-hoboe                	       0        1        0        0        1
59029 songbook-font-koala                	       0        1        0        0        1
59030 songbook-font-lincoln              	       0        1        0        0        1
59031 songbook-font-linus                	       0        1        0        0        1
59032 songbook-font-merlin               	       0        1        0        0        1
59033 songbook-font-paradise             	       0        1        0        0        1
59034 songbook-font-paragon              	       0        1        0        0        1
59035 songbook-font-prose                	       0        1        0        0        1
59036 songbook-font-shogun               	       0        1        0        0        1
59037 songbook-style-all                 	       0        1        0        0        1
59038 songbook-style-arabia              	       0        1        0        0        1
59039 songbook-style-bigtop              	       0        1        0        0        1
59040 songbook-style-casper              	       0        1        0        0        1
59041 songbook-style-castanet            	       0        1        0        0        1
59042 songbook-style-crescent            	       0        1        0        0        1
59043 songbook-style-dawncastle          	       0        1        0        0        1
59044 songbook-style-expo                	       0        1        0        0        1
59045 songbook-style-florence            	       0        1        0        0        1
59046 songbook-style-frankenstein        	       0        1        0        0        1
59047 songbook-style-hoboe               	       0        1        0        0        1
59048 songbook-style-koala               	       0        1        0        0        1
59049 songbook-style-lincoln             	       0        1        0        0        1
59050 songbook-style-linus               	       0        1        0        0        1
59051 songbook-style-merlin              	       0        1        0        0        1
59052 songbook-style-palatino            	       0        1        0        0        1
59053 songbook-style-paradise            	       0        1        0        0        1
59054 songbook-style-paragon             	       0        1        0        0        1
59055 songbook-style-prose               	       0        1        0        0        1
59056 songbook-style-shogun              	       0        1        0        0        1
59057 songrec                            	       0        2        1        1        0
59058 songwrite                          	       0        4        4        0        0
59059 sonic                              	       0        3        3        0        0
59060 sonic-pi                           	       0        2        2        0        0
59061 sonic-pi-samples                   	       0        2        0        0        2
59062 sonic-pi-server                    	       0        2        2        0        0
59063 sonic-pi-server-doc                	       0        1        0        0        1
59064 sonic-visualiser                   	       0       23       22        1        0
59065 sonobus                            	       0        1        1        0        0
59066 sooperlooper                       	       0        3        3        0        0
59067 soosl                              	       0        1        1        0        0
59068 sorcer                             	       0        1        1        0        0
59069 sordi                              	       0        8        7        1        0
59070 sortmail                           	       0        1        1        0        0
59071 sound-icons                        	       0     2470        0        0     2470
59072 sound-theme-freedesktop            	       0     3056        0        0     3056
59073 soundbraid                         	       0        1        1        0        0
59074 soundgrain                         	       0        4        4        0        0
59075 soundkonverter                     	       0       29       28        1        0
59076 soundkonverter-amarok-trinity      	       0        1        0        0        1
59077 soundkonverter-trinity             	       0        2        2        0        0
59078 soundmodem                         	       0        8        8        0        0
59079 soundscaperenderer                 	       0        2        2        0        0
59080 soundscaperenderer-common          	       0        3        3        0        0
59081 soundscaperenderer-nox             	       0        2        2        0        0
59082 soundstretch                       	       0       11       11        0        0
59083 source-extractor                   	       0       27       27        0        0
59084 source-highlight                   	       0       22       21        1        0
59085 sozi                               	       0        1        0        0        1
59086 sp                                 	       0       15       15        0        0
59087 sp-admin-tools                     	       0        1        1        0        0
59088 sp-cacerts                         	       0        1        0        0        1
59089 space-orbit                        	       0        1        1        0        0
59090 space-orbit-common                 	       0        1        0        0        1
59091 spacearyarya                       	       0        2        2        0        0
59092 spacebar                           	       0        1        1        0        0
59093 spacecadetpinball                  	       0        1        1        0        0
59094 spacechart                         	       0        1        1        0        0
59095 spaced                             	       0        1        1        0        0
59096 spacefm-gtk3                       	       0        7        6        0        1
59097 spacenavd                          	       0        4        4        0        0
59098 spacezero                          	       0        3        3        0        0
59099 spamassassin-heatu                 	       0        1        1        0        0
59100 spampd                             	       0        1        1        0        0
59101 spamprobe                          	       0        2        2        0        0
59102 spark                              	       0        2        2        0        0
59103 spark-store                        	       0        2        2        0        0
59104 sparky-keyring                     	       0        1        0        0        1
59105 sparrow                            	       0        1        1        0        0
59106 sparse                             	       0        4        3        1        0
59107 spass                              	       0        1        1        0        0
59108 spatialite-bin                     	       0        8        8        0        0
59109 spatialite-gui                     	       0        7        7        0        0
59110 spawx11                            	       0        1        1        0        0
59111 spchcat                            	       0        2        2        0        0
59112 spdx-licenses                      	       0        2        0        0        2
59113 speakup-tools                      	       0        6        6        0        0
59114 spectemu-common                    	       0        7        7        0        0
59115 spectemu-x11                       	       0        7        7        0        0
59116 spectools                          	       0        3        3        0        0
59117 spectral                           	       0        2        0        0        2
59118 spectrum-roms                      	       0       15        0        0       15
59119 spectrwm                           	       0        8        8        0        0
59120 speech-dispatcher-cicero           	       0        3        0        0        3
59121 speech-dispatcher-doc-cs           	       0        1        0        0        1
59122 speech-dispatcher-espeak           	       0        3        0        0        3
59123 speech-dispatcher-festival         	       0       31        0        0       31
59124 speech-dispatcher-flite            	       0        3        0        0        3
59125 speech-dispatcher-rhvoice          	       0        1        0        0        1
59126 speech-tools                       	       0        3        3        0        0
59127 speech-tools-doc                   	       0        4        0        0        4
59128 speechd-up                         	       0        1        1        0        0
59129 speedata-publisher                 	       0        1        1        0        0
59130 speedometer                        	       0       34       34        0        0
59131 speedtest                          	       0        7        7        0        0
59132 speex                              	       0       61       60        1        0
59133 speex-doc                          	       0        3        0        0        3
59134 spek                               	       0       11       11        0        0
59135 spell                              	       0       36       34        2        0
59136 spellutils                         	       0       10       10        0        0
59137 spew                               	       0        2        2        0        0
59138 spfquery                           	       0        8        7        1        0
59139 sphash                             	       0        1        1        0        0
59140 sphinx-basic-ng                    	       0        7        7        0        0
59141 sphinx-doc                         	       0       21        0        0       21
59142 sphinx-intl                        	       0        4        4        0        0
59143 sphinx-rtd-theme-common            	       0     1741        0        0     1741
59144 sphinx2-bin                        	       0        1        1        0        0
59145 sphinx2-hmm-6k                     	       0        1        0        0        1
59146 sphinxbase-utils                   	       0        6        6        0        0
59147 sphinxsearch                       	       0        1        1        0        0
59148 sphinxtrain                        	       0        7        6        1        0
59149 spi-tools                          	       0        1        1        0        0
59150 spice                              	       0        1        1        0        0
59151 spice-client                       	       0        1        1        0        0
59152 spice-html5                        	       0        6        0        0        6
59153 spice-webdavd                      	       0        3        3        0        0
59154 spidermonkey-bin                   	       0        1        1        0        0
59155 spideroak                          	       0        1        1        0        0
59156 spideroakone                       	       0        6        6        0        0
59157 spigot                             	       0        3        3        0        0
59158 spim                               	       0        3        3        0        0
59159 spin                               	       0        1        1        0        0
59160 spiped                             	       0        1        1        0        0
59161 spirv-cross                        	       0        3        3        0        0
59162 spirv-cross-dev                    	       0        2        2        0        0
59163 spirv-headers                      	       0       10       10        0        0
59164 spirv-reflect                      	       0        1        1        0        0
59165 splash                             	       0        1        1        0        0
59166 splashtop-business                 	       0        2        0        0        2
59167 splat                              	       0        6        6        0        0
59168 spline                             	       0        1        1        0        0
59169 splint                             	       0       22       21        1        0
59170 splint-data                        	       0       22       21        1        0
59171 splint-doc-html                    	       0        5        0        0        5
59172 split-select                       	       0       30       30        0        0
59173 splitpatch                         	       0        1        1        0        0
59174 splitvt                            	       0        3        3        0        0
59175 sploitscan                         	       0        1        1        0        0
59176 splunk                             	       0        1        1        0        0
59177 spoa                               	       0        1        1        0        0
59178 spotify-client-gnome-support       	       0        1        0        0        1
59179 spotify-client-qt                  	       0        1        0        0        1
59180 spotify-tui                        	       0        1        1        0        0
59181 spotube                            	       0        1        0        0        1
59182 spout                              	       0        2        2        0        0
59183 spread-phy                         	       0        1        1        0        0
59184 spring-common                      	       0       11        0        0       11
59185 spring-installer                   	       0        1        1        0        0
59186 spring-javaai                      	       0        1        1        0        0
59187 spring-maps                        	       0        1        0        0        1
59188 spring-maps-1v1                    	       0        1        0        0        1
59189 spring-maps-default                	       0        1        0        0        1
59190 spring-maps-deltasiege             	       0        1        0        0        1
59191 spring-maps-hunterw                	       0        1        0        0        1
59192 spring-maps-kernelpanic            	       0        1        0        0        1
59193 spring-maps-smallsupreme           	       0        1        0        0        1
59194 spring-maps-teamplay               	       0        1        0        0        1
59195 sptk                               	       0        1        1        0        0
59196 spyder                             	       0       23       22        1        0
59197 spyder-common                      	       0       27        0        0       27
59198 spyder3                            	       0        1        1        0        0
59199 spytrap-adb                        	       0        1        1        0        0
59200 sq-wot                             	       0        1        1        0        0
59201 sqitch                             	       0        2        2        0        0
59202 sql-ledger                         	       0        2        2        0        0
59203 sqlcipher                          	       0        6        6        0        0
59204 sqlfluff                           	       0        1        1        0        0
59205 sqlfluff-doc                       	       0        1        0        0        1
59206 sqlformat                          	       0        2        2        0        0
59207 sqlite-doc                         	       0        6        0        0        6
59208 sqlite-modern-cpp-dev              	       0        2        1        1        0
59209 sqlite-utils                       	       0        7        7        0        0
59210 sqlite3-doc                        	       0       52        0        0       52
59211 sqlite3-pcre                       	       0        9        9        0        0
59212 sqlite3-tools                      	       0       17       17        0        0
59213 sqlmap                             	       0        5        5        0        0
59214 sqlsmith                           	       0        2        2        0        0
59215 sqop                               	       0        1        1        0        0
59216 sqopv                              	       0        1        1        0        0
59217 sqsh                               	       0        1        1        0        0
59218 squareness                         	       0        2        0        0        2
59219 squashfs-modules-2.6.26-486-voyage 	       0        1        0        0        1
59220 squashfs-mount                     	       0        3        3        0        0
59221 squashfuse                         	       0       17       17        0        0
59222 squeak-sources                     	       0        1        0        0        1
59223 squeak-vm                          	       0       23       23        0        0
59224 squeekboard                        	       0        1        1        0        0
59225 squid-cgi                          	       0        5        5        0        0
59226 squid-common                       	       0       49        0        0       49
59227 squid-deb-proxy                    	       0        1        0        0        1
59228 squid-deb-proxy-client             	       0        2        0        0        2
59229 squid-langpack                     	       0       50        0        0       50
59230 squid-purge                        	       0        4        4        0        0
59231 squid3                             	       0        3        1        0        2
59232 squid3-common                      	       0        1        0        0        1
59233 squidguard                         	       0        4        4        0        0
59234 squidguard-doc                     	       0        1        0        0        1
59235 squidtaild                         	       0        2        2        0        0
59236 squidview                          	       0        6        6        0        0
59237 squirrel3                          	       0        2        2        0        0
59238 squirrelmail-decode                	       0        1        1        0        0
59239 squirrelmail-locales               	       0        1        1        0        0
59240 squirrelmail-spam-buttons          	       0        1        1        0        0
59241 squizz                             	       0        1        1        0        0
59242 srain                              	       0        1        1        0        0
59243 src2tex                            	       0        3        3        0        0
59244 srg                                	       0        1        1        0        0
59245 srs                                	       0        3        3        0        0
59246 srst2                              	       0        1        1        0        0
59247 srt-tools                          	       0        4        4        0        0
59248 srtp-docs                          	       0        2        0        0        2
59249 srtp-utils                         	       0        3        3        0        0
59250 srvadmin-all                       	       0        1        0        0        1
59251 srvadmin-base                      	       0        2        0        0        2
59252 srvadmin-bossnvmelib               	       0        1        0        0        1
59253 srvadmin-broadcomlib               	       0        1        0        0        1
59254 srvadmin-idrac-ivmcli              	       0        1        1        0        0
59255 srvadmin-idrac-snmp                	       0        1        0        0        1
59256 srvadmin-idracadm8                 	       0        1        0        0        1
59257 srvadmin-isvc-snmp                 	       0        1        0        0        1
59258 srvadmin-marvell                   	       0        2        1        0        1
59259 srvadmin-nvme                      	       0        2        0        0        2
59260 srvadmin-omacs                     	       0        2        0        0        2
59261 srvadmin-omaksmig                  	       0        2        0        0        2
59262 srvadmin-oslog                     	       0        2        0        0        2
59263 srvadmin-rac-components            	       0        2        0        0        2
59264 srvadmin-racdrsc                   	       0        2        0        0        2
59265 srvadmin-realssd                   	       0        1        0        0        1
59266 srvadmin-server-cli                	       0        1        0        0        1
59267 srvadmin-server-snmp               	       0        1        0        0        1
59268 srvadmin-standardagent             	       0        1        0        0        1
59269 srvadmin-storage-cli               	       0        1        0        0        1
59270 srvadmin-storage-snmp              	       0        1        0        0        1
59271 srvadmin-storageservices           	       0        1        0        0        1
59272 srvadmin-storageservices-cli       	       0        1        0        0        1
59273 srvadmin-storageservices-snmp      	       0        1        0        0        1
59274 srvadmin-storelib                  	       0        2        1        0        1
59275 srvadmin-webserver                 	       0        1        0        0        1
59276 srvadmin-xmlsup                    	       0        2        0        0        2
59277 ss-dev                             	       0        1        1        0        0
59278 ssa                                	       0        3        3        0        0
59279 ssacli                             	       0        9        9        0        0
59280 ssaducli                           	       0        3        3        0        0
59281 ssake                              	       0        1        1        0        0
59282 ssb-patchwork                      	       0        1        1        0        0
59283 ssc                                	       0        1        1        0        0
59284 sscg                               	       0        3        3        0        0
59285 sse2-support                       	       0       31        0        0       31
59286 sse3-support                       	       0      267        0        0      267
59287 sse4.1-support                     	       0        1        0        0        1
59288 sse4.2-support                     	       0        6        0        0        6
59289 ssed                               	       0        5        5        0        0
59290 ssg-applications                   	       0        1        0        0        1
59291 ssg-base                           	       0        1        0        0        1
59292 ssg-debderived                     	       0        1        0        0        1
59293 ssg-debian                         	       0        1        0        0        1
59294 ssg-nondebian                      	       0        1        0        0        1
59295 ssh                                	       0      382        0        0      382
59296 ssh-askpass                        	       0      212       50        0      162
59297 ssh-askpass-fullscreen             	       0        6        6        0        0
59298 ssh-askpass-gnome                  	       0       17       16        1        0
59299 ssh-contact                        	       0        1        0        0        1
59300 ssh-contact-client                 	       0        1        1        0        0
59301 ssh-contact-service                	       0        1        1        0        0
59302 ssh-cron                           	       0        1        1        0        0
59303 ssh-import-id                      	       0        4        4        0        0
59304 ssh-tools                          	       0       13       12        1        0
59305 sshcommand                         	       0        1        1        0        0
59306 sshesame                           	       0        1        1        0        0
59307 ssimulacra                         	       0        1        1        0        0
59308 ssl-cert-check                     	       0       13       13        0        0
59309 sslscan                            	       0       30       30        0        0
59310 sslsniff                           	       0        5        5        0        0
59311 sslstrip                           	       0        1        1        0        0
59312 ssmping                            	       0        4        4        0        0
59313 ssocr                              	       0        3        3        0        0
59314 sspace                             	       0        1        1        0        0
59315 sssd                               	       0       29        0        0       29
59316 sssd-ad                            	       0       29        2        0       27
59317 sssd-dbus                          	       0        9        9        0        0
59318 sssd-idp                           	       0        1        0        0        1
59319 sssd-krb5-common                   	       0       29        0        0       29
59320 sssd-passkey                       	       0       12        0        0       12
59321 sssd-proxy                         	       0       29        0        0       29
59322 sssd-tools                         	       0       11       10        1        0
59323 ssshtest                           	       0        1        1        0        0
59324 ssss                               	       0        2        2        0        0
59325 sstp-client                        	       0       22       20        2        0
59326 ssw-align                          	       0        1        1        0        0
59327 ssystem                            	       0        1        1        0        0
59328 st-stlink-server                   	       0        7        7        0        0
59329 st-stlink-udev-rules               	       0        6        0        0        6
59330 st-stm32cubeide-1.11.2             	       0        1        1        0        0
59331 st-stm32cubeide-1.14.0             	       0        1        1        0        0
59332 st-stm32cubeide-1.16.1             	       0        2        2        0        0
59333 st-stm32cubeide-1.4.0              	       0        1        1        0        0
59334 st-stm32cubeide-1.8.0              	       0        1        0        0        1
59335 stackrpms-acer-chromebook          	       0        1        1        0        0
59336 stackrpms-thinkpad-p50s            	       0        5        5        0        0
59337 stacks                             	       0        2        2        0        0
59338 staden                             	       0        1        1        0        0
59339 staden-common                      	       0        1        0        0        1
59340 staden-io-lib-utils                	       0        1        1        0        0
59341 stalag13-keyring                   	       0        4        0        0        4
59342 stalag13-utils                     	       0        1        1        0        0
59343 stalonetray                        	       0       19       19        0        0
59344 standardskriver                    	       0        2        2        0        0
59345 star                               	       0        2        2        0        0
59346 stardata-common                    	       0        9        9        0        0
59347 stardict                           	       0        5        0        0        5
59348 stardict-common                    	       0        8        1        0        7
59349 stardict-gnome                     	       0        1        1        0        0
59350 stardict-gtk                       	       0        7        7        0        0
59351 stardict-plugin                    	       0        8        2        0        6
59352 stardict-plugin-espeak             	       0        5        2        0        3
59353 stardict-plugin-festival           	       0        5        2        0        3
59354 stardict-plugin-fortune            	       0        1        0        0        1
59355 stardict-plugin-info               	       0        1        0        0        1
59356 stardict-plugin-spell              	       0        4        2        0        2
59357 stardict-tools                     	       0        2        2        0        0
59358 stardict-xmlittre                  	       0        1        0        0        1
59359 starfighter                        	       0        5        5        0        0
59360 starfighter-data                   	       0        5        0        0        5
59361 starlink-array-java                	       0        1        0        0        1
59362 starlink-connect-java              	       0        1        0        0        1
59363 starlink-datanode-java             	       0        1        0        0        1
59364 starlink-dpac-java                 	       0        1        0        0        1
59365 starlink-fits-java                 	       0        1        0        0        1
59366 starlink-pal-java                  	       0        1        0        0        1
59367 starlink-registry-java             	       0        1        0        0        1
59368 starlink-table-java                	       0        1        0        0        1
59369 starlink-task-java                 	       0        1        0        0        1
59370 starlink-util-java                 	       0        1        0        0        1
59371 starlink-vo-java                   	       0        1        0        0        1
59372 starlink-votable-java              	       0        1        0        0        1
59373 starplot                           	       0        8        8        0        0
59374 staruml                            	       0        3        0        0        3
59375 starvoyager                        	       0        4        4        0        0
59376 starvoyager-data                   	       0        4        0        0        4
59377 statcvs                            	       0        5        5        0        0
59378 statgrab                           	       0        1        1        0        0
59379 statnews                           	       0        2        1        1        0
59380 statserial                         	       0        9        9        0        0
59381 statsvn                            	       0        3        3        0        0
59382 stax                               	       0       11       11        0        0
59383 stbaithreshold                     	       0        1        1        0        0
59384 stbdjvul                           	       0        1        1        0        0
59385 stbidespeckle                      	       0        1        1        0        0
59386 stbimfrpf2                         	       0        1        1        0        0
59387 stbimmetrics                       	       0        1        1        0        0
59388 stbiscalenx                        	       0        1        1        0        0
59389 stbresize                          	       0        1        1        0        0
59390 stbsrcnn                           	       0        1        1        0        0
59391 stderred                           	       0        1        1        0        0
59392 stdsyslog                          	       0        4        4        0        0
59393 stealth-doc                        	       0        2        0        0        2
59394 steam                              	       0       93       19        0       74
59395 steam-dependencies                 	       0        1        0        0        1
59396 steam-libs                         	       0      164        0        0      164
59397 steam-libs-amd64                   	       0      142        0        0      142
59398 steam-libs-i386                    	       0      266        0        0      266
59399 steamcmd                           	       0       24       24        0        0
59400 steampipe                          	       0        1        0        0        1
59401 stegdetect                         	       0        2        2        0        0
59402 steghide-doc                       	       0        3        0        0        3
59403 stegosuite                         	       0       15       15        0        0
59404 stegseek                           	       0        2        2        0        0
59405 stella                             	       0       15       14        1        0
59406 stellarium-data                    	       0      103        0        0      103
59407 stenc                              	       0        1        1        0        0
59408 step                               	       0       45       43        2        0
59409 step-ca                            	       0        3        3        0        0
59410 step-cli                           	       0        3        3        0        0
59411 steptalk                           	       0        1        0        1        0
59412 stetl                              	       0        3        3        0        0
59413 steve-completion                   	       0        1        0        0        1
59414 stex                               	       0        1        0        0        1
59415 stgit                              	       0        5        5        0        0
59416 sticky                             	       0        1        1        0        0
59417 stiff                              	       0        3        3        0        0
59418 stl-manual                         	       0        3        3        0        0
59419 stlcmd                             	       0        1        1        0        0
59420 stlink                             	       0        1        1        0        0
59421 stlink-gui                         	       0        3        3        0        0
59422 stlink-tools                       	       0       16       16        0        0
59423 stm32flash                         	       0        8        8        0        0
59424 stoken                             	       0        1        1        0        0
59425 stone                              	       0        2        2        0        0
59426 stopmotion                         	       0        2        2        0        0
59427 stops                              	       0       12        0        0       12
59428 stopwatch                          	       0       19       19        0        0
59429 storcli                            	       0        1        0        0        1
59430 store.spark-app.wechat-linux-spark 	       0        2        2        0        0
59431 storebackup                        	       0        1        1        0        0
59432 storj                              	       0        2        2        0        0
59433 storjshare                         	       0        1        0        0        1
59434 storm-lang                         	       0        1        1        0        0
59435 storm-lang-crypto                  	       0        1        1        0        0
59436 storm-lang-layout                  	       0        1        1        0        0
59437 storm-lang-sql                     	       0        1        1        0        0
59438 storm-lang-ui                      	       0        1        1        0        0
59439 storman                            	       0        1        1        0        0
59440 stormbaancoureur                   	       0        1        1        0        0
59441 stormbaancoureur-data              	       0        1        0        0        1
59442 storymaps                          	       0        1        1        0        0
59443 strace64                           	       0        1        1        0        0
59444 stratagus-gl                       	       0        1        1        0        0
59445 streamer                           	       0       11       11        0        0
59446 streamlink                         	       0       22       22        0        0
59447 streamripper                       	       0       22       22        0        0
59448 streamtuner2                       	       0       13       13        0        0
59449 stremio                            	       0        3        1        0        2
59450 stress                             	       0       55       55        0        0
59451 stress-ng                          	       0       41       40        1        0
59452 stressant                          	       0        6        5        1        0
59453 stressant-doc                      	       0        1        0        0        1
59454 stressant-meta                     	       0        3        0        0        3
59455 stressapptest                      	       0        2        2        0        0
59456 stretchplayer                      	       0        7        6        1        0
59457 strife-data                        	       0        1        0        0        1
59458 strip-nondeterminism               	       0        2        2        0        0
59459 strongswan                         	       0       69        0        0       69
59460 strongswan-nm                      	       0       29       27        2        0
59461 strongswan-pki                     	       0        9        9        0        0
59462 strongswan-scepclient              	       0        1        1        0        0
59463 stroq                              	       0        1        1        0        0
59464 stubby                             	       0        4        4        0        0
59465 stuffit                            	       0        1        0        0        1
59466 stumpwm                            	       0       19       19        0        0
59467 stun                               	       0        2        2        0        0
59468 stun-client                        	       0        4        4        0        0
59469 stunnel                            	       0        1        0        0        1
59470 stutter                            	       0        1        1        0        0
59471 stx2any                            	       0        3        3        0        0
59472 stylish-haskell                    	       0        3        3        0        0
59473 styx                               	       0        1        1        0        0
59474 styx-dev                           	       0        1        1        0        0
59475 styx-doc                           	       0        1        0        0        1
59476 subdownloader                      	       0        8        8        0        0
59477 subfinder                          	       0        1        1        0        0
59478 sublime-merge                      	       0        6        6        0        0
59479 sublime-text                       	       0       28       24        4        0
59480 submux-dvd                         	       0        1        1        0        0
59481 subread                            	       0        1        1        0        0
59482 substance                          	       0        5        0        0        5
59483 substance-flamingo                 	       0        3        0        0        3
59484 subtitlecomposer                   	       0        6        6        0        0
59485 subtitleeditor                     	       0       23       23        0        0
59486 subtitleripper                     	       0        2        2        0        0
59487 subtle                             	       0        2        2        0        0
59488 subunit                            	       0        5        5        0        0
59489 suck                               	       0        3        3        0        0
59490 sudo-trinity                       	       0       29        0        0       29
59491 sudoku-solver                      	       0        7        7        0        0
59492 sugar-browse-activity              	       0      298        0        0      298
59493 sugar-icon-theme                   	       0       11        0        0       11
59494 sugar-terminal-activity            	       0        1        0        0        1
59495 sugar-themes                       	       0        2        0        0        2
59496 sugarjar                           	       0        1        1        0        0
59497 suitename                          	       0        1        1        0        0
59498 suld-driver2-1.00.29               	       0        1        1        0        0
59499 suld-driver2-1.00.39               	       0        3        3        0        0
59500 suld-driver2-common-1              	       0        4        0        0        4
59501 suld-ppd-4                         	       0        4        0        0        4
59502 suldr-keyring                      	       0        4        0        0        4
59503 sumaclust                          	       0        1        1        0        0
59504 sumatra                            	       0        1        1        0        0
59505 sumo                               	       0        2        2        0        0
59506 sumo-doc                           	       0        1        0        0        1
59507 sumo-tools                         	       0        1        0        0        1
59508 sumtrees                           	       0        1        1        0        0
59509 sun-java5-bin                      	       0        2        2        0        0
59510 sun-java5-jre                      	       0        2        0        0        2
59511 sun-java6-bin                      	       0        4        4        0        0
59512 sun-java6-jre                      	       0        4        0        0        4
59513 sun-java6-plugin                   	       0        3        0        0        3
59514 sunclock                           	       0       17       17        0        0
59515 sunclock-maps                      	       0       17        0        0       17
59516 sunflow                            	       0        1        1        0        0
59517 sunflower                          	       0        1        1        0        0
59518 sunpinyin-data                     	       0        5        2        0        3
59519 sunshine                           	       0        3        3        0        0
59520 sunvox                             	       0        1        1        0        0
59521 sunxi-tools                        	       0        6        6        0        0
59522 sup-g                              	       0        1        1        0        0
59523 supercollider                      	       0        3        0        0        3
59524 supercollider-common               	       0        7        0        0        7
59525 supercollider-emacs                	       0        1        1        0        0
59526 supercollider-gedit                	       0        1        1        0        0
59527 supercollider-ide                  	       0        5        5        0        0
59528 supercollider-language             	       0        6        6        0        0
59529 supercollider-server               	       0        6        6        0        0
59530 supercollider-supernova            	       0        3        3        0        0
59531 supercollider-vim                  	       0        1        0        0        1
59532 superkaramba-trinity               	       0       23       23        0        0
59533 supermicro-update-manager          	       0        1        1        0        0
59534 superproductivity                  	       0        3        0        0        3
59535 supertransball2-data               	       0        7        0        0        7
59536 supertux-data                      	       0       52        0        0       52
59537 supertuxkart-data                  	       0       67       55        1       11
59538 supervisor-doc                     	       0        1        0        0        1
59539 supysonic                          	       0        1        1        0        0
59540 surankco                           	       0        1        1        0        0
59541 surf-alggeo                        	       0       10        0        0       10
59542 surf-alggeo-nox                    	       0       10       10        0        0
59543 surf-dbgsym                        	       0        1        1        0        0
59544 surf2                              	       0        7        7        0        0
59545 surface-go-wifi                    	       0        1        0        0        1
59546 surfer                             	       0        1        1        0        0
59547 surfraw                            	       0       12       12        0        0
59548 surfraw-extra                      	       0       10       10        0        0
59549 surfshark                          	       0        2        2        0        0
59550 surfshark-release                  	       0        3        0        0        3
59551 surfshark-vpn                      	       0        3        3        0        0
59552 surge                              	       0        2        2        0        0
59553 surge-data                         	       0        1        0        0        1
59554 surge-xt                           	       0        3        3        0        0
59555 suru-icon-theme                    	       0       12        0        0       12
59556 survex                             	       0        2        2        0        0
59557 survex-aven                        	       0        2        2        0        0
59558 susv2                              	       0        2        0        0        2
59559 susv3                              	       0        3        0        0        3
59560 susv4                              	       0        3        0        0        3
59561 suwayomi-server                    	       0        1        1        0        0
59562 sux                                	       0       18       18        0        0
59563 svgalib-bin                        	       0        1        1        0        0
59564 svgcarve                           	       0        1        1        0        0
59565 svgpart                            	       0       49        1        0       48
59566 svgtoipe                           	       0        1        1        0        0
59567 svgtune                            	       0        5        5        0        0
59568 svkbd                              	       0        1        1        0        0
59569 svn-all-fast-export                	       0        1        1        0        0
59570 svn-buildpackage                   	       0       16       16        0        0
59571 svn-load                           	       0        3        3        0        0
59572 svn-workbench                      	       0        4        4        0        0
59573 svn2cl                             	       0       36       33        3        0
59574 svn2git                            	       0        5        5        0        0
59575 svncviewer                         	       0        1        1        0        0
59576 svnkit                             	       0        1        1        0        0
59577 svt-av1                            	       0        4        4        0        0
59578 svtools                            	       0        8        8        0        0
59579 svtplay-dl                         	       0        4        4        0        0
59580 svxlink-calibration-tools          	       0        2        2        0        0
59581 svxlink-gpio                       	       0        2        2        0        0
59582 svxlink-server                     	       0        2        2        0        0
59583 svxreflector                       	       0        2        2        0        0
59584 swach                              	       0        1        1        0        0
59585 swami                              	       0        7        6        1        0
59586 swapspace                          	       0        3        3        0        0
59587 swarm                              	       0        2        2        0        0
59588 swarp                              	       0        2        2        0        0
59589 swatch                             	       0        4        4        0        0
59590 swath                              	       0        7        7        0        0
59591 swath-data                         	       0        7        0        0        7
59592 sway-backgrounds                   	       0       50        0        0       50
59593 swayimg                            	       0        9        7        2        0
59594 swaykbdd                           	       0        3        2        1        0
59595 swe-basic-data                     	       0        1        0        0        1
59596 swe-standard-data                  	       0        1        0        0        1
59597 sweep                              	       0        9        8        1        0
59598 sweethome3d                        	       0       35       35        0        0
59599 sweethome3d-furniture              	       0       35        0        0       35
59600 sweethome3d-furniture-editor       	       0       15       15        0        0
59601 sweethome3d-furniture-nonfree      	       0       15        0        0       15
59602 sweethome3d-textures-editor        	       0       15       15        0        0
59603 swfdec-mozilla                     	       0        1        0        0        1
59604 swfmill                            	       0        1        1        0        0
59605 swftools                           	       0        1        1        0        0
59606 swh-lv2                            	       0       12       12        0        0
59607 swi-prolog                         	       0        7        0        0        7
59608 swi-prolog-bdb                     	       0        2        2        0        0
59609 swi-prolog-core                    	       0       13       13        0        0
59610 swi-prolog-core-packages           	       0       13       13        0        0
59611 swi-prolog-doc                     	       0       10        0        0       10
59612 swi-prolog-full                    	       0        1        0        0        1
59613 swi-prolog-java                    	       0        3        3        0        0
59614 swi-prolog-nox                     	       0       13       13        0        0
59615 swi-prolog-odbc                    	       0        2        2        0        0
59616 swi-prolog-x                       	       0        8        8        0        0
59617 swiagent                           	       0        6        6        0        0
59618 swift-doc                          	       0        1        0        0        1
59619 swig-doc                           	       0        7        0        0        7
59620 swig-examples                      	       0        7        1        0        6
59621 swig-pocketsphinx                  	       0        3        0        0        3
59622 swig-sphinxbase                    	       0        4        0        0        4
59623 swig2.0                            	       0        1        1        0        0
59624 swig3.0-doc                        	       0        2        0        0        2
59625 swig3.0-examples                   	       0        2        2        0        0
59626 swig4.0-doc                        	       0        6        0        0        6
59627 swig4.0-examples                   	       0        5        5        0        0
59628 swish-e                            	       0        6        6        0        0
59629 swisswatch                         	       0       18       18        0        0
59630 switchconf                         	       0        1        1        0        0
59631 sword-comm-mhcc                    	       0        1        0        0        1
59632 sword-comm-scofield                	       0        2        0        0        2
59633 sword-comm-tdavid                  	       0        1        0        0        1
59634 sword-dict-naves                   	       0        2        0        0        2
59635 sword-dict-strongs-greek           	       0        4        0        0        4
59636 sword-dict-strongs-hebrew          	       0        4        0        0        4
59637 sword-text-kjv                     	       0        5        0        0        5
59638 sword-text-sparv                   	       0        1        0        0        1
59639 sword-text-web                     	       0        3        0        0        3
59640 swscanner                          	       0        1        1        0        0
59641 sxmo-utils                         	       0        2        1        1        0
59642 sylph-searcher                     	       0        4        4        0        0
59643 sylpheed-doc                       	       0       16        0        0       16
59644 sylpheed-i18n                      	       0       47        7        0       40
59645 sylpheed-plugins                   	       0       19        3        0       16
59646 symbol-desktop-wallet              	       0        2        0        0        2
59647 sympa                              	       0        2        2        0        0
59648 symphytum                          	       0        1        1        0        0
59649 sympow                             	       0       11       11        0        0
59650 sympow-data                        	       0       11       11        0        0
59651 synaesthesia                       	       0        1        1        0        0
59652 synapse                            	       0        5        5        0        0
59653 synaptic-trinity                   	       0       25        0        0       25
59654 synaptics-repository-keyring       	       0        2        0        0        2
59655 syncevolution                      	       0        1        1        0        0
59656 syncevolution-libs                 	       0        1        0        0        1
59657 syncevolution-libs-gnome           	       0        1        0        0        1
59658 syncfiles                          	       0        1        1        0        0
59659 syncplay                           	       0        4        4        0        0
59660 syncplay-common                    	       0        1        1        0        0
59661 syncthing-relaysrv                 	       0        4        4        0        0
59662 syncthingtray                      	       0       10       10        0        0
59663 syncthingtray-kde-plasma           	       0        5        4        0        1
59664 syndie                             	       0        1        1        0        0
59665 synergy                            	       0        2        2        0        0
59666 synfig                             	       0        6        6        0        0
59667 synfig-examples                    	       0       11        0        0       11
59668 synfigstudio                       	       0        8        8        0        0
59669 synology-assistant                 	       0        3        0        0        3
59670 synology-drive                     	       0        1        1        0        0
59671 synology-presto                    	       0        1        1        0        0
59672 synthv1                            	       0        7        7        0        0
59673 synthv1-common                     	       0        7        0        0        7
59674 synthv1-lv2                        	       0        3        3        0        0
59675 syrep                              	       0        1        1        0        0
59676 syrthes                            	       0        1        1        0        0
59677 syrthes-tools                      	       0        1        1        0        0
59678 sysadmin-guide                     	       0        2        0        0        2
59679 sysdig                             	       0        1        1        0        0
59680 sysdig-dkms                        	       0        1        1        0        0
59681 sysinternalsebpf                   	       0        1        1        0        0
59682 sysklogd                           	       0        2        2        0        0
59683 syslinux-themes-debian             	       0        2        0        0        2
59684 syslinux-themes-debian-lenny       	       0        1        0        0        1
59685 syslinux-themes-debian-squeeze     	       0        2        0        0        2
59686 syslinux-themes-debian-wheezy      	       0        3        0        0        3
59687 syslinux-themes-linuxmint          	       0        3        0        0        3
59688 syslinux-themes-lmde               	       0        1        0        0        1
59689 syslinux-utils                     	       0       52       51        1        0
59690 syslog-ng                          	       0       31        0        0       31
59691 syslog-ng-mod-extra                	       0        6        0        0        6
59692 syslog-ng-mod-pacctformat          	       0        1        1        0        0
59693 syslog-ng-mod-snmptrapd-parser     	       0        1        1        0        0
59694 syslog-ng-mod-tag-parser           	       0        1        1        0        0
59695 syslog-ng-scl                      	       0       23        0        0       23
59696 syslog-summary                     	       0        8        8        0        0
59697 syslogout                          	       0        2        0        0        2
59698 sysnews                            	       0        1        1        0        0
59699 sysprof                            	       0        9        9        0        0
59700 sysprofile                         	       0        3        0        0        3
59701 system-config-lvm                  	       0        3        3        0        0
59702 system76-acpi-dkms                 	       0        1        1        0        0
59703 system76-dkms                      	       0        1        1        0        0
59704 system76-io-dkms                   	       0        1        0        0        1
59705 system76-wallpapers                	       0        1        0        0        1
59706 systemback                         	       0        3        3        0        0
59707 systemback-cli                     	       0        4        4        0        0
59708 systemback-cli-dbg                 	       0        1        1        0        0
59709 systemback-efiboot-amd64           	       0        4        0        0        4
59710 systemback-locales                 	       0        4        2        0        2
59711 systemback-scheduler               	       0        4        4        0        0
59712 systemback-scheduler-dbg           	       0        1        1        0        0
59713 systemd                            	       0        2        1        0        1
59714 systemd-bootchart                  	       0        2        2        0        0
59715 systemd-cryptsetup                 	       0        1        1        0        0
59716 systemd-dummy                      	       0        1        1        0        0
59717 systemd-oomd                       	       0        1        1        0        0
59718 systemd-shim                       	       0        5        5        0        0
59719 systemd-sysv                       	       0        1        1        0        0
59720 systemd-timesyncd                  	       0        1        1        0        0
59721 systemd-ukify                      	       0        1        1        0        0
59722 systempreferences.app              	       0       10        9        1        0
59723 systempreferences.app-dbg          	       0        1        1        0        0
59724 systemtap                          	       0       13       12        1        0
59725 systemtap-client                   	       0        1        1        0        0
59726 systemtap-common                   	       0       13       12        1        0
59727 systemtap-doc                      	       0        3        3        0        0
59728 systemtap-runtime                  	       0       13       12        1        0
59729 systemtap-server                   	       0        1        1        0        0
59730 sysutils                           	       0        4        0        0        4
59731 sysvbanner                         	       0       25       24        1        0
59732 sysvinit-build-deps                	       0        1        0        0        1
59733 sysvinit-core-dbgsym               	       0        3        3        0        0
59734 sysvinit-utils-dbgsym              	       0        3        3        0        0
59735 szip                               	       0        1        1        0        0
59736 t-coffee                           	       0        4        4        0        0
59737 t-prot                             	       0        4        4        0        0
59738 t1-cyrillic                        	       0      118        1        0      117
59739 t1-oldslavic                       	       0        7        0        0        7
59740 t1-teams                           	       0      108        2        0      106
59741 t1-thai-arundina                   	       0        2        0        0        2
59742 t1-thai-tlwg                       	       0        2        1        0        1
59743 t1-xfree86-nonfree                 	       0       14        4        0       10
59744 t1lib-bin                          	       0        2        2        0        0
59745 t2html                             	       0        1        1        0        0
59746 t38modem                           	       0        2        2        0        0
59747 t3highlight                        	       0        2        2        0        0
59748 t50                                	       0        1        1        0        0
59749 tabby-terminal                     	       0        2        2        0        0
59750 tabix                              	       0        5        5        0        0
59751 tablet-encode                      	       0        1        1        0        0
59752 tachidesk-server                   	       0        1        1        0        0
59753 tachidesk-sorayomi                 	       0        2        2        0        0
59754 tachidesk-vaaui                    	       0        1        1        0        0
59755 tachyon                            	       0       10        0        0       10
59756 tachyon-bin-nox                    	       0       11       11        0        0
59757 tads3                              	       0        1        1        0        0
59758 tagainijisho                       	       0        5        5        0        0
59759 tagainijisho-common                	       0        7        0        0        7
59760 tagainijisho-dic-en                	       0        7        0        0        7
59761 tagainijisho-dic-es                	       0        1        0        0        1
59762 tagainijisho-dic-fr                	       0        1        0        0        1
59763 tagainijisho-dic-pt                	       0        1        0        0        1
59764 tagainijisho-dic-ru                	       0        2        0        0        2
59765 taggrepper                         	       0        1        1        0        0
59766 tagtool                            	       0        3        3        0        0
59767 tagua                              	       0        3        3        0        0
59768 tagua-data                         	       0        3        0        0        3
59769 tai64n                             	       0        1        1        0        0
59770 tailscale-archive-keyring          	       0       12        0        0       12
59771 tal-plugins-lv2                    	       0        1        1        0        0
59772 talksoup.app                       	       0        2        1        1        0
59773 tandem-mass                        	       0        1        1        0        0
59774 tangerine-icon-theme               	       0       11        0        0       11
59775 tanglet                            	       0        7        7        0        0
59776 tanglet-data                       	       0        7        0        0        7
59777 tango-common                       	       0        2        0        0        2
59778 tango-icon-theme                   	       0     1795        0        0     1795
59779 taopm                              	       0        4        4        0        0
59780 tap                                	       0        2        1        1        0
59781 tap-lv2                            	       0        2        2        0        0
59782 tap-plugins-doc                    	       0        4        0        0        4
59783 tapecalc                           	       0        1        1        0        0
59784 tapps2                             	       0        2        2        0        0
59785 tappy                              	       0        1        1        0        0
59786 tar-doc                            	       0       18        0        0       18
59787 tar-scripts                        	       0        7        6        1        0
59788 tar-split                          	       0        3        3        0        0
59789 tar2deb                            	       0        1        1        0        0
59790 tardy                              	       0        3        3        0        0
59791 targetcli-fb                       	       0        1        1        0        0
59792 tarlz                              	       0        8        8        0        0
59793 tarsnap                            	       0        2        2        0        0
59794 tarsnap-archive-keyring            	       0        2        0        0        2
59795 tartarus                           	       0        1        1        0        0
59796 task-albanian-desktop              	       0        2        0        0        2
59797 task-amharic                       	       0        2        0        0        2
59798 task-amharic-desktop               	       0        1        0        0        1
59799 task-arabic                        	       0        3        0        0        3
59800 task-arabic-desktop                	       0        1        0        0        1
59801 task-asturian                      	       0        2        0        0        2
59802 task-asturian-desktop              	       0        1        0        0        1
59803 task-basque                        	       0        3        0        0        3
59804 task-basque-desktop                	       0        2        0        0        2
59805 task-basque-kde-desktop            	       0        1        0        0        1
59806 task-belarusian                    	       0        4        0        0        4
59807 task-belarusian-desktop            	       0        3        0        0        3
59808 task-bengali                       	       0        2        0        0        2
59809 task-bengali-desktop               	       0        1        0        0        1
59810 task-bosnian                       	       0        2        0        0        2
59811 task-bosnian-desktop               	       0        1        0        0        1
59812 task-brazilian-portuguese          	       0       59        0        0       59
59813 task-brazilian-portuguese-desktop  	       0       40        0        0       40
59814 task-brazilian-portuguese-kde-desktop	       0        7        0        0        7
59815 task-british-desktop               	       0      191        0        0      191
59816 task-british-kde-desktop           	       0       28        0        0       28
59817 task-bulgarian                     	       0        2        0        0        2
59818 task-bulgarian-desktop             	       0        1        0        0        1
59819 task-catalan                       	       0        6        0        0        6
59820 task-catalan-desktop               	       0        4        0        0        4
59821 task-catalan-kde-desktop           	       0        1        0        0        1
59822 task-chinese-s                     	       0       12        0        0       12
59823 task-chinese-s-desktop             	       0        7        0        0        7
59824 task-chinese-s-kde-desktop         	       0        1        0        0        1
59825 task-chinese-t                     	       0        4        0        0        4
59826 task-chinese-t-desktop             	       0        1        0        0        1
59827 task-chinese-t-kde-desktop         	       0        1        0        0        1
59828 task-cinnamon-desktop              	       0      223        0        0      223
59829 task-console-productivity          	       0     1010        0        0     1010
59830 task-croatian                      	       0        3        0        0        3
59831 task-croatian-desktop              	       0        2        0        0        2
59832 task-cyrillic                      	       0      156        0        0      156
59833 task-cyrillic-desktop              	       0      102        0        0      102
59834 task-cyrillic-kde-desktop          	       0        9        0        0        9
59835 task-czech                         	       0       38        0        0       38
59836 task-czech-desktop                 	       0       21        0        0       21
59837 task-czech-kde-desktop             	       0        2        0        0        2
59838 task-danish                        	       0        4        0        0        4
59839 task-danish-desktop                	       0        3        0        0        3
59840 task-desktop                       	       0     2614        0        0     2614
59841 task-dns-server                    	       0        4        0        0        4
59842 task-dutch                         	       0       18        0        0       18
59843 task-dutch-desktop                 	       0        9        0        0        9
59844 task-dutch-kde-desktop             	       0        2        0        0        2
59845 task-dzongkha-desktop              	       0        1        0        0        1
59846 task-english                       	       0     2177        0        0     2177
59847 task-esperanto                     	       0        5        0        0        5
59848 task-esperanto-desktop             	       0        4        0        0        4
59849 task-esperanto-kde-desktop         	       0        1        0        0        1
59850 task-estonian                      	       0        4        0        0        4
59851 task-estonian-desktop              	       0        3        0        0        3
59852 task-file-server                   	       0        4        0        0        4
59853 task-finnish                       	       0        8        0        0        8
59854 task-finnish-desktop               	       0        6        0        0        6
59855 task-finnish-kde-desktop           	       0        1        0        0        1
59856 task-french                        	       0      107        0        0      107
59857 task-french-desktop                	       0       76        0        0       76
59858 task-french-kde-desktop            	       0       16        0        0       16
59859 task-galician                      	       0        5        0        0        5
59860 task-galician-desktop              	       0        4        0        0        4
59861 task-georgian-desktop              	       0        1        0        0        1
59862 task-german                        	       0      350        0        0      350
59863 task-german-desktop                	       0      246        0        0      246
59864 task-german-kde-desktop            	       0       40        0        0       40
59865 task-gnome-desktop                 	       0       69        0        0       69
59866 task-gnome-flashback-desktop       	       0       35        0        0       35
59867 task-greek                         	       0       22        0        0       22
59868 task-greek-desktop                 	       0       18        0        0       18
59869 task-greek-kde-desktop             	       0        2        0        0        2
59870 task-gujarati                      	       0        2        0        0        2
59871 task-gujarati-desktop              	       0        1        0        0        1
59872 task-hebrew                        	       0        2        0        0        2
59873 task-hebrew-desktop                	       0        2        0        0        2
59874 task-hindi                         	       0        2        0        0        2
59875 task-hindi-desktop                 	       0        1        0        0        1
59876 task-hungarian                     	       0       19        0        0       19
59877 task-hungarian-desktop             	       0       13        0        0       13
59878 task-hungarian-kde-desktop         	       0        2        0        0        2
59879 task-icelandic                     	       0        2        0        0        2
59880 task-icelandic-desktop             	       0        1        0        0        1
59881 task-indonesian-desktop            	       0        1        0        0        1
59882 task-irish                         	       0        2        0        0        2
59883 task-irish-desktop                 	       0        1        0        0        1
59884 task-italian                       	       0      117        0        0      117
59885 task-italian-desktop               	       0       77        0        0       77
59886 task-italian-kde-desktop           	       0       18        0        0       18
59887 task-japanese                      	       0       36        0        0       36
59888 task-japanese-desktop              	       0       31        0        0       31
59889 task-japanese-kde-desktop          	       0        8        0        0        8
59890 task-kannada-desktop               	       0        1        0        0        1
59891 task-kazakh                        	       0        2        0        0        2
59892 task-kazakh-desktop                	       0        1        0        0        1
59893 task-kde-desktop                   	       0      391        0        0      391
59894 task-khmer                         	       0        2        0        0        2
59895 task-khmer-desktop                 	       0        1        0        0        1
59896 task-korean                        	       0        7        0        0        7
59897 task-korean-desktop                	       0        6        0        0        6
59898 task-korean-gnome-desktop          	       0        1        0        0        1
59899 task-kurdish                       	       0        2        0        0        2
59900 task-kurdish-desktop               	       0        1        0        0        1
59901 task-laptop                        	       0     1509        0        0     1509
59902 task-latvian                       	       0        2        0        0        2
59903 task-latvian-desktop               	       0        1        0        0        1
59904 task-lithuanian                    	       0        2        0        0        2
59905 task-lithuanian-desktop            	       0        1        0        0        1
59906 task-lxde-desktop                  	       0      111        0        0      111
59907 task-lxqt-desktop                  	       0      171        0        0      171
59908 task-macedonian                    	       0        2        0        0        2
59909 task-macedonian-desktop            	       0        1        0        0        1
59910 task-mail-server                   	       0        1        0        0        1
59911 task-malayalam                     	       0        2        0        0        2
59912 task-malayalam-desktop             	       0        1        0        0        1
59913 task-marathi                       	       0        2        0        0        2
59914 task-marathi-desktop               	       0        1        0        0        1
59915 task-mate-desktop                  	       0      412        0        0      412
59916 task-nepali-desktop                	       0        1        0        0        1
59917 task-northern-sami                 	       0        2        0        0        2
59918 task-northern-sami-desktop         	       0        1        0        0        1
59919 task-norwegian                     	       0       42        0        0       42
59920 task-norwegian-desktop             	       0       37        0        0       37
59921 task-norwegian-kde-desktop         	       0       10        0        0       10
59922 task-persian                       	       0        2        0        0        2
59923 task-persian-desktop               	       0        1        0        0        1
59924 task-polish                        	       0       65        0        0       65
59925 task-polish-desktop                	       0       42        0        0       42
59926 task-polish-kde-desktop            	       0        6        0        0        6
59927 task-portuguese                    	       0        2        0        0        2
59928 task-portuguese-desktop            	       0        1        0        0        1
59929 task-print-server                  	       0       64        0        0       64
59930 task-print-service                 	       0       78        0        0       78
59931 task-punjabi                       	       0        2        0        0        2
59932 task-punjabi-desktop               	       0        1        0        0        1
59933 task-romanian                      	       0        5        0        0        5
59934 task-romanian-desktop              	       0        4        0        0        4
59935 task-romanian-kde-desktop          	       0        1        0        0        1
59936 task-russian                       	       0      154        0        0      154
59937 task-russian-desktop               	       0      101        0        0      101
59938 task-russian-kde-desktop           	       0       10        0        0       10
59939 task-serbian                       	       0        2        0        0        2
59940 task-serbian-desktop               	       0        1        0        0        1
59941 task-sinhala-desktop               	       0        1        0        0        1
59942 task-slovak                        	       0        8        0        0        8
59943 task-slovak-desktop                	       0        3        0        0        3
59944 task-slovenian                     	       0        2        0        0        2
59945 task-slovenian-desktop             	       0        1        0        0        1
59946 task-south-african-english-desktop 	       0       16        0        0       16
59947 task-spanish                       	       0      271        0        0      271
59948 task-spanish-desktop               	       0      187        0        0      187
59949 task-spanish-kde-desktop           	       0       35        0        0       35
59950 task-speech-accessibility          	       0        1        0        0        1
59951 task-spooler                       	       0        4        4        0        0
59952 task-ssh-server                    	       0     2145        0        0     2145
59953 task-swedish                       	       0       14        0        0       14
59954 task-swedish-desktop               	       0        8        0        0        8
59955 task-tagalog                       	       0        2        0        0        2
59956 task-tamil                         	       0        2        0        0        2
59957 task-tamil-desktop                 	       0        1        0        0        1
59958 task-telugu                        	       0        2        0        0        2
59959 task-telugu-desktop                	       0        1        0        0        1
59960 task-thai                          	       0        2        0        0        2
59961 task-thai-desktop                  	       0        1        0        0        1
59962 task-turkish                       	       0       10        0        0       10
59963 task-turkish-desktop               	       0        7        0        0        7
59964 task-turkish-kde-desktop           	       0        1        0        0        1
59965 task-ukrainian                     	       0       16        0        0       16
59966 task-ukrainian-desktop             	       0       13        0        0       13
59967 task-uyghur-desktop                	       0        1        0        0        1
59968 task-vietnamese-desktop            	       0        1        0        0        1
59969 task-web-server                    	       0      313        0        0      313
59970 task-welsh                         	       0        2        0        0        2
59971 task-welsh-desktop                 	       0        1        0        0        1
59972 task-xfce-desktop                  	       0     1505        0        0     1505
59973 task-xhosa-desktop                 	       0        1        0        0        1
59974 tasks                              	       0        1        1        0        0
59975 tasksh                             	       0        4        3        1        0
59976 tasque                             	       0        1        1        0        0
59977 tatan                              	       0        2        2        0        0
59978 tataranovich-keyring               	       0        1        0        0        1
59979 tatctae                            	       0        1        0        0        1
59980 tau                                	       0        1        1        0        0
59981 tau-examples                       	       0        1        1        0        0
59982 tau-racy                           	       0        1        1        0        0
59983 taupython                          	       0        1        1        0        0
59984 taxbird                            	       0        1        1        0        0
59985 tayga                              	       0        3        3        0        0
59986 tcl-awthemes                       	       0       17        0        0       17
59987 tcl-combat                         	       0        2        2        0        0
59988 tcl-doc                            	       0       24        0        0       24
59989 tcl-expect-dev                     	       0        3        2        1        0
59990 tcl-fitstcl                        	       0       10        0        0       10
59991 tcl-funtools                       	       0        3        3        0        0
59992 tcl-hamlib                         	       0        2        0        0        2
59993 tcl-itcl4                          	       0        8        1        0        7
59994 tcl-itcl4-dev                      	       0        1        1        0        0
59995 tcl-itcl4-doc                      	       0        1        0        0        1
59996 tcl-memchan                        	       0        4        0        0        4
59997 tcl-memchan-dev                    	       0        1        0        1        0
59998 tcl-signal                         	       0       23        0        0       23
59999 tcl-snack                          	       0       23       22        1        0
60000 tcl-snack-dev                      	       0        1        1        0        0
60001 tcl-snack-doc                      	       0        2        0        0        2
60002 tcl-sugar                          	       0        3        0        0        3
60003 tcl-tclreadline                    	       0       29       27        2        0
60004 tcl-thread                         	       0        4        2        2        0
60005 tcl-tk                             	       0        1        0        0        1
60006 tcl-tls                            	       0       50        1        0       49
60007 tcl-trf                            	       0        7        0        0        7
60008 tcl-trf-doc                        	       0        2        0        0        2
60009 tcl-ttkthemes                      	       0       18        0        0       18
60010 tcl-udp                            	       0        6        0        0        6
60011 tcl-vfs                            	       0       11        1        0       10
60012 tcl-vtk6                           	       0        1        1        0        0
60013 tcl-xmlrpc                         	       0        2        0        0        2
60014 tcl-xpa                            	       0       14        0        0       14
60015 tcl3270                            	       0        2        2        0        0
60016 tcl8.4                             	       0        2        2        0        0
60017 tcl8.4-doc                         	       0        2        0        0        2
60018 tcl8.5-dev                         	       0        9        9        0        0
60019 tcl8.5-doc                         	       0        1        0        0        1
60020 tcl8.6-dev                         	       0      120      118        2        0
60021 tcl8.6-doc                         	       0       24        0        0       24
60022 tcl8.6-tdbc-mysql                  	       0        1        1        0        0
60023 tcl8.6-tdbc-odbc                   	       0        4        4        0        0
60024 tcl8.6-tdbc-sqlite3                	       0        1        0        0        1
60025 tcl9.0-dev                         	       0        1        1        0        0
60026 tcl9.0-doc                         	       0        2        0        0        2
60027 tclcl-dev                          	       0        1        0        0        1
60028 tclfitsy                           	       0       11        0        0       11
60029 tclgeoip                           	       0        2        2        0        0
60030 tclicq                             	       0        1        1        0        0
60031 tcliis                             	       0        6        0        0        6
60032 tcllib-critcl                      	       0        7        0        0        7
60033 tclodbc                            	       0        3        3        0        0
60034 tclparser                          	       0        1        1        0        0
60035 tclreadline                        	       0        3        3        0        0
60036 tclspice                           	       0       10        0        0       10
60037 tclx8.4-dev                        	       0        1        0        1        0
60038 tclx8.4-doc                        	       0        5        0        0        5
60039 tclxapian                          	       0        1        1        0        0
60040 tclxml                             	       0       14        1        0       13
60041 tclxml-dev                         	       0        1        1        0        0
60042 tcm                                	       0        2        2        0        0
60043 tcm-doc                            	       0        2        0        0        2
60044 tcpflow-nox                        	       0        2        2        0        0
60045 tcplay                             	       0       10        9        1        0
60046 tcpreen                            	       0        2        2        0        0
60047 tcpser                             	       0        1        1        0        0
60048 tcpslice                           	       0        2        2        0        0
60049 tcputils                           	       0        7        7        0        0
60050 tcvt                               	       0        1        1        0        0
60051 tdappdesktop                       	       0        1        0        0        1
60052 tdc                                	       0        6        6        0        0
60053 tde-core-trinity                   	       0       24        0        0       24
60054 tde-devel-trinity                  	       0        1        0        0        1
60055 tde-guidance-trinity               	       0        2        2        0        0
60056 tde-i18n-be-trinity                	       0        1        1        0        0
60057 tde-i18n-cs-trinity                	       0        2        1        0        1
60058 tde-i18n-de-trinity                	       0        7        6        0        1
60059 tde-i18n-engb-trinity              	       0        1        0        0        1
60060 tde-i18n-es-trinity                	       0        1        0        0        1
60061 tde-i18n-fr-trinity                	       0        1        0        0        1
60062 tde-i18n-hu-trinity                	       0        1        0        0        1
60063 tde-i18n-it-trinity                	       0        1        0        0        1
60064 tde-i18n-pl-trinity                	       0        2        1        0        1
60065 tde-i18n-ru-trinity                	       0        3        0        0        3
60066 tde-i18n-sk-trinity                	       0        1        0        0        1
60067 tde-icons-mono-trinity             	       0       25        0        0       25
60068 tde-style-baghira-trinity          	       0        2        2        0        0
60069 tde-style-domino-trinity           	       0        3        3        0        0
60070 tde-style-ia-ora-trinity           	       0        2        2        0        0
60071 tde-style-lipstik-trinity          	       0        2        2        0        0
60072 tde-style-polyester-trinity        	       0        1        1        0        0
60073 tde-style-q4oststyle02-trinity     	       0        2        2        0        0
60074 tde-systemsettings-trinity         	       0        3        3        0        0
60075 tde-trinity                        	       0       19        0        0       19
60076 tdeaccessibility-trinity           	       0       22        0        0       22
60077 tdeaccessibility-trinity-doc-html  	       0        2        0        0        2
60078 tdeaddons-trinity                  	       0       21        0        0       21
60079 tdeaddons-trinity-doc-html         	       0        2        0        0        2
60080 tdeadmin-tdefile-plugins-trinity   	       0       25       25        0        0
60081 tdeadmin-trinity                   	       0       24        0        0       24
60082 tdeadmin-trinity-doc-html          	       0        2        0        0        2
60083 tdeartwork-emoticons-trinity       	       0       25        0        0       25
60084 tdeartwork-misc-trinity            	       0       26        0        0       26
60085 tdeartwork-style-trinity           	       0       25       25        0        0
60086 tdeartwork-theme-icon-trinity      	       0       27        0        0       27
60087 tdeartwork-theme-window-trinity    	       0       25       24        1        0
60088 tdeartwork-trinity                 	       0       24        0        0       24
60089 tdeartwork-trinity-dbg             	       0        1        1        0        0
60090 tdebase-data-trinity               	       0       35        0        0       35
60091 tdebase-runtime-data-common-trinity	       0       34        0        0       34
60092 tdebase-trinity                    	       0       31        0        0       31
60093 tdebase-trinity-dbg                	       0        1        1        0        0
60094 tdebase-trinity-dev                	       0        1        1        0        0
60095 tdebase-trinity-doc-html           	       0        2        0        0        2
60096 tdebluez-trinity                   	       0        2        2        0        0
60097 tdecachegrind-converters-trinity   	       0        1        1        0        0
60098 tdecachegrind-trinity              	       0        2        2        0        0
60099 tdeedu-data-trinity                	       0       23        0        0       23
60100 tdeedu-trinity                     	       0       19        0        0       19
60101 tdeedu-trinity-doc-html            	       0        2        0        0        2
60102 tdefifteen-trinity                 	       0       21        0        0       21
60103 tdefilereplace-trinity             	       0       24       24        0        0
60104 tdegames-card-data-trinity         	       0       23        0        0       23
60105 tdegames-trinity                   	       0       23        0        0       23
60106 tdegames-trinity-doc-html          	       0        1        0        0        1
60107 tdegraphics-trinity                	       0       22        0        0       22
60108 tdegraphics-trinity-doc-html       	       0        2        0        0        2
60109 tdeiconedit-trinity                	       0       26       26        0        0
60110 tdeio-appinfo-trinity              	       0        1        1        0        0
60111 tdeio-apt-trinity                  	       0        2        2        0        0
60112 tdeio-ftps-trinity                 	       0        2        2        0        0
60113 tdeio-gopher-trinity               	       0        2        2        0        0
60114 tdeio-locate-trinity               	       0        2        2        0        0
60115 tdeio-umountwrapper-trinity        	       0        2        2        0        0
60116 tdelibs-trinity                    	       0       29        0        0       29
60117 tdelibs-trinity-dbg                	       0        2        2        0        0
60118 tdelibs14-imagetops-trinity        	       0        1        1        0        0
60119 tdelibs14-trinity-dev              	       0        1        1        0        0
60120 tdelibs14-trinity-doc              	       0        2        0        0        2
60121 tdelirc-trinity                    	       0       22       22        0        0
60122 tdemid-trinity                     	       0       22       22        0        0
60123 tdemultimedia-kappfinder-data-trinity	       0       24        0        0       24
60124 tdemultimedia-tdefile-plugins-trinity	       0       25       25        0        0
60125 tdemultimedia-trinity              	       0       22        0        0       22
60126 tdemultimedia-trinity-doc-html     	       0        2        0        0        2
60127 tdenetwork-filesharing-trinity     	       0       24       24        0        0
60128 tdenetwork-tdefile-plugins-trinity 	       0       25       25        0        0
60129 tdenetwork-trinity                 	       0       24        0        0       24
60130 tdenetwork-trinity-dbg             	       0        1        1        0        0
60131 tdenetwork-trinity-doc-html        	       0        2        0        0        2
60132 tdepacman-trinity                  	       0        1        1        0        0
60133 tdepasswd-trinity                  	       0       34       34        0        0
60134 tdepim-tdefile-plugins-trinity     	       0       25       25        0        0
60135 tdepim-trinity                     	       0       23        0        0       23
60136 tdepim-trinity-doc-html            	       0        1        0        0        1
60137 tdepim-wizards-trinity             	       0       23       23        0        0
60138 tdeprint-trinity                   	       0       33       33        0        0
60139 tdescreensaver-trinity             	       0       26       26        0        0
60140 tdescreensaver-xsavers-extra-trinity	       0        2        0        0        2
60141 tdescreensaver-xsavers-trinity     	       0       26       26        0        0
60142 tdescreensaver-xsavers-webcollage-trinity	       0        1        0        0        1
60143 tdesdk-misc-trinity                	       0        1        1        0        0
60144 tdesdk-scripts-trinity             	       0        1        1        0        0
60145 tdesdk-tdefile-plugins-trinity     	       0        2        2        0        0
60146 tdesdk-tdeio-plugins-trinity       	       0        1        1        0        0
60147 tdesdk-trinity                     	       0        1        0        0        1
60148 tdesdk-trinity-doc-html            	       0        2        0        0        2
60149 tdessh-trinity                     	       0       23       23        0        0
60150 tdesshaskpass-trinity              	       0        3        3        0        0
60151 tdesshaskpass-trinity-dbgsym       	       0        1        1        0        0
60152 tdesudo-trinity                    	       0        5        5        0        0
60153 tdesvn-tdeio-plugins-trinity       	       0        1        1        0        0
60154 tdesvn-trinity                     	       0        1        1        0        0
60155 tdetoys-trinity                    	       0       23        0        0       23
60156 tdetoys-trinity-doc-html           	       0        1        0        0        1
60157 tdeunittest-trinity                	       0        1        1        0        0
60158 tdeutils-trinity                   	       0       22        0        0       22
60159 tdeutils-trinity-doc-html          	       0        1        0        0        1
60160 tdevelop-data-trinity              	       0        3        3        0        0
60161 tdevelop-trinity                   	       0        3        3        0        0
60162 tdevelop-trinity-doc               	       0        3        3        0        0
60163 tdewalletmanager-trinity           	       0       29       29        0        0
60164 tdewallpapers-trinity              	       0       27        0        0       27
60165 tdewebdev-trinity                  	       0       24        0        0       24
60166 tdewebdev-trinity-doc-html         	       0        1        0        0        1
60167 tdfsb                              	       0        8        8        0        0
60168 tdmtheme-trinity                   	       0        4        4        0        0
60169 tdom                               	       0       30        1        0       29
60170 tdom-dev                           	       0        1        1        0        0
60171 tea                                	       0       13       12        1        0
60172 tea-data                           	       0       13        0        0       13
60173 teams-insiders                     	       0        2        2        0        0
60174 teamviewer-host                    	       0        2        2        0        0
60175 tecla                              	       0       22       22        0        0
60176 tecnoballz-data                    	       0        8        0        0        8
60177 ted                                	       0        1        1        0        0
60178 ted-common                         	       0        1        0        0        1
60179 tedia2sql                          	       0        1        1        0        0
60180 teem-apps                          	       0        2        2        0        0
60181 teensy-loader-cli                  	       0        6        6        0        0
60182 teeworlds-data                     	       0       13        0        0       13
60183 teeworlds-server                   	       0        3        3        0        0
60184 teg                                	       0        4        4        0        0
60185 tegaki-recognize                   	       0        1        1        0        0
60186 tegaki-zinnia-japanese             	       0       16        0        0       16
60187 teighafileconverter                	       0        1        1        0        0
60188 teilfeil                           	       0        1        1        0        0
60189 teilfeil-cli                       	       0        1        1        0        0
60190 teilfeil-common                    	       0        1        1        0        0
60191 teilfeil-libsodium                 	       0        1        1        0        0
60192 telegnome                          	       0        1        1        0        0
60193 telegraf                           	       0        9        9        0        0
60194 telegram                           	       0        1        0        0        1
60195 telegram-cli                       	       0        8        8        0        0
60196 telegram-purple                    	       0        3        3        0        0
60197 telegram-send                      	       0        3        3        0        0
60198 telepathy-accounts-signon          	       0       12        6        0        6
60199 telepathy-gabble                   	       0       12       12        0        0
60200 telepathy-haze                     	       0       20       20        0        0
60201 telepathy-idle                     	       0       22       22        0        0
60202 telepathy-logger                   	       0       27       27        0        0
60203 telepathy-rakia                    	       0        9        9        0        0
60204 telepathy-salut                    	       0      279      275        4        0
60205 teleport                           	       0        1        1        0        0
60206 telepresence                       	       0        1        1        0        0
60207 telescope                          	       0        1        1        0        0
60208 tellico                            	       0       12       12        0        0
60209 tellico-data                       	       0       12        0        0       12
60210 tellico-data-trinity               	       0        2        0        0        2
60211 tellico-doc                        	       0       10        0        0       10
60212 tellico-scripts                    	       0       10        0        0       10
60213 tellico-scripts-trinity            	       0        2        0        0        2
60214 tellico-trinity                    	       0        2        2        0        0
60215 telnetd                            	       0       31        9        0       22
60216 telnetd-ssl                        	       0        7        7        0        0
60217 telxcc                             	       0        1        1        0        0
60218 tempest-for-eliza                  	       0        2        2        0        0
60219 temurin-11-jdk                     	       0        3        3        0        0
60220 temurin-11-jre                     	       0        2        2        0        0
60221 temurin-19-jdk                     	       0        1        1        0        0
60222 temurin-20-jdk                     	       0        1        1        0        0
60223 temurin-21-jdk                     	       0        3        3        0        0
60224 temurin-21-jre                     	       0        2        2        0        0
60225 temurin-22-jdk                     	       0        2        2        0        0
60226 temurin-22-jre                     	       0        1        1        0        0
60227 temurin-23-jdk                     	       0        1        1        0        0
60228 temurin-8-jdk                      	       0        3        3        0        0
60229 temurin-8-jre                      	       0        2        2        0        0
60230 tenmado                            	       0        2        2        0        0
60231 tennix                             	       0        1        0        1        0
60232 tenshi                             	       0        1        1        0        0
60233 terabox                            	       0        1        1        0        0
60234 teragonaudio-plugins-lv2           	       0        1        1        0        0
60235 tercpp                             	       0        1        1        0        0
60236 termdebug                          	       0        1        1        0        0
60237 terminal.app                       	       0        8        7        1        0
60238 terminatorx                        	       0        8        8        0        0
60239 termineter                         	       0        1        1        0        0
60240 terminfo                           	       0        6        5        1        0
60241 terminology                        	       0       17       15        2        0
60242 terminology-data                   	       0       18        2        1       15
60243 terminus                           	       0        9        9        0        0
60244 termit                             	       0      133      128        5        0
60245 termius-app                        	       0        1        0        0        1
60246 termrec                            	       0        5        5        0        0
60247 termscp                            	       0        2        2        0        0
60248 termshark                          	       0        5        5        0        0
60249 terraform                          	       0        6        6        0        0
60250 terraform-ls                       	       0        3        3        0        0
60251 terraform-stacks-cli               	       0        1        1        0        0
60252 terraintool                        	       0        1        1        0        0
60253 teseq                              	       0        4        4        0        0
60254 tesseract-build-deps               	       0        1        0        0        1
60255 tesseract-lang-build-deps          	       0        1        0        0        1
60256 tesseract-ocr-afr                  	       0        8        0        0        8
60257 tesseract-ocr-all                  	       0        8        0        0        8
60258 tesseract-ocr-amh                  	       0        8        0        0        8
60259 tesseract-ocr-ara                  	       0        9        0        0        9
60260 tesseract-ocr-asm                  	       0        8        0        0        8
60261 tesseract-ocr-aze                  	       0        9        0        0        9
60262 tesseract-ocr-aze-cyrl             	       0        9        0        0        9
60263 tesseract-ocr-bel                  	       0        8        0        0        8
60264 tesseract-ocr-ben                  	       0        8        0        0        8
60265 tesseract-ocr-bod                  	       0        8        0        0        8
60266 tesseract-ocr-bos                  	       0        8        0        0        8
60267 tesseract-ocr-bre                  	       0        7        0        0        7
60268 tesseract-ocr-bul                  	       0        8        0        0        8
60269 tesseract-ocr-cat                  	       0        8        0        0        8
60270 tesseract-ocr-ceb                  	       0        8        0        0        8
60271 tesseract-ocr-ces                  	       0       13        0        0       13
60272 tesseract-ocr-chi-sim              	       0       10        0        0       10
60273 tesseract-ocr-chi-sim-vert         	       0        9        0        0        9
60274 tesseract-ocr-chi-tra              	       0       10        0        0       10
60275 tesseract-ocr-chi-tra-vert         	       0        9        0        0        9
60276 tesseract-ocr-chr                  	       0        8        0        0        8
60277 tesseract-ocr-cos                  	       0        7        0        0        7
60278 tesseract-ocr-cym                  	       0        8        0        0        8
60279 tesseract-ocr-dan                  	       0        9        0        0        9
60280 tesseract-ocr-dan-frak             	       0        1        0        0        1
60281 tesseract-ocr-data                 	       0        1        0        0        1
60282 tesseract-ocr-deu                  	       0       60        0        0       60
60283 tesseract-ocr-deu-f                	       0        1        0        0        1
60284 tesseract-ocr-deu-frak             	       0        2        0        0        2
60285 tesseract-ocr-div                  	       0        7        0        0        7
60286 tesseract-ocr-dzo                  	       0        8        0        0        8
60287 tesseract-ocr-ell                  	       0       11        0        0       11
60288 tesseract-ocr-eng                  	       0      254        0        0      254
60289 tesseract-ocr-enm                  	       0       10        0        0       10
60290 tesseract-ocr-epo                  	       0        8        0        0        8
60291 tesseract-ocr-equ                  	       0       12        0        0       12
60292 tesseract-ocr-est                  	       0        8        0        0        8
60293 tesseract-ocr-eus                  	       0        8        0        0        8
60294 tesseract-ocr-fao                  	       0        7        0        0        7
60295 tesseract-ocr-fas                  	       0        8        0        0        8
60296 tesseract-ocr-fil                  	       0        7        0        0        7
60297 tesseract-ocr-fin                  	       0        9        0        0        9
60298 tesseract-ocr-fra                  	       0       31        0        0       31
60299 tesseract-ocr-frk                  	       0       13        0        0       13
60300 tesseract-ocr-frm                  	       0        9        0        0        9
60301 tesseract-ocr-fry                  	       0        8        0        0        8
60302 tesseract-ocr-gla                  	       0        7        0        0        7
60303 tesseract-ocr-gle                  	       0        9        0        0        9
60304 tesseract-ocr-gle-uncial           	       0        1        0        0        1
60305 tesseract-ocr-glg                  	       0        9        0        0        9
60306 tesseract-ocr-grc                  	       0        9        0        0        9
60307 tesseract-ocr-guj                  	       0        8        0        0        8
60308 tesseract-ocr-hat                  	       0        8        0        0        8
60309 tesseract-ocr-heb                  	       0        9        0        0        9
60310 tesseract-ocr-hin                  	       0        9        0        0        9
60311 tesseract-ocr-hrv                  	       0        8        0        0        8
60312 tesseract-ocr-hun                  	       0        8        0        0        8
60313 tesseract-ocr-hye                  	       0        7        0        0        7
60314 tesseract-ocr-iku                  	       0        8        0        0        8
60315 tesseract-ocr-ind                  	       0        8        0        0        8
60316 tesseract-ocr-isl                  	       0        8        0        0        8
60317 tesseract-ocr-ita                  	       0       20        0        0       20
60318 tesseract-ocr-ita-old              	       0       11        0        0       11
60319 tesseract-ocr-jav                  	       0        8        0        0        8
60320 tesseract-ocr-jpn                  	       0       16        0        0       16
60321 tesseract-ocr-jpn-vert             	       0       10        0        0       10
60322 tesseract-ocr-kan                  	       0        8        0        0        8
60323 tesseract-ocr-kat                  	       0        8        0        0        8
60324 tesseract-ocr-kat-old              	       0        8        0        0        8
60325 tesseract-ocr-kaz                  	       0        8        0        0        8
60326 tesseract-ocr-khm                  	       0        8        0        0        8
60327 tesseract-ocr-kir                  	       0        8        0        0        8
60328 tesseract-ocr-kmr                  	       0        7        0        0        7
60329 tesseract-ocr-kor                  	       0        8        0        0        8
60330 tesseract-ocr-kor-vert             	       0        7        0        0        7
60331 tesseract-ocr-kur                  	       0        1        0        0        1
60332 tesseract-ocr-lao                  	       0        8        0        0        8
60333 tesseract-ocr-lat                  	       0       14        0        0       14
60334 tesseract-ocr-lav                  	       0        8        0        0        8
60335 tesseract-ocr-lit                  	       0        8        0        0        8
60336 tesseract-ocr-ltz                  	       0        7        0        0        7
60337 tesseract-ocr-mal                  	       0        8        0        0        8
60338 tesseract-ocr-mar                  	       0        8        0        0        8
60339 tesseract-ocr-mkd                  	       0        8        0        0        8
60340 tesseract-ocr-mlt                  	       0        8        0        0        8
60341 tesseract-ocr-mon                  	       0        7        0        0        7
60342 tesseract-ocr-mri                  	       0        7        0        0        7
60343 tesseract-ocr-msa                  	       0        8        0        0        8
60344 tesseract-ocr-mya                  	       0        8        0        0        8
60345 tesseract-ocr-nep                  	       0        8        0        0        8
60346 tesseract-ocr-nld                  	       0       16        0        0       16
60347 tesseract-ocr-nor                  	       0        8        0        0        8
60348 tesseract-ocr-oci                  	       0        7        0        0        7
60349 tesseract-ocr-ori                  	       0        8        0        0        8
60350 tesseract-ocr-osd                  	       0      253        0        0      253
60351 tesseract-ocr-pan                  	       0        8        0        0        8
60352 tesseract-ocr-pol                  	       0       16        0        0       16
60353 tesseract-ocr-por                  	       0        9        0        0        9
60354 tesseract-ocr-pus                  	       0        8        0        0        8
60355 tesseract-ocr-que                  	       0        7        0        0        7
60356 tesseract-ocr-ron                  	       0       11        0        0       11
60357 tesseract-ocr-rus                  	       0       20        0        0       20
60358 tesseract-ocr-san                  	       0        8        0        0        8
60359 tesseract-ocr-script-arab          	       0        7        0        0        7
60360 tesseract-ocr-script-armn          	       0        7        0        0        7
60361 tesseract-ocr-script-beng          	       0        7        0        0        7
60362 tesseract-ocr-script-cans          	       0        8        0        0        8
60363 tesseract-ocr-script-cher          	       0        8        0        0        8
60364 tesseract-ocr-script-cyrl          	       0        9        0        0        9
60365 tesseract-ocr-script-deva          	       0        7        0        0        7
60366 tesseract-ocr-script-ethi          	       0        7        0        0        7
60367 tesseract-ocr-script-frak          	       0        8        0        0        8
60368 tesseract-ocr-script-geor          	       0        7        0        0        7
60369 tesseract-ocr-script-grek          	       0        9        0        0        9
60370 tesseract-ocr-script-gujr          	       0        7        0        0        7
60371 tesseract-ocr-script-guru          	       0        7        0        0        7
60372 tesseract-ocr-script-hang          	       0        7        0        0        7
60373 tesseract-ocr-script-hang-vert     	       0        7        0        0        7
60374 tesseract-ocr-script-hans          	       0        8        0        0        8
60375 tesseract-ocr-script-hans-vert     	       0        8        0        0        8
60376 tesseract-ocr-script-hant          	       0        8        0        0        8
60377 tesseract-ocr-script-hant-vert     	       0        8        0        0        8
60378 tesseract-ocr-script-hebr          	       0        8        0        0        8
60379 tesseract-ocr-script-jpan          	       0       10        0        0       10
60380 tesseract-ocr-script-jpan-vert     	       0       10        0        0       10
60381 tesseract-ocr-script-khmr          	       0        7        0        0        7
60382 tesseract-ocr-script-knda          	       0        7        0        0        7
60383 tesseract-ocr-script-laoo          	       0        7        0        0        7
60384 tesseract-ocr-script-latn          	       0       15        0        0       15
60385 tesseract-ocr-script-mlym          	       0        7        0        0        7
60386 tesseract-ocr-script-mymr          	       0        7        0        0        7
60387 tesseract-ocr-script-orya          	       0        7        0        0        7
60388 tesseract-ocr-script-sinh          	       0        7        0        0        7
60389 tesseract-ocr-script-syrc          	       0        7        0        0        7
60390 tesseract-ocr-script-taml          	       0        7        0        0        7
60391 tesseract-ocr-script-telu          	       0        7        0        0        7
60392 tesseract-ocr-script-thaa          	       0        7        0        0        7
60393 tesseract-ocr-script-thai          	       0        7        0        0        7
60394 tesseract-ocr-script-tibt          	       0        7        0        0        7
60395 tesseract-ocr-script-viet          	       0        7        0        0        7
60396 tesseract-ocr-sin                  	       0        8        0        0        8
60397 tesseract-ocr-slk                  	       0       10        0        0       10
60398 tesseract-ocr-slk-frak             	       0        2        0        0        2
60399 tesseract-ocr-slv                  	       0        8        0        0        8
60400 tesseract-ocr-snd                  	       0        7        0        0        7
60401 tesseract-ocr-spa                  	       0       24        0        0       24
60402 tesseract-ocr-spa-old              	       0       13        0        0       13
60403 tesseract-ocr-sqi                  	       0        8        0        0        8
60404 tesseract-ocr-srp                  	       0        8        0        0        8
60405 tesseract-ocr-srp-latn             	       0        8        0        0        8
60406 tesseract-ocr-sun                  	       0        7        0        0        7
60407 tesseract-ocr-swa                  	       0        8        0        0        8
60408 tesseract-ocr-swe                  	       0       12        0        0       12
60409 tesseract-ocr-syr                  	       0        8        0        0        8
60410 tesseract-ocr-tam                  	       0        8        0        0        8
60411 tesseract-ocr-tat                  	       0        7        0        0        7
60412 tesseract-ocr-tel                  	       0        8        0        0        8
60413 tesseract-ocr-tgk                  	       0        8        0        0        8
60414 tesseract-ocr-tgl                  	       0        1        0        0        1
60415 tesseract-ocr-tha                  	       0        9        0        0        9
60416 tesseract-ocr-tir                  	       0        8        0        0        8
60417 tesseract-ocr-ton                  	       0        7        0        0        7
60418 tesseract-ocr-tur                  	       0        8        0        0        8
60419 tesseract-ocr-uig                  	       0        8        0        0        8
60420 tesseract-ocr-ukr                  	       0       10        0        0       10
60421 tesseract-ocr-urd                  	       0        8        0        0        8
60422 tesseract-ocr-uzb                  	       0        8        0        0        8
60423 tesseract-ocr-uzb-cyrl             	       0        8        0        0        8
60424 tesseract-ocr-vie                  	       0        9        0        0        9
60425 tesseract-ocr-yid                  	       0        9        0        0        9
60426 tesseract-ocr-yor                  	       0        7        0        0        7
60427 tesseract2djvused                  	       0        1        1        0        0
60428 testdisk-dbg                       	       0        2        2        0        0
60429 testng                             	       0       76        0        0       76
60430 testng-doc                         	       0        1        0        0        1
60431 testu01-bin                        	       0        1        1        0        0
60432 testu01-data                       	       0        1        0        0        1
60433 tetex-base                         	       0        2        0        0        2
60434 tetex-bin                          	       0        1        0        0        1
60435 tetex-brev                         	       0        1        0        0        1
60436 tetex-doc-nonfree                  	       0        1        0        0        1
60437 tetgen                             	       0        2        2        0        0
60438 tetradraw                          	       0        3        3        0        0
60439 tetraproc                          	       0        1        1        0        0
60440 tetrinet-server                    	       0        1        1        0        0
60441 tetrinetx                          	       0        9        9        0        0
60442 tetzle                             	       0       11       11        0        0
60443 tex-gyre                           	       0      463        0        0      463
60444 tex-pscyr                          	       0        1        0        0        1
60445 tex4ht                             	       0        3        1        0        2
60446 tex4ht-common                      	       0        1        0        0        1
60447 texi2html                          	       0       33       33        0        0
60448 texify                             	       0        3        3        0        0
60449 texinfo-doc-nonfree                	       0        5        0        0        5
60450 texinfo-lib                        	       0       73       72        1        0
60451 texlive                            	       0      234        0        0      234
60452 texlive-bibtex-extra               	       0      193      190        3        0
60453 texlive-doc-ar                     	       0        1        0        0        1
60454 texlive-doc-bg                     	       0        1        0        0        1
60455 texlive-doc-cs+sk                  	       0        1        0        0        1
60456 texlive-doc-de                     	       0        2        0        0        2
60457 texlive-doc-en                     	       0        2        0        0        2
60458 texlive-doc-es                     	       0        1        0        0        1
60459 texlive-doc-fi                     	       0        1        0        0        1
60460 texlive-doc-fr                     	       0        1        0        0        1
60461 texlive-doc-it                     	       0        1        0        0        1
60462 texlive-doc-ja                     	       0        1        0        0        1
60463 texlive-doc-ko                     	       0        1        0        0        1
60464 texlive-doc-mn                     	       0        1        0        0        1
60465 texlive-doc-nl                     	       0        1        0        0        1
60466 texlive-doc-pl                     	       0        1        0        0        1
60467 texlive-doc-pt                     	       0        1        0        0        1
60468 texlive-doc-rs                     	       0        1        0        0        1
60469 texlive-doc-ru                     	       0        1        0        0        1
60470 texlive-doc-si                     	       0        1        0        0        1
60471 texlive-doc-th                     	       0        1        0        0        1
60472 texlive-doc-tr                     	       0        1        0        0        1
60473 texlive-doc-uk                     	       0        1        0        0        1
60474 texlive-doc-vi                     	       0        1        0        0        1
60475 texlive-doc-zh                     	       0        2        0        0        2
60476 texlive-fonts-extra                	       0      183        1        0      182
60477 texlive-fonts-extra-doc            	       0      121        0        0      121
60478 texlive-fonts-extra-links          	       0      152        0        0      152
60479 texlive-fonts-recommended-doc      	       0      180        0        0      180
60480 texlive-full                       	       0       77        0        0       77
60481 texlive-games                      	       0      100       97        3        0
60482 texlive-generic-extra              	       0       27        0        0       27
60483 texlive-generic-recommended        	       0       40        0        0       40
60484 texlive-htmlxml                    	       0       30        6        0       24
60485 texlive-humanities                 	       0       94       90        3        1
60486 texlive-humanities-doc             	       0       86        0        0       86
60487 texlive-lang-african               	       0        4        1        0        3
60488 texlive-lang-all                   	       0       14        0        0       14
60489 texlive-lang-arabic                	       0       88        1        0       87
60490 texlive-lang-armenian              	       0        2        0        0        2
60491 texlive-lang-chinese               	       0       96        1        0       95
60492 texlive-lang-cjk                   	       0       99       95        3        1
60493 texlive-lang-croatian              	       0        3        0        0        3
60494 texlive-lang-cyrillic              	       0       97       94        3        0
60495 texlive-lang-danish                	       0        3        0        0        3
60496 texlive-lang-dutch                 	       0        3        0        0        3
60497 texlive-lang-english               	       0      138        0        0      138
60498 texlive-lang-european              	       0      111        1        0      110
60499 texlive-lang-finnish               	       0        3        0        0        3
60500 texlive-lang-french                	       0      112      109        3        0
60501 texlive-lang-german                	       0      143        0        0      143
60502 texlive-lang-greek                 	       0      263      258        5        0
60503 texlive-lang-hebrew                	       0        2        0        0        2
60504 texlive-lang-hungarian             	       0        3        0        0        3
60505 texlive-lang-indic                 	       0        3        3        0        0
60506 texlive-lang-italian               	       0       93        0        0       93
60507 texlive-lang-korean                	       0       93       90        3        0
60508 texlive-lang-latin                 	       0        3        0        0        3
60509 texlive-lang-latvian               	       0        2        0        0        2
60510 texlive-lang-lithuanian            	       0        2        0        0        2
60511 texlive-lang-mongolian             	       0        3        0        0        3
60512 texlive-lang-norwegian             	       0        3        0        0        3
60513 texlive-lang-other                 	       0       98        8        0       90
60514 texlive-lang-portuguese            	       0       90        0        0       90
60515 texlive-lang-spanish               	       0      105        0        0      105
60516 texlive-lang-swedish               	       0        3        0        0        3
60517 texlive-lang-tibetan               	       0        2        0        0        2
60518 texlive-lang-vietnamese            	       0        3        0        0        3
60519 texlive-latex-base-doc             	       0      196        0        0      196
60520 texlive-latex-extra-doc            	       0      156        0        0      156
60521 texlive-latex-recommended-doc      	       0      176        0        0      176
60522 texlive-latex3                     	       0        1        0        0        1
60523 texlive-local                      	       0        2        0        0        2
60524 texlive-math-extra                 	       0        3        3        0        0
60525 texlive-metapost                   	       0      117        1        0      116
60526 texlive-metapost-doc               	       0      100       96        4        0
60527 texlive-music                      	       0       91       88        3        0
60528 texlive-omega                      	       0        6        3        0        3
60529 texlive-pictures-doc               	       0      166        0        0      166
60530 texlive-plain-extra                	       0        9        0        0        9
60531 texlive-pstricks-doc               	       0      166        0        0      166
60532 texlive-publishers                 	       0      115        0        0      115
60533 texlive-publishers-doc             	       0       92       82        3        7
60534 texlive-science-doc                	       0      122        0        0      122
60535 texmacs                            	       0        1        1        0        0
60536 texmacs-common                     	       0        2        0        0        2
60537 texmacs-extra-fonts                	       0        1        0        0        1
60538 texmaker                           	       0       40       39        1        0
60539 texmaker-data                      	       0       41        0        0       41
60540 texpower                           	       0        2        0        0        2
60541 texpower-examples                  	       0        2        0        0        2
60542 texpower-manual                    	       0        3        0        0        3
60543 texstudio-doc                      	       0       66        0        0       66
60544 texstudio-l10n                     	       0       66        1        0       65
60545 textdraw                           	       0        5        5        0        0
60546 textedit.app                       	       0       10        9        1        0
60547 textql                             	       0        1        1        0        0
60548 texturepacker                      	       0        1        1        0        0
60549 texworks                           	       0       13       12        1        0
60550 texworks-help-en                   	       0       12        0        0       12
60551 texworks-help-fr                   	       0        1        0        0        1
60552 texworks-scripting-lua             	       0        3        3        0        0
60553 texworks-scripting-python          	       0        3        3        0        0
60554 tf-tools                           	       0        2        2        0        0
60555 tf2-tools                          	       0        2        2        0        0
60556 tf5                                	       0        7        6        1        0
60557 tfortune                           	       0        5        5        0        0
60558 tfortunes                          	       0        5        0        0        5
60559 tgif                               	       0        7        7        0        0
60560 tgt                                	       0        2        2        0        0
60561 thailatex                          	       0        2        0        0        2
60562 the                                	       0        4        4        0        0
60563 the-doc                            	       0        2        0        0        2
60564 theano-doc                         	       0        1        0        0        1
60565 thefuck                            	       0        6        6        0        0
60566 theli                              	       0        1        1        0        0
60567 themedetector                      	       0        1        1        0        0
60568 themole                            	       0        1        1        0        0
60569 therion                            	       0        1        1        0        0
60570 therion-viewer                     	       0        1        1        0        0
60571 theseus                            	       0        1        1        0        0
60572 thinkfan                           	       0       16       16        0        0
60573 threeb-imagej                      	       0        1        1        0        0
60574 threema                            	       0        4        4        0        0
60575 thrift-compiler                    	       0       10       10        0        0
60576 thrust                             	       0        2        2        0        0
60577 thunar-build-deps                  	       0        1        0        0        1
60578 thunar-dbgsym                      	       0        1        1        0        0
60579 thunar-dropbox-plugin              	       0        7        2        0        5
60580 thunar-volman-build-deps           	       0        1        0        0        1
60581 thunarx-python                     	       0        5        2        0        3
60582 thunderbird-bidiui                 	       0        4        0        0        4
60583 thunderbird-l10n-af                	       0        1        1        0        0
60584 thunderbird-l10n-all               	       0        1        0        0        1
60585 thunderbird-l10n-ar                	       0        1        1        0        0
60586 thunderbird-l10n-ast               	       0        1        1        0        0
60587 thunderbird-l10n-be                	       0        1        1        0        0
60588 thunderbird-l10n-bg                	       0        1        1        0        0
60589 thunderbird-l10n-br                	       0        1        1        0        0
60590 thunderbird-l10n-ca                	       0        3        3        0        0
60591 thunderbird-l10n-cak               	       0        1        1        0        0
60592 thunderbird-l10n-cs                	       0        7        7        0        0
60593 thunderbird-l10n-cy                	       0        1        1        0        0
60594 thunderbird-l10n-da                	       0        2        2        0        0
60595 thunderbird-l10n-dsb               	       0        1        1        0        0
60596 thunderbird-l10n-el                	       0        1        1        0        0
60597 thunderbird-l10n-en-ca             	       0        4        3        1        0
60598 thunderbird-l10n-es-mx             	       0        3        3        0        0
60599 thunderbird-l10n-et                	       0        2        2        0        0
60600 thunderbird-l10n-eu                	       0        1        1        0        0
60601 thunderbird-l10n-fi                	       0        1        1        0        0
60602 thunderbird-l10n-fy-nl             	       0        1        1        0        0
60603 thunderbird-l10n-ga-ie             	       0        1        1        0        0
60604 thunderbird-l10n-gd                	       0        1        1        0        0
60605 thunderbird-l10n-gl                	       0        1        1        0        0
60606 thunderbird-l10n-he                	       0        1        1        0        0
60607 thunderbird-l10n-hr                	       0        1        1        0        0
60608 thunderbird-l10n-hsb               	       0        1        1        0        0
60609 thunderbird-l10n-hu                	       0        3        3        0        0
60610 thunderbird-l10n-hy-am             	       0        1        1        0        0
60611 thunderbird-l10n-id                	       0        1        1        0        0
60612 thunderbird-l10n-is                	       0        1        1        0        0
60613 thunderbird-l10n-ja                	       0        2        2        0        0
60614 thunderbird-l10n-ka                	       0        1        1        0        0
60615 thunderbird-l10n-kab               	       0        1        1        0        0
60616 thunderbird-l10n-kk                	       0        1        1        0        0
60617 thunderbird-l10n-ko                	       0        1        1        0        0
60618 thunderbird-l10n-lt                	       0        1        1        0        0
60619 thunderbird-l10n-lv                	       0        1        1        0        0
60620 thunderbird-l10n-ms                	       0        1        1        0        0
60621 thunderbird-l10n-nb-no             	       0        1        1        0        0
60622 thunderbird-l10n-nl                	       0        8        8        0        0
60623 thunderbird-l10n-nn-no             	       0        1        1        0        0
60624 thunderbird-l10n-pa-in             	       0        1        1        0        0
60625 thunderbird-l10n-pl                	       0       13       12        1        0
60626 thunderbird-l10n-pt-br             	       0        4        4        0        0
60627 thunderbird-l10n-pt-pt             	       0        1        1        0        0
60628 thunderbird-l10n-rm                	       0        1        1        0        0
60629 thunderbird-l10n-ro                	       0        2        2        0        0
60630 thunderbird-l10n-sk                	       0        1        1        0        0
60631 thunderbird-l10n-sl                	       0        1        1        0        0
60632 thunderbird-l10n-sq                	       0        1        1        0        0
60633 thunderbird-l10n-sr                	       0        1        1        0        0
60634 thunderbird-l10n-sv-se             	       0        8        8        0        0
60635 thunderbird-l10n-th                	       0        1        1        0        0
60636 thunderbird-l10n-tr                	       0        2        2        0        0
60637 thunderbird-l10n-uk                	       0        1        1        0        0
60638 thunderbird-l10n-uz                	       0        1        1        0        0
60639 thunderbird-l10n-vi                	       0        1        1        0        0
60640 thunderbird-l10n-zh-cn             	       0        3        3        0        0
60641 thunderbird-l10n-zh-tw             	       0        2        2        0        0
60642 thunderbird-mozilla-build          	       0        1        1        0        0
60643 thunderbolt-tools                  	       0        5        5        0        0
60644 thunk-gen                          	       0        1        0        0        1
60645 tic80                              	       0        2        2        0        0
60646 ticgit                             	       0        1        1        0        0
60647 ticker                             	       0        2        2        0        0
60648 tickr                              	       0        1        1        0        0
60649 tidal-hifi                         	       0        2        1        0        1
60650 tidy-doc                           	       0        3        0        0        3
60651 tiemu                              	       0       12       12        0        0
60652 tiemu-skinedit                     	       0        9        9        0        0
60653 tif22pnm                           	       0        1        1        0        0
60654 tightvnc-java                      	       0       14       14        0        0
60655 tigr-glimmer                       	       0        4        4        0        0
60656 tikzit                             	       0       10        9        1        0
60657 tilde                              	       0        4        3        1        0
60658 tiled                              	       0        7        7        0        0
60659 tilem                              	       0        7        7        0        0
60660 tilem-data                         	       0        7        0        0        7
60661 tilemaker                          	       0        1        1        0        0
60662 tilequant                          	       0        1        1        0        0
60663 tilestache                         	       0        1        1        0        0
60664 tilix                              	       0       23       20        3        0
60665 tilix-common                       	       0       23        4        1       18
60666 tilp2                              	       0       19       19        0        0
60667 timbl                              	       0        2        2        0        0
60668 timblserver                        	       0        2        2        0        0
60669 timemachine                        	       0        4        4        0        0
60670 timemon.app                        	       0        3        2        1        0
60671 timescaledb-tools                  	       0        1        1        0        0
60672 timewarrior                        	       0        8        8        0        0
60673 timezoneconf                       	       0        1        0        0        1
60674 timg                               	       0        4        4        0        0
60675 timgm6mb-soundfont                 	       0     2882        0        0     2882
60676 timidity-daemon                    	       0       32        0        0       32
60677 timidity-el                        	       0        5        5        0        0
60678 timidity-interfaces-extra          	       0       10       10        0        0
60679 tine20                             	       0        1        0        0        1
60680 tine20-activesync                  	       0        1        1        0        0
60681 tine20-calendar                    	       0        1        1        0        0
60682 tine20-crm                         	       0        1        1        0        0
60683 tine20-felamimail                  	       0        1        1        0        0
60684 tine20-filemanager                 	       0        1        1        0        0
60685 tine20-humanresources              	       0        1        1        0        0
60686 tine20-inventory                   	       0        1        1        0        0
60687 tine20-libraries                   	       0        1        1        0        0
60688 tine20-sales                       	       0        1        1        0        0
60689 tine20-tasks                       	       0        1        1        0        0
60690 tine20-timetracker                 	       0        1        1        0        0
60691 tine20-tinebase                    	       0        1        1        0        0
60692 tine20-webstack                    	       0        1        0        0        1
60693 tiny-initramfs                     	       0        3        3        0        0
60694 tiny-initramfs-core                	       0        3        3        0        0
60695 tinyca                             	       0        2        2        0        0
60696 tinycdb                            	       0        7        7        0        0
60697 tinydns                            	       0        2        2        0        0
60698 tinydyndns                         	       0        1        1        0        0
60699 tinyirc                            	       0        3        3        0        0
60700 tinyjsd                            	       0        1        0        0        1
60701 tinymce                            	       0        4        0        0        4
60702 tinymce2                           	       0        2        0        0        2
60703 tinyos-source                      	       0        1        0        0        1
60704 tinyos-tools                       	       0        1        1        0        0
60705 tinyows                            	       0        1        1        0        0
60706 tinyproxy                          	       0       10       10        0        0
60707 tinyscheme                         	       0        2        2        0        0
60708 tinysparql                         	       0        1        0        1        0
60709 tinysshd                           	       0        4        4        0        0
60710 tinyusb-source                     	       0        1        1        0        0
60711 tinywm                             	       0        3        3        0        0
60712 tipa                               	       0      479        1        0      478
60713 tipa-doc                           	       0        8        0        0        8
60714 tippecanoe                         	       0        1        1        0        0
60715 tipptrainer                        	       0        1        1        0        0
60716 tipptrainer-data-de                	       0        1        0        0        1
60717 tiptop                             	       0        7        7        0        0
60718 titanion                           	       0        5        4        1        0
60719 titanion-data                      	       0        6        0        0        6
60720 tix-dev                            	       0        2        2        0        0
60721 tixati                             	       0        1        1        0        0
60722 tj3                                	       0        4        4        0        0
60723 tk-brief                           	       0        6        5        1        0
60724 tk-dev                             	       0       71        0        0       71
60725 tk-doc                             	       0       20        0        0       20
60726 tk-fsdialog                        	       0        3        0        0        3
60727 tk-html1                           	       0       15        0        0       15
60728 tk-itk4                            	       0        4        0        0        4
60729 tk-itk4-dev                        	       0        1        1        0        0
60730 tk-itk4-doc                        	       0        1        0        0        1
60731 tk-mpeg                            	       0       15        0        0       15
60732 tk-table                           	       0       23        0        0       23
60733 tk-tktray                          	       0        3        0        0        3
60734 tk2                                	       0        2        2        0        0
60735 tk5                                	       0        2        2        0        0
60736 tk707                              	       0        3        3        0        0
60737 tk8.4                              	       0        1        1        0        0
60738 tk8.4-doc                          	       0        1        0        0        1
60739 tk8.5-dev                          	       0        7        7        0        0
60740 tk8.5-doc                          	       0        3        0        0        3
60741 tk8.6-dev                          	       0       98       96        2        0
60742 tk8.6-doc                          	       0       17        0        0       17
60743 tk9.0                              	       0        2        2        0        0
60744 tk9.0-doc                          	       0        2        0        0        2
60745 tkabber                            	       0        6        6        0        0
60746 tkabber-plugins                    	       0        2        0        0        2
60747 tkagif                             	       0        1        0        0        1
60748 tkblt                              	       0       19        0        0       19
60749 tkblt-dev                          	       0        6        5        1        0
60750 tkcalendar                         	       0        2        2        0        0
60751 tkcon                              	       0       21       20        1        0
60752 tkcvs                              	       0       13       12        1        0
60753 tkdesk                             	       0        6        6        0        0
60754 tkdnd                              	       0        3        3        0        0
60755 tkdvi                              	       0        1        1        0        0
60756 tkfont                             	       0        1        1        0        0
60757 tkgate                             	       0       15       15        0        0
60758 tkgate-data                        	       0       17        0        0       17
60759 tkgate-doc                         	       0       17        0        0       17
60760 tkinfo                             	       0       17       16        1        0
60761 tkinspect                          	       0        3        3        0        0
60762 tklib                              	       0       33       32        1        0
60763 tkpng                              	       0        9        9        0        0
60764 tkps                               	       0        1        1        0        0
60765 tkremind                           	       0       10       10        0        0
60766 tksao                              	       0       13        0        0       13
60767 tksvg                              	       0        2        0        0        2
60768 tktreectrl                         	       0        2        2        0        0
60769 tkvnc                              	       0        1        1        0        0
60770 tkwice                             	       0        1        0        0        1
60771 tla                                	       0        6        6        0        0
60772 tla-doc                            	       0        6        0        0        6
60773 tldextract                         	       0        1        1        0        0
60774 tldr                               	       0       28       22        2        4
60775 tldr-hs                            	       0        4        4        0        0
60776 tldr-py                            	       0        1        1        0        0
60777 tleds                              	       0        1        1        0        0
60778 tlf                                	       0        6        6        0        0
60779 tlog                               	       0        1        1        0        0
60780 tlsh-tools                         	       0        3        3        0        0
60781 tlslookup                          	       0        1        1        0        0
60782 tlswrapper                         	       0        1        1        0        0
60783 tm-align                           	       0        4        4        0        0
60784 tmake                              	       0        1        1        0        0
60785 tmate                              	       0        6        6        0        0
60786 tmd710-tncsetup                    	       0        2        2        0        0
60787 tmdbv3api                          	       0        2        2        0        0
60788 tmexpand                           	       0        1        1        0        0
60789 tmfs                               	       0        1        1        0        0
60790 tmispell-voikko                    	       0       10        9        1        0
60791 tmpl                               	       0        1        1        0        0
60792 tmux-plugin-manager                	       0       14       14        0        0
60793 tmux-themepack-jimeh               	       0        9        0        0        9
60794 tmuxinator                         	       0       14       14        0        0
60795 tmuxp                              	       0        8        8        0        0
60796 tmw                                	       0        1        1        0        0
60797 tmw-music                          	       0        1        0        0        1
60798 tmwcetki-archive-keyring           	       0        1        0        0        1
60799 tmx-cups-backend                   	       0        1        1        0        0
60800 tnt-wad                            	       0        3        0        0        3
60801 tntdb-mysql4                       	       0        1        1        0        0
60802 tntdb-sqlite4                      	       0        1        1        0        0
60803 tntnet-demos                       	       0        1        1        0        0
60804 tntnet-runtime                     	       0        4        4        0        0
60805 todo.txt-base                      	       0        2        2        0        0
60806 todo.txt-gtd                       	       0        2        2        0        0
60807 todoman                            	       0        1        1        0        0
60808 todotxt-cli                        	       0        7        7        0        0
60809 tofi                               	       0        6        6        0        0
60810 tofu                               	       0        2        2        0        0
60811 toga2                              	       0        6        6        0        0
60812 toggldesktop                       	       0        1        1        0        0
60813 togl-demos                         	       0        3        0        0        3
60814 toilet-fonts                       	       0     1305        0        0     1305
60815 tokei                              	       0        2        2        0        0
60816 tokentool                          	       0        2        2        0        0
60817 tokodon                            	       0        1        1        0        0
60818 tokyocabinet-bin                   	       0        2        2        0        0
60819 tokyocabinet-doc                   	       0        1        0        0        1
60820 tokyotyrant                        	       0        1        1        0        0
60821 tokyotyrant-utils                  	       0        1        1        0        0
60822 tomatoes                           	       0        3        3        0        0
60823 tomatoes-data                      	       0        3        0        0        3
60824 tomb                               	       0       15       15        0        0
60825 tomboy                             	       0        6        6        0        0
60826 tomcat-jakartaee-migration         	       0        2        2        0        0
60827 tomcat7                            	       0        1        0        0        1
60828 tomcat7-common                     	       0        1        1        0        0
60829 tomcat8                            	       0        5        0        0        5
60830 tomcat8-admin                      	       0        3        0        0        3
60831 tomcat8-common                     	       0        5        5        0        0
60832 tomcat8-docs                       	       0        3        0        0        3
60833 tomcat8-examples                   	       0        1        0        0        1
60834 tomcat8-user                       	       0        1        1        0        0
60835 tomcat9-admin                      	       0        5        0        0        5
60836 tomcat9-docs                       	       0        1        0        0        1
60837 tomcat9-user                       	       0        1        1        0        0
60838 tome                               	       0        3        3        0        0
60839 tonkeeper                          	       0        1        1        0        0
60840 toolame                            	       0        4        4        0        0
60841 tootle                             	       0        8        8        0        0
60842 topal                              	       0        1        1        0        0
60843 topcom                             	       0       10       10        0        0
60844 topcom-examples                    	       0       10        0        0       10
60845 tophat-recondition                 	       0        1        1        0        0
60846 topic-tools                        	       0        1        1        0        0
60847 topline                            	       0        2        2        0        0
60848 topmenu-gtk-common                 	       0        3        0        0        3
60849 toppler                            	       0        2        2        0        0
60850 toppred                            	       0        1        1        0        0
60851 topydo                             	       0        2        2        0        0
60852 tor-arm                            	       0       14        1        0       13
60853 tor-dbg                            	       0        1        1        0        0
60854 tor-dbgsym                         	       0        1        1        0        0
60855 tor-geoipdb                        	       0      238        0        0      238
60856 tora                               	       0        2        2        0        0
60857 torch-core-free                    	       0        1        0        0        1
60858 torch-trepl                        	       0        1        1        0        0
60859 torchat                            	       0        3        3        0        0
60860 torchlight                         	       0        2        0        0        2
60861 torcs-data                         	       0       15        0        0       15
60862 torcs-data-cars                    	       0        1        0        0        1
60863 torcs-data-tracks                  	       0        1        0        0        1
60864 torguard                           	       0        1        1        0        0
60865 torreadwrite                       	       0        1        1        0        0
60866 torrentcheck                       	       0        1        1        0        0
60867 torsmo                             	       0        1        1        0        0
60868 tortoisehg                         	       0       19       19        0        0
60869 tortoisehg-caja                    	       0        3        0        0        3
60870 tortoisehg-nautilus                	       0        1        0        0        1
60871 torus-trooper-data                 	       0        7        0        0        7
60872 toshset                            	       0        1        1        0        0
60873 totalopenstation                   	       0        4        4        0        0
60874 totem-common                       	       0      392        5        0      387
60875 totem-gstreamer                    	       0        1        0        0        1
60876 totem-mozilla                      	       0        1        1        0        0
60877 totem-plugin-arte                  	       0        1        1        0        0
60878 totem-plugins                      	       0      383        5        0      378
60879 totem-xine                         	       0        1        0        0        1
60880 touch-keyboard                     	       0        1        1        0        0
60881 touchegg                           	       0        2        2        0        0
60882 tourney-manager                    	       0        4        4        0        0
60883 tox                                	       0       12       12        0        0
60884 tox-uv                             	       0        1        1        0        0
60885 toxcore-utils                      	       0        1        1        0        0
60886 tpconfig                           	       0        1        1        0        0
60887 tpm-tools-pkcs11                   	       0        2        1        1        0
60888 tpm2-abrmd                         	       0       10       10        0        0
60889 tpm2-initramfs-tool                	       0        1        1        0        0
60890 tpm2-openssl                       	       0        1        0        0        1
60891 tpm2-tools                         	       0       18       18        0        0
60892 tpm2-tss-engine-tools              	       0        1        1        0        0
60893 tpp                                	       0        1        1        0        0
60894 tqca-tls                           	       0       26        3        0       23
60895 tqsllib-dev                        	       0        1        1        0        0
60896 tqt-x11-free-dbg                   	       0        2        2        0        0
60897 tqt3-apps-dev                      	       0        3        3        0        0
60898 tqt3-assistant                     	       0        1        1        0        0
60899 tqt3-designer                      	       0        2        2        0        0
60900 tqt3-designer-plugins              	       0        2        0        0        2
60901 tqt3-dev-tools                     	       0        3        3        0        0
60902 tqt3-doc                           	       0        2        0        0        2
60903 tqt3-qtconfig                      	       0        2        2        0        0
60904 tra                                	       0        1        1        0        0
60905 trac-accountmanager                	       0        2        2        0        0
60906 trac-customfieldadmin              	       0        1        1        0        0
60907 trac-httpauth                      	       0        1        1        0        0
60908 trac-roadmap                       	       0        1        1        0        0
60909 trace-cmd                          	       0        9        9        0        0
60910 traceproto                         	       0        2        2        0        0
60911 traceroute-nanog                   	       0        2        0        0        2
60912 traceshark                         	       0        3        3        0        0
60913 trackballs                         	       0        7        7        0        0
60914 trackballs-data                    	       0        7        0        0        7
60915 tracker-gui                        	       0        5        5        0        0
60916 tradingview                        	       0        6        1        0        5
60917 trafficserver                      	       0        2        2        0        0
60918 trafficserver-dev                  	       0        1        1        0        0
60919 trafficserver-experimental-plugins 	       0        1        1        0        0
60920 trang                              	       0        3        3        0        0
60921 trans-de-en                        	       0       27        0        0       27
60922 transcalc                          	       0        8        8        0        0
60923 transcend                          	       0        2        2        0        0
60924 transcode                          	       0        3        3        0        0
60925 transcode-doc                      	       0        9        9        0        0
60926 transcode-utils                    	       0        3        3        0        0
60927 transcriber                        	       0        4        4        0        0
60928 transdecoder                       	       0        1        1        0        0
60929 transdecoder-doc                   	       0        1        1        0        0
60930 transfert                          	       0        1        1        0        0
60931 transfig                           	       0       78        6        0       72
60932 transfuse                          	       0        5        5        0        0
60933 transgui                           	       0        9        9        0        0
60934 transifex-client                   	       0        1        1        0        0
60935 translate                          	       0        2        2        0        0
60936 translate-docformat                	       0        1        1        0        0
60937 translate-shell                    	       0       14       14        0        0
60938 translate-toolkit-doc              	       0       47        0        0       47
60939 transmageddon                      	       0        3        3        0        0
60940 transmission                       	       0      182        0        0      182
60941 transmission-common                	       0      659        0        0      659
60942 transmission-remote-cli            	       0        4        4        0        0
60943 transrate-tools                    	       0        1        1        0        0
60944 transtermhp                        	       0        1        1        0        0
60945 traverso                           	       0        1        1        0        0
60946 tray-mixer-plus                    	       0        1        1        0        0
60947 tre-agrep                          	       0       15       15        0        0
60948 tree-puzzle                        	       0        3        3        0        0
60949 tree-puzzle-doc                    	       0        3        2        0        1
60950 tree-sitter-cli                    	       0        2        2        0        0
60951 treeline                           	       0        6        5        1        0
60952 treesheets                         	       0        9        9        0        0
60953 treetop                            	       0        5        5        0        0
60954 treeview                           	       0        2        2        0        0
60955 treeviewx                          	       0        1        1        0        0
60956 tremulous                          	       0        1        1        0        0
60957 tremulous-data                     	       0        1        0        0        1
60958 tremulous-doc                      	       0        1        0        0        1
60959 tremulous-server                   	       0        1        1        0        0
60960 trend                              	       0        4        4        0        0
60961 trezor-udev                        	       0        2        2        0        0
60962 triangle-bin                       	       0        1        1        0        0
60963 tribler                            	       0        5        5        0        0
60964 triehash                           	       0        2        2        0        0
60965 trigger-rally                      	       0        7        7        0        0
60966 trigger-rally-data                 	       0        8        0        0        8
60967 triggerhappy                       	       0        6        6        0        0
60968 trilinos-dev                       	       0        3        3        0        0
60969 trilium                            	       0        3        3        0        0
60970 trimage                            	       0        7        7        0        0
60971 trimmomatic                        	       0        1        1        0        0
60972 trinity                            	       0        3        3        0        0
60973 trinity-apt-archive                	       0        2        0        0        2
60974 trinity-keyring                    	       0       42        0        0       42
60975 trinityrnaseq-examples             	       0        1        0        0        1
60976 triplane                           	       0        3        3        0        0
60977 triplea                            	       0        3        3        0        0
60978 trisquel-keyring                   	       0        1        0        0        1
60979 trivy                              	       0        3        2        1        0
60980 troffcvt                           	       0        1        1        0        0
60981 trollsched-bin                     	       0        2        2        0        0
60982 trophy                             	       0        3        3        0        0
60983 trophy-data                        	       0        3        0        0        3
60984 trscripts                          	       0        1        1        0        0
60985 truecrypt                          	       0        1        1        0        0
60986 trueprint                          	       0        6        6        0        0
60987 trufont                            	       0        2        2        0        0
60988 trustedqsl                         	       0       10       10        0        0
60989 trx                                	       0        1        1        0        0
60990 trydiffoscope                      	       0        2        2        0        0
60991 tryton-client                      	       0        1        1        0        0
60992 tryton-client-doc                  	       0        1        0        0        1
60993 tryton-server-doc                  	       0        1        0        0        1
60994 ts-jest                            	       0        1        1        0        0
60995 ts-node                            	       0        2        2        0        0
60996 tsclient                           	       0        1        1        0        0
60997 tsconf                             	       0       18        0        0       18
60998 tsdecrypt                          	       0        4        4        0        0
60999 tsetup.1.5.4                       	       0        1        0        0        1
61000 tsmuxer                            	       0        1        1        0        0
61001 tss2                               	       0        3        3        0        0
61002 tsung                              	       0        1        1        0        0
61003 ttaenc                             	       0        2        2        0        0
61004 ttf-adf-accanthis                  	       0       13        0        0       13
61005 ttf-adf-baskervald                 	       0        3        0        0        3
61006 ttf-adf-berenis                    	       0        3        0        0        3
61007 ttf-adf-gillius                    	       0       14        0        0       14
61008 ttf-adf-ikarius                    	       0        3        0        0        3
61009 ttf-adf-irianis                    	       0        3        0        0        3
61010 ttf-adf-libris                     	       0        4        0        0        4
61011 ttf-adf-mekanus                    	       0        3        0        0        3
61012 ttf-adf-oldania                    	       0        3        0        0        3
61013 ttf-adf-romande                    	       0        3        0        0        3
61014 ttf-adf-switzera                   	       0        3        0        0        3
61015 ttf-adf-tribun                     	       0        3        0        0        3
61016 ttf-adf-universalis                	       0       14        0        0       14
61017 ttf-adf-verana                     	       0        3        0        0        3
61018 ttf-alee                           	       0        2        0        0        2
61019 ttf-ancient-fonts                  	       0       25        0        0       25
61020 ttf-ancient-fonts-symbola          	       0       15        0        0       15
61021 ttf-anonymous-pro                  	       0       18        0        0       18
61022 ttf-aoyagi-kouzan-t                	       0        1        1        0        0
61023 ttf-arabeyes                       	       0        1        0        0        1
61024 ttf-arhangai                       	       0        1        0        0        1
61025 ttf-arphic-bkai00mp                	       0        2        0        0        2
61026 ttf-arphic-bsmi00lp                	       0        1        0        0        1
61027 ttf-arphic-gbsn00lp                	       0        2        0        0        2
61028 ttf-arphic-gkai00mp                	       0        2        0        0        2
61029 ttf-arphic-ukai                    	       0        1        0        0        1
61030 ttf-arphic-uming                   	       0        2        0        0        2
61031 ttf-atarismall                     	       0        3        0        0        3
61032 ttf-baekmuk                        	       0        6        0        0        6
61033 ttf-bengali-fonts                  	       0        3        0        0        3
61034 ttf-beteckna                       	       0        1        0        0        1
61035 ttf-bpg-georgian-fonts             	       0        2        0        0        2
61036 ttf-breip                          	       0        2        1        0        1
61037 ttf-century-catalogue              	       0        2        0        0        2
61038 ttf-dejavu                         	       0       52        0        0       52
61039 ttf-dejavu-core                    	       0      114        0        0      114
61040 ttf-dejavu-extra                   	       0       62        0        0       62
61041 ttf-dejima-mincho                  	       0        1        0        0        1
61042 ttf-devanagari-fonts               	       0        3        0        0        3
61043 ttf-dustin                         	       0        3        0        0        3
61044 ttf-dzongkha                       	       0        2        1        0        1
61045 ttf-ecolier-court                  	       0        2        1        0        1
61046 ttf-ecolier-lignes-court           	       0        2        0        0        2
61047 ttf-engadget                       	       0        9        0        0        9
61048 ttf-essays1743                     	       0        1        0        0        1
61049 ttf-evertype-conakry               	       0        2        0        0        2
61050 ttf-f500                           	       0        2        0        0        2
61051 ttf-farsiweb                       	       0        1        0        0        1
61052 ttf-femkeklaver                    	       0        7        0        0        7
61053 ttf-fifthhorseman-dkg-handwriting  	       0        2        0        0        2
61054 ttf-freefarsi                      	       0        2        0        0        2
61055 ttf-freefont                       	       0       29        0        0       29
61056 ttf-georgewilliams                 	       0        3        0        0        3
61057 ttf-gfs-artemisia                  	       0        2        0        0        2
61058 ttf-gfs-baskerville                	       0        1        0        0        1
61059 ttf-gfs-bodoni-classic             	       0        1        0        0        1
61060 ttf-gfs-complutum                  	       0        1        0        0        1
61061 ttf-gfs-didot                      	       0        1        0        0        1
61062 ttf-gfs-didot-classic              	       0        1        0        0        1
61063 ttf-gfs-gazis                      	       0        1        0        0        1
61064 ttf-gfs-neohellenic                	       0        1        0        0        1
61065 ttf-gfs-olga                       	       0        1        0        0        1
61066 ttf-gfs-porson                     	       0        1        0        0        1
61067 ttf-gfs-solomos                    	       0        1        0        0        1
61068 ttf-gfs-theokritos                 	       0        1        0        0        1
61069 ttf-goudybookletter                	       0        4        0        0        4
61070 ttf-gujarati-fonts                 	       0        3        0        0        3
61071 ttf-hanazono                       	       0        2        0        0        2
61072 ttf-inconsolata                    	       0        3        0        0        3
61073 ttf-indic-fonts                    	       0        5        0        0        5
61074 ttf-ipafont-gothic                 	       0        1        0        0        1
61075 ttf-ipafont-jisx0208               	       0        2        0        0        2
61076 ttf-ipafont-uigothic               	       0        1        0        0        1
61077 ttf-isabella                       	       0        5        0        0        5
61078 ttf-jsmath                         	       0        3        0        0        3
61079 ttf-junicode                       	       0        3        0        0        3
61080 ttf-jura                           	       0        1        0        0        1
61081 ttf-kacst                          	       0        1        0        0        1
61082 ttf-kacst-one                      	       0        1        0        0        1
61083 ttf-kanjistrokeorders              	       0        1        0        0        1
61084 ttf-kannada-fonts                  	       0        4        0        0        4
61085 ttf-khmeros                        	       0        1        0        0        1
61086 ttf-kiloji                         	       0        2        0        0        2
61087 ttf-kochi-gothic-naga10            	       0        1        0        0        1
61088 ttf-kochi-mincho                   	       0        6        0        0        6
61089 ttf-kochi-mincho-naga10            	       0        2        0        0        2
61090 ttf-konatu                         	       0        1        0        0        1
61091 ttf-lao                            	       0        1        0        0        1
61092 ttf-levien-museum                  	       0        1        0        0        1
61093 ttf-levien-typoscript              	       0        2        1        0        1
61094 ttf-lg-aboriginal                  	       0        1        0        0        1
61095 ttf-liberation                     	       0       17        0        0       17
61096 ttf-linex                          	       0        1        0        0        1
61097 ttf-linux-libertine                	       0        4        0        0        4
61098 ttf-littledays                     	       0        1        0        0        1
61099 ttf-lyx                            	       0        8        0        0        8
61100 ttf-manchufont                     	       0        2        0        0        2
61101 ttf-marvosym                       	       0       14        1        0       13
61102 ttf-mathematica4.1                 	       0        3        0        0        3
61103 ttf-mgopen                         	       0        1        0        0        1
61104 ttf-misaki                         	       0        1        0        0        1
61105 ttf-mona                           	       0        2        0        0        2
61106 ttf-monapo                         	       0        1        0        0        1
61107 ttf-mph-2b-damase                  	       0        2        0        0        2
61108 ttf-mplus                          	       0        1        0        0        1
61109 ttf-mscorefonts-installer          	       0      283      277        6        0
61110 ttf-nafees                         	       0        1        0        0        1
61111 ttf-nanum                          	       0        1        0        0        1
61112 ttf-nanum-coding                   	       0        1        0        0        1
61113 ttf-ocr-a                          	       0        1        0        0        1
61114 ttf-oflb-asana-math                	       0        1        0        0        1
61115 ttf-oflb-euterpe                   	       0        1        0        0        1
61116 ttf-okolaks                        	       0        2        1        0        1
61117 ttf-oldstandard                    	       0        1        0        0        1
61118 ttf-opendin                        	       0        1        0        0        1
61119 ttf-opensymbol                     	       0        5        0        0        5
61120 ttf-oriya-fonts                    	       0        3        0        0        3
61121 ttf-paktype                        	       0        1        0        0        1
61122 ttf-pelikan-schulschriften         	       0        1        1        0        0
61123 ttf-punjabi-fonts                  	       0        3        0        0        3
61124 ttf-radisnoir                      	       0        2        0        0        2
61125 ttf-root-installer                 	       0        3        0        0        3
61126 ttf-rufscript                      	       0        2        0        0        2
61127 ttf-sawarabi-gothic                	       0        1        0        0        1
61128 ttf-sawarabi-mincho                	       0        1        0        0        1
61129 ttf-sil-abyssinica                 	       0        2        0        0        2
61130 ttf-sil-dai-banna                  	       0        1        0        0        1
61131 ttf-sil-ezra                       	       0        1        0        0        1
61132 ttf-sil-galatia                    	       0        1        0        0        1
61133 ttf-sil-gentium                    	       0        1        0        0        1
61134 ttf-sil-gentium-basic              	       0        7        0        0        7
61135 ttf-sil-nuosusil                   	       0        1        0        0        1
61136 ttf-sil-scheherazade               	       0        1        0        0        1
61137 ttf-sil-sophia-nubian              	       0        2        0        0        2
61138 ttf-sil-yi                         	       0        1        0        0        1
61139 ttf-sil-zaghawa-beria              	       0        2        0        0        2
61140 ttf-sinhala-lklug                  	       0        1        1        0        0
61141 ttf-sjfonts                        	       0       53        0        0       53
61142 ttf-staypuft                       	       0       22        0        0       22
61143 ttf-summersby                      	       0       16        0        0       16
61144 ttf-symbol-replacement-wine        	       0        1        1        0        0
61145 ttf-tagbanwa                       	       0       11        0        0       11
61146 ttf-takao                          	       0        1        0        0        1
61147 ttf-takao-gothic                   	       0        1        0        0        1
61148 ttf-takao-mincho                   	       0        1        0        0        1
61149 ttf-tamil-fonts                    	       0        2        0        0        2
61150 ttf-telugu-fonts                   	       0        2        0        0        2
61151 ttf-thai-arundina                  	       0        2        0        0        2
61152 ttf-thai-tlwg                      	       0        2        1        0        1
61153 ttf-thryomanes                     	       0        1        0        0        1
61154 ttf-tiresias                       	       0        6        0        0        6
61155 ttf-tmuni                          	       0        1        0        0        1
61156 ttf-tomsontalks                    	       0        1        0        0        1
61157 ttf-tuffy                          	       0        1        0        0        1
61158 ttf-ubuntu-font-family             	       0        6        0        0        6
61159 ttf-ubuntu-title                   	       0        1        0        0        1
61160 ttf-umefont                        	       0        2        0        0        2
61161 ttf-umeplus                        	       0        1        0        0        1
61162 ttf-unfonts-core                   	       0        3        0        0        3
61163 ttf-unfonts-extra                  	       0        3        1        0        2
61164 ttf-unifont                        	       0       46        0        0       46
61165 ttf-unikurdweb                     	       0        2        1        0        1
61166 ttf-uralic                         	       0        1        0        0        1
61167 ttf-vlgothic                       	       0        2        0        0        2
61168 ttf-wqy-microhei                   	       0        8        0        0        8
61169 ttf-wqy-zenhei                     	       0       10        0        0       10
61170 ttf-xfree86-nonfree                	       0       37        2        0       35
61171 ttf-xfree86-nonfree-syriac         	       0       10        1        0        9
61172 ttf2pt1                            	       0        1        1        0        0
61173 ttf2pt1-chinese                    	       0        1        0        0        1
61174 ttf2ufm                            	       0        7        7        0        0
61175 ttfautohint                        	       0        5        5        0        0
61176 tth                                	       0        3        3        0        0
61177 tth-common                         	       0        3        3        0        0
61178 tthsum                             	       0        1        1        0        0
61179 ttm                                	       0        1        1        0        0
61180 ttmkfdir                           	       0        2        2        0        0
61181 ttv                                	       0        1        1        0        0
61182 tty-record                         	       0        2        2        0        0
61183 tty-share                          	       0        1        1        0        0
61184 ttygif                             	       0        2        2        0        0
61185 ttyload                            	       0        8        8        0        0
61186 ttylog                             	       0        4        4        0        0
61187 ttysnoop                           	       0        8        8        0        0
61188 tua                                	       0        1        0        1        0
61189 tuareg-mode                        	       0        2        0        0        2
61190 tuba                               	       0        2        2        0        0
61191 tudu                               	       0        3        2        1        0
61192 tuigreet                           	       0        2        2        0        0
61193 tulip                              	       0        1        1        0        0
61194 tumbler-plugins-extra              	       0       33        2        0       31
61195 tumiki-fighters                    	       0        5        5        0        0
61196 tumiki-fighters-data               	       0        7        0        0        7
61197 tunapie                            	       0        1        1        0        0
61198 tuned                              	       0        9        9        0        0
61199 tuned-gtk                          	       0        2        2        0        0
61200 tuned-ppd                          	       0        1        1        0        0
61201 tuned-utils                        	       0        2        2        0        0
61202 tuned-utils-systemtap              	       0        2        2        0        0
61203 tunefish-lv2                       	       0        1        1        0        0
61204 tunix-keyring                      	       0        1        0        0        1
61205 tunnelx                            	       0        1        1        0        0
61206 tup                                	       0        1        1        0        0
61207 tupi                               	       0        3        3        0        0
61208 tupi-data                          	       0        4        0        0        4
61209 tuptime                            	       0        6        6        0        0
61210 turbocase                          	       0        1        1        0        0
61211 turbovnc                           	       0        2        2        0        0
61212 turbowarp-desktop                  	       0        1        0        0        1
61213 turtlesport                        	       0        3        3        0        0
61214 tusk                               	       0        1        0        0        1
61215 tut                                	       0        1        1        0        0
61216 tutka                              	       0        6        5        1        0
61217 tuxboot                            	       0        2        2        0        0
61218 tuxcmd                             	       0        7        7        0        0
61219 tuxcmd-modules                     	       0        2        2        0        0
61220 tuxedo-control-center              	       0        1        1        0        0
61221 tuxedo-keyboard                    	       0        2        2        0        0
61222 tuxedo-micfix1                     	       0        1        1        0        0
61223 tuxedo-wmi-dkms                    	       0        1        0        0        1
61224 tuxguitar                          	       0       23       23        0        0
61225 tuxguitar-alsa                     	       0       13       13        0        0
61226 tuxguitar-fluidsynth               	       0        5        5        0        0
61227 tuxguitar-jack                     	       0        4        4        0        0
61228 tuxguitar-jsa                      	       0        5        0        0        5
61229 tuxguitar-oss                      	       0        7        7        0        0
61230 tuxguitar-synth-lv2                	       0        3        3        0        0
61231 tuxonice-userui                    	       0        4        4        0        0
61232 tuxpaint                           	       0       42       41        1        0
61233 tuxpaint-config                    	       0       39       38        1        0
61234 tuxpaint-data                      	       0       42       41        1        0
61235 tuxpaint-dev                       	       0        2        2        0        0
61236 tuxpaint-plugins-default           	       0       42       41        1        0
61237 tuxpaint-stamps-default            	       0       42        0        0       42
61238 tuxtype                            	       0       29       29        0        0
61239 tuxtype-data                       	       0       29        7        0       22
61240 tv-fonts                           	       0       12        0        0       12
61241 tvbrowser                          	       0        6        6        0        0
61242 tvbrowser-aquatheme                	       0        1        1        0        0
61243 tvbrowser-bbjtheme                 	       0        1        1        0        0
61244 tvbrowser-beostheme                	       0        1        1        0        0
61245 tvbrowser-macostheme               	       0        1        1        0        0
61246 tvbrowser-moderntheme              	       0        1        1        0        0
61247 tvheadend-data                     	       0        2        0        0        2
61248 tvnamer                            	       0        3        3        0        0
61249 tvprog                             	       0        1        1        0        0
61250 tvtime                             	       0        8        8        0        0
61251 twatch                             	       0        2        2        0        0
61252 twclock                            	       0        8        8        0        0
61253 tweak                              	       0       10       10        0        0
61254 twidge                             	       0        1        1        0        0
61255 twiggy                             	       0        4        4        0        0
61256 twin                               	       0        5        5        0        0
61257 twin-style-crystal-trinity         	       0        1        1        0        0
61258 twin-style-dekorator-trinity       	       0        3        3        0        0
61259 twin-style-fahrenheit-trinity      	       0        2        2        0        0
61260 twin-style-machbunt-trinity        	       0        2        2        0        0
61261 twin-style-suse2-trinity           	       0        2        2        0        0
61262 twin-x11                           	       0        2        2        0        0
61263 twin4-trinity                      	       0       24        0        0       24
61264 twine                              	       0        5        5        0        0
61265 twinkle                            	       0       19       19        0        0
61266 twinkle-common                     	       0       17        0        0       17
61267 twinkle-console                    	       0        5        5        0        0
61268 twittering-mode                    	       0        3        3        0        0
61269 twm                                	       0       44       43        1        0
61270 tworld                             	       0        8        8        0        0
61271 tworld-data                        	       0        8        8        0        0
61272 twpsk                              	       0        9        9        0        0
61273 txt2html                           	       0       11       11        0        0
61274 txt2man                            	       0       13       13        0        0
61275 txt2pdbdoc                         	       0        3        3        0        0
61276 txt2regex                          	       0        7        7        0        0
61277 txt2tags                           	       0       12       12        0        0
61278 txtreader                          	       0        1        1        0        0
61279 type-handling                      	       0        4        4        0        0
61280 typecatcher                        	       0        4        4        0        0
61281 typespeed                          	       0       12       12        0        0
61282 typora                             	       0        5        5        0        0
61283 tyrian-data                        	       0        2        0        0        2
61284 tzc                                	       0        1        1        0        0
61285 tzdata-java                        	       0       16        0        0       16
61286 tzdata-legacy                      	       0       71        0        0       71
61287 tzdiff                             	       0        2        2        0        0
61288 u-boot-exynos                      	       0        1        1        0        0
61289 u-boot-menu                        	       0        5        5        0        0
61290 u-boot-odroid                      	       0        1        1        0        0
61291 u-boot-odroidm1s                   	       0        1        1        0        0
61292 u-boot-olinuxino                   	       0        1        1        0        0
61293 u-boot-qemu                        	       0        9        9        0        0
61294 u-boot-rockchip                    	       0        4        4        0        0
61295 u-boot-rpi                         	       0        1        1        0        0
61296 u-boot-tools-olinuxino             	       0        1        1        0        0
61297 u2f-host                           	       0        6        6        0        0
61298 u2f-server                         	       0        3        3        0        0
61299 u8loc                              	       0        2        2        0        0
61300 uacme                              	       0        6        6        0        0
61301 uae                                	       0        1        1        0        0
61302 uap-core                           	       0        1        0        0        1
61303 ubertooth                          	       0        4        4        0        0
61304 ubertooth-firmware                 	       0        4        0        0        4
61305 ubiquity-slideshow-mint            	       0        1        1        0        0
61306 ublock-origin-combined             	       0       10        9        1        0
61307 ublock-origin-doc                  	       0        5        0        0        5
61308 uboot-envtools                     	       0        2        0        0        2
61309 uboot-mkimage                      	       0        5        0        0        5
61310 ubports-installer                  	       0        6        6        0        0
61311 ubridge                            	       0        2        2        0        0
61312 ubuntu-archive-keyring             	       0       13        0        0       13
61313 ubuntu-cloud-keyring               	       0       12        0        0       12
61314 ubuntu-cloudimage-keyring          	       0        1        0        0        1
61315 ubuntu-core-launcher               	       0        1        1        0        0
61316 ubuntu-dbgsym-keyring              	       0       11        0        0       11
61317 ubuntu-dev-tools                   	       0        3        3        0        0
61318 ubuntu-drivers-common              	       0        2        2        0        0
61319 ubuntu-keyring                     	       0       19        0        0       19
61320 ubuntu-packaging-guide             	       0        2        0        0        2
61321 ubuntu-packaging-guide-common      	       0        3        0        0        3
61322 ubuntu-packaging-guide-epub        	       0        1        0        0        1
61323 ubuntu-packaging-guide-epub-es     	       0        1        0        0        1
61324 ubuntu-packaging-guide-epub-pt-br  	       0        1        0        0        1
61325 ubuntu-packaging-guide-epub-ru     	       0        1        0        0        1
61326 ubuntu-packaging-guide-html        	       0        2        0        0        2
61327 ubuntu-packaging-guide-html-es     	       0        1        0        0        1
61328 ubuntu-packaging-guide-html-pt-br  	       0        1        0        0        1
61329 ubuntu-packaging-guide-html-ru     	       0        1        0        0        1
61330 ubuntu-packaging-guide-pdf         	       0        2        0        0        2
61331 ubuntu-packaging-guide-pdf-es      	       0        1        0        0        1
61332 ubuntu-packaging-guide-pdf-pt-br   	       0        1        0        0        1
61333 ubuntu-packaging-guide-pdf-ru      	       0        1        0        0        1
61334 ubus                               	       0        1        1        0        0
61335 uc-echo                            	       0        1        1        0        0
61336 ucarp                              	       0        2        2        0        0
61337 uchardet                           	       0       19       18        1        0
61338 uci2wb                             	       0        4        4        0        0
61339 ucimf                              	       0        1        1        0        0
61340 uclibc-source                      	       0        1        0        0        1
61341 ucommon-utils                      	       0        2        2        0        0
61342 ucpp                               	       0        1        1        0        0
61343 ucspi-tcp-ipv6                     	       0        4        4        0        0
61344 ucto                               	       0        4        4        0        0
61345 uctodata                           	       0        4        4        0        0
61346 ud                                 	       0        1        1        0        0
61347 udav                               	       0        2        2        0        0
61348 udcli                              	       0        1        1        0        0
61349 ude                                	       0        2        0        0        2
61350 udfclient                          	       0       13       13        0        0
61351 udisks                             	       0       16       16        0        0
61352 udisks-doc                         	       0        3        0        0        3
61353 udisks2-bcache                     	       0        4        0        0        4
61354 udisks2-btrfs                      	       0       16        0        0       16
61355 udisks2-dbgsym                     	       0        1        1        0        0
61356 udisks2-doc                        	       0       15        0        0       15
61357 udisks2-lvm2                       	       0        9        0        0        9
61358 udisks2-zram                       	       0        1        0        0        1
61359 udns-utils                         	       0        1        1        0        0
61360 udo                                	       0        1        1        0        0
61361 udo-doc-en                         	       0        1        0        0        1
61362 udpcast                            	       0        3        3        0        0
61363 udptunnel                          	       0        5        5        0        0
61364 udsclient3                         	       0        1        1        0        0
61365 uefitool                           	       0       11       11        0        0
61366 uefitool-cli                       	       0        7        7        0        0
61367 ufficiozero-keyring                	       0        1        0        0        1
61368 ufficiozero-patches-dummy          	       0        1        0        0        1
61369 ufficiozerorepo                    	       0        1        0        0        1
61370 ufiformat                          	       0        7        7        0        0
61371 ufo-core-doc                       	       0        1        0        0        1
61372 ufo-filters                        	       0        1        0        0        1
61373 ufo-filters-data                   	       0        1        0        0        1
61374 ufo-filters-doc                    	       0        1        0        0        1
61375 ufo2otf                            	       0        1        1        0        0
61376 ufoai-build-deps                   	       0        1        0        0        1
61377 ufoai-common                       	       0       18        0        0       18
61378 ufoai-data                         	       0       18        0        0       18
61379 ufoai-dbgsym                       	       0        1        1        0        0
61380 ufoai-maps                         	       0       18        0        0       18
61381 ufoai-misc                         	       0       18        0        0       18
61382 ufoai-music                        	       0       18        0        0       18
61383 ufoai-server                       	       0        1        1        0        0
61384 ufoai-server-dbgsym                	       0        1        1        0        0
61385 ufoai-sound                        	       0       18        0        0       18
61386 ufoai-textures                     	       0       18        0        0       18
61387 ufoai-tools                        	       0        1        1        0        0
61388 ufoai-tools-dbgsym                 	       0        1        1        0        0
61389 ufoai-uforadiant                   	       0        1        1        0        0
61390 ufoai-uforadiant-data              	       0        1        0        0        1
61391 ufoai-uforadiant-dbgsym            	       0        1        1        0        0
61392 ufsutils                           	       0        3        3        0        0
61393 uftp                               	       0        3        3        0        0
61394 uftrace                            	       0        3        3        0        0
61395 uget                               	       0       34       33        1        0
61396 uglifyjs                           	       0        2        2        0        0
61397 uhd-soapysdr                       	       0        5        0        0        5
61398 uhexen2                            	       0        3        3        0        0
61399 uhexen2-common                     	       0        3        0        0        3
61400 uhub                               	       0        1        1        0        0
61401 uhubctl                            	       0        7        7        0        0
61402 ui-auto                            	       0        1        1        0        0
61403 uil                                	       0       32       32        0        0
61404 uim-anthy                          	       0        6        0        0        6
61405 uim-byeoru                         	       0        1        0        0        1
61406 uim-el                             	       0        1        1        0        0
61407 uim-fep                            	       0       34       32        2        0
61408 uim-gtk2.0                         	       0       33       31        2        0
61409 uim-gtk2.0-immodule                	       0       35        1        0       34
61410 uim-pinyin                         	       0        1        0        0        1
61411 uim-qt5                            	       0       34       32        2        0
61412 uim-skk                            	       0        1        0        0        1
61413 uinit                              	       0        1        1        0        0
61414 uisp                               	       0        8        8        0        0
61415 ukopp                              	       0        4        4        0        0
61416 ukui-bluetooth                     	       0        1        1        0        0
61417 ukui-control-center                	       0        2        2        0        0
61418 ukui-greeter                       	       0        3        3        0        0
61419 ukui-indicators                    	       0        1        1        0        0
61420 ukui-media                         	       0        1        1        0        0
61421 ukui-media-common                  	       0        1        0        0        1
61422 ukui-menu                          	       0        1        1        0        0
61423 ukui-menus                         	       0        1        0        0        1
61424 ukui-panel                         	       0        3        3        0        0
61425 ukui-polkit                        	       0        6        1        0        5
61426 ukui-power-manager-common          	       0        1        0        0        1
61427 ukui-screensaver                   	       0        3        3        0        0
61428 ukui-screensaverserver             	       0        1        1        0        0
61429 ukui-session-manager               	       0        3        3        0        0
61430 ukui-settings-daemon               	       0        5        5        0        0
61431 ukui-settings-daemon-common        	       0        5        0        0        5
61432 ukui-sidebar                       	       0        1        1        0        0
61433 ukui-themes                        	       0        6        0        0        6
61434 ukui-touchpadserver                	       0        1        1        0        0
61435 ukui-wallpapers                    	       0        2        0        0        2
61436 ukui-window-switch                 	       0        5        5        0        0
61437 ukwm                               	       0        5        5        0        0
61438 ukwm-common                        	       0        5        0        0        5
61439 ulauncher                          	       0        2        2        0        0
61440 ulc-codec                          	       0        1        1        0        0
61441 ulcc                               	       0        3        3        0        0
61442 uligo                              	       0        3        3        0        0
61443 ulogd2-dbi                         	       0        1        0        0        1
61444 ulogd2-json                        	       0        1        0        0        1
61445 ulogd2-mysql                       	       0        1        0        0        1
61446 ulogd2-pcap                        	       0        1        1        0        0
61447 ulogd2-pgsql                       	       0        1        0        0        1
61448 ulogd2-sqlite3                     	       0        1        0        0        1
61449 ultima4-data                       	       0        1        0        0        1
61450 ultima4-graphics-upgrade           	       0        1        0        0        1
61451 umbrello-data                      	       0       38       36        2        0
61452 umbrello-trinity                   	       0        1        1        0        0
61453 umis                               	       0        1        1        0        0
61454 umis-examples                      	       0        1        0        0        1
61455 umlet                              	       0        7        7        0        0
61456 umoci                              	       0        1        1        0        0
61457 umockdev                           	       0        1        1        0        0
61458 umsdos                             	       0        1        1        0        0
61459 umtp-responder                     	       0        3        3        0        0
61460 umu-launcher                       	       0        1        0        0        1
61461 umview                             	       0        2        2        0        0
61462 umview-mod-umdevtap                	       0        1        1        0        0
61463 umview-mod-umfuseext2              	       0        1        1        0        0
61464 umview-mod-umfusefat               	       0        2        2        0        0
61465 umview-mod-umfuseiso9660           	       0        1        1        0        0
61466 umview-mod-umlwip                  	       0        1        1        0        0
61467 umview-mod-viewfs                  	       0        1        1        0        0
61468 una-bin                            	       0        1        1        0        0
61469 unace-nonfree                      	       0       15       15        0        0
61470 unagi                              	       0        2        2        0        0
61471 unbound-anchor                     	       0       28       28        0        0
61472 unbound-anchor-dbgsym              	       0        1        1        0        0
61473 unbound-dbgsym                     	       0        1        1        0        0
61474 unbound-host                       	       0        7        7        0        0
61475 unburden-home-dir                  	       0        2        2        0        0
61476 unburden-home-dir-doc              	       0        2        0        0        2
61477 unclutter-startup                  	       0       19        0        0       19
61478 uncrustify                         	       0       12       12        0        0
61479 undertime                          	       0        5        5        0        0
61480 undistract-me                      	       0        2        0        0        2
61481 undup                              	       0        1        1        0        0
61482 unetbootin                         	       0        7        7        0        0
61483 unetbootin-dbgsym                  	       0        1        1        0        0
61484 unetbootin-translations            	       0        9        0        0        9
61485 ungoogled-chromium-build-deps      	       0        1        0        0        1
61486 ungoogled-chromium-common          	       0        1        1        0        0
61487 ungoogled-chromium-driver          	       0        1        1        0        0
61488 unhide-gui                         	       0        8        8        0        0
61489 unhtml                             	       0        4        4        0        0
61490 unibetacode                        	       0        1        1        0        0
61491 unicode-cldr-core                  	       0        4        0        0        4
61492 unicode-data                       	       0      501        0        0      501
61493 unicode-idna                       	       0        1        0        0        1
61494 unicode-screensaver                	       0       12        2        0       10
61495 unicon-imc2                        	       0        5        5        0        0
61496 unicycler-data                     	       0        1        0        0        1
61497 unidic-mecab                       	       0        4        4        0        0
61498 unifdef                            	       0        6        6        0        0
61499 unifont                            	       0      107        0        0      107
61500 unifont-bin                        	       0       12       12        0        0
61501 unifont-build-deps                 	       0        1        0        0        1
61502 unionfs-fuse                       	       0       12       12        0        0
61503 unison-2.51+4.11.1-gtk             	       0        5        5        0        0
61504 unison-2.51+4.13.1                 	       0        2        2        0        0
61505 unison-2.51+4.13.1-gtk             	       0        2        2        0        0
61506 unison-2.53                        	       0        6        6        0        0
61507 unison-2.53-gtk                    	       0        5        5        0        0
61508 unison-all                         	       0        4        0        0        4
61509 unison-all-gtk                     	       0        1        0        0        1
61510 unison2.27.57                      	       0        1        1        0        0
61511 unison2.32.52-gtk                  	       0        1        1        0        0
61512 unison2.9.1                        	       0        1        1        0        0
61513 units-filter                       	       0        4        4        0        0
61514 units-master                       	       0        3        3        0        0
61515 unityhub                           	       0        6        6        0        0
61516 unixodbc-bin                       	       0        1        1        0        0
61517 unixodbc-common                    	       0      572        0        0      572
61518 unlzx                              	       0        1        1        0        0
61519 unreal-libfmod                     	       0        1        1        0        0
61520 unreal-libmikmod2                  	       0        1        1        0        0
61521 unreal-ut99-shared-data            	       0        1        0        0        1
61522 unsort                             	       0        3        3        0        0
61523 untex                              	       0      239      218       21        0
61524 unworkable                         	       0        5        5        0        0
61525 unzoo                              	       0        2        2        0        0
61526 upass                              	       0        1        1        0        0
61527 update                             	       0        1        1        0        0
61528 update-manager-core                	       0        1        0        0        1
61529 update-manager-gnome               	       0        1        1        0        0
61530 update-notifier-common             	       0        1        1        0        0
61531 update-notifier-kde                	       0        1        1        0        0
61532 update-sun-jre                     	       0        1        1        0        0
61533 uphpmvault                         	       0        1        1        0        0
61534 upower-doc                         	       0       13        0        0       13
61535 upplay                             	       0        3        3        0        0
61536 uprecords-cgi                      	       0        1        1        0        0
61537 uprightdiff                        	       0        3        3        0        0
61538 upscayl                            	       0        1        1        0        0
61539 upse123                            	       0        2        2        0        0
61540 uqm                                	       0       11       11        0        0
61541 uqm-content                        	       0       11        0        0       11
61542 uqm-music                          	       0       11        0        0       11
61543 uqm-voice                          	       0        7        0        0        7
61544 uranium-plugins                    	       0       23       22        1        0
61545 urfkill                            	       0        7        7        0        0
61546 urjtag                             	       0        2        2        0        0
61547 urlextractor                       	       0        1        1        0        0
61548 urlwatch                           	       0        2        2        0        0
61549 uronode                            	       0        3        3        0        0
61550 uruk                               	       0        2        2        0        0
61551 urw                                	       0        4        4        0        0
61552 urweb                              	       0        1        1        0        0
61553 urweb-doc                          	       0        1        0        0        1
61554 urweb-mode                         	       0        2        2        0        0
61555 usb-pack-efi                       	       0        2        2        0        0
61556 usbguard-notifier                  	       0        1        1        0        0
61557 usbimager                          	       0        2        2        0        0
61558 usbmount                           	       0       11       11        0        0
61559 usbprog                            	       0        2        2        0        0
61560 usbprog-gui                        	       0        3        3        0        0
61561 usbredirect                        	       0       19       17        2        0
61562 usbsdmux                           	       0        1        1        0        0
61563 usbtop                             	       0       17       17        0        0
61564 usbutils-py                        	       0        1        1        0        0
61565 user-de                            	       0        1        0        0        1
61566 user-manager                       	       0       23        0        0       23
61567 user-mode-linux-doc                	       0        3        0        0        3
61568 user-session-migration             	       0       11       10        1        0
61569 userinfo                           	       0        7        7        0        0
61570 userland-scripts                   	       0        1        1        0        0
61571 usermin                            	       0        4        3        1        0
61572 userver                            	       0        1        1        0        0
61573 usplash-theme-debian               	       0        1        1        0        0
61574 usr-is-merged                      	       0       73        0        0       73
61575 usrmerge                           	       0      255      237       18        0
61576 ustreamer                          	       0        2        2        0        0
61577 usvg                               	       0        1        1        0        0
61578 utalk                              	       0        5        4        1        0
61579 utf8gen                            	       0        2        2        0        0
61580 utf8script                         	       0        1        0        0        1
61581 utfcheck                           	       0        4        4        0        0
61582 uthash-dev                         	       0       35       33        2        0
61583 util-linux-dbgsym                  	       0        2        2        0        0
61584 util-linux-extra-dbgsym            	       0        2        2        0        0
61585 util-linux-legacy                  	       0        1        1        0        0
61586 util-linux-locales                 	       0     2931        1        0     2930
61587 util-vserver-legacy                	       0        1        1        0        0
61588 util-vserver-sysv                  	       0       21        0        0       21
61589 utopia                             	       0        1        0        0        1
61590 utox                               	       0        6        6        0        0
61591 utsu                               	       0        1        1        0        0
61592 uucpsend                           	       0        1        1        0        0
61593 uuidcdef                           	       0        4        4        0        0
61594 uuu                                	       0        2        2        0        0
61595 uvcdynctrl-data                    	       0       76        0        0       76
61596 uvicorn                            	       0        3        3        0        0
61597 uw-mailutils                       	       0        4        4        0        0
61598 uwm                                	       0        1        1        0        0
61599 uwsc                               	       0        2        2        0        0
61600 uwsgi                              	       0       15        0        0       15
61601 uwsgi-emperor                      	       0        1        1        0        0
61602 uwsgi-plugin-psgi                  	       0        1        1        0        0
61603 uwsgi-plugin-python                	       0        1        1        0        0
61604 uxplay                             	       0        4        4        0        0
61605 uzbl                               	       0        2        2        0        0
61606 v-sim                              	       0        2        2        0        0
61607 v-sim-common                       	       0        2        0        0        2
61608 v2mplayer                          	       0        1        1        0        0
61609 v2mplayer-samples                  	       0        1        0        0        1
61610 v2ray                              	       0        1        1        0        0
61611 v2raya                             	       0        1        1        0        0
61612 v4l2loopback-dkms                  	       0       57       55        2        0
61613 v4l2loopback-modules-4.14.0-0.bpo.3-amd64	       0        1        0        0        1
61614 v4l2loopback-modules-5.10.0-7-amd64	       0        1        0        0        1
61615 v4l2loopback-source                	       0        9        0        0        9
61616 v4l2sink                           	       0        1        0        0        1
61617 v4l2ucp                            	       0        3        3        0        0
61618 v86d                               	       0        8        8        0        0
61619 va-amdgpu-driver-all               	       0        2        0        0        2
61620 va-driver-all                      	       0     3151        0        0     3151
61621 vagrant-bindfs                     	       0        1        1        0        0
61622 vagrant-cachier                    	       0        1        1        0        0
61623 vagrant-hostmanager                	       0        3        3        0        0
61624 vagrant-librarian-puppet           	       0        1        1        0        0
61625 vagrant-lxc                        	       0        4        4        0        0
61626 vagrant-mutate                     	       0        3        3        0        0
61627 vagrant-sshfs                      	       0        6        5        1        0
61628 val-and-rick                       	       0        1        1        0        0
61629 val-and-rick-data                  	       0        1        0        0        1
61630 vala-panel-appmenu-common          	       0       56        0        0       56
61631 vala-sntray-plugin                 	       0        4        4        0        0
61632 valac-0.34-vapi                    	       0        2        0        0        2
61633 valac-0.42-vapi                    	       0        3        0        0        3
61634 valac-0.48-vapi                    	       0        9        0        0        9
61635 valac-0.56-vapi                    	       0       28        0        0       28
61636 valadoc                            	       0        1        1        0        0
61637 valentina                          	       0        4        4        0        0
61638 valentina-l10n                     	       0        1        0        0        1
61639 valgrind-if-available              	       0        3        0        0        3
61640 valgrind-mpi                       	       0        8        1        0        7
61641 validns                            	       0        3        3        0        0
61642 valkyrie                           	       0        1        1        0        0
61643 vamp-examples                      	       0        1        1        0        0
61644 vamp-plugin-sdk                    	       0       81       80        1        0
61645 vamp-plugin-sdk-doc                	       0        1        0        0        1
61646 vamps                              	       0        5        5        0        0
61647 vanguards                          	       0        3        3        0        0
61648 vapoursynth-bm3d                   	       0        1        0        0        1
61649 vapoursynth-ctmf                   	       0        1        0        0        1
61650 vapoursynth-editor                 	       0        1        1        0        0
61651 vapoursynth-ffms2                  	       0       31        0        0       31
61652 vapoursynth-fmtconv                	       0        1        0        0        1
61653 vapoursynth-genericfilters         	       0        1        0        0        1
61654 vapoursynth-hqdn3d                 	       0        1        0        0        1
61655 vapoursynth-mvtools                	       0        1        0        0        1
61656 vapoursynth-nnedi3                 	       0        1        0        0        1
61657 vapoursynth-removegrain            	       0        1        0        0        1
61658 varicad                            	       0        2        1        0        1
61659 varicad-view                       	       0        1        0        0        1
61660 varicad2012-view-en                	       0        1        1        0        0
61661 varicad2022-en                     	       0        2        1        0        1
61662 varicad2022-view-en                	       0        1        0        0        1
61663 varicad2023-en                     	       0        1        0        0        1
61664 varicad2023-view-en                	       0        1        1        0        0
61665 varna                              	       0        2        2        0        0
61666 vault                              	       0        2        2        0        0
61667 vault-benchmark                    	       0        1        1        0        0
61668 vavoom                             	       0        1        1        0        0
61669 vbackup                            	       0        2        2        0        0
61670 vblade                             	       0        2        2        0        0
61671 vboot-kernel-utils                 	       0        7        7        0        0
61672 vboot-utils                        	       0        6        6        0        0
61673 vbpp                               	       0        1        1        0        0
61674 vbrfix                             	       0       11       11        0        0
61675 vcard-studio                       	       0        1        1        0        0
61676 vcdtools                           	       0        2        2        0        0
61677 vcftools                           	       0        3        3        0        0
61678 vche                               	       0        1        1        0        0
61679 vcheck                             	       0        1        1        0        0
61680 vclt-tools                         	       0        1        1        0        0
61681 vcmi                               	       0        3        3        0        0
61682 vcs                                	       0        1        1        0        0
61683 vcvrack                            	       0        1        1        0        0
61684 vde-switch                         	       0       61       59        2        0
61685 vde-wirefilter                     	       0       61       59        2        0
61686 vde2                               	       0       72       70        2        0
61687 vde2-cryptcab                      	       0       12       11        1        0
61688 vdeplug                            	       0       61       59        2        0
61689 vdev                               	       0        1        1        0        0
61690 vdirsyncer                         	       0       18       17        1        0
61691 vdirsyncer-doc                     	       0        5        0        0        5
61692 vdk2-tutorial                      	       0        1        0        0        1
61693 vdo                                	       0        1        1        0        0
61694 vdpau-driver-all                   	       0     3210        0        0     3210
61695 vdpau-va-driver                    	       0      153        1        0      152
61696 vdpauinfo                          	       0       90       90        0        0
61697 vdr-dev                            	       0        2        2        0        0
61698 vdr-plugin-dvbsddevice             	       0        1        1        0        0
61699 vdr-plugin-dvd                     	       0        1        1        0        0
61700 vdr-plugin-examples                	       0        1        1        0        0
61701 vdr-plugin-femon                   	       0        2        2        0        0
61702 vdr-plugin-mplayer                 	       0        1        1        0        0
61703 vdr-plugin-svdrpservice            	       0        1        1        0        0
61704 vdr-plugin-vnsiserver              	       0        1        1        0        0
61705 vdr-plugin-xineliboutput           	       0        2        2        0        0
61706 vector                             	       0        1        1        0        0
61707 vectoroids                         	       0        4        4        0        0
61708 veeam                              	       0        4        4        0        0
61709 veeam-libs                         	       0        1        1        0        0
61710 veeam-release-deb                  	       0        5        0        0        5
61711 veeamdeployment                    	       0        1        0        0        1
61712 veeamsnap                          	       0        4        4        0        0
61713 veit-kannegieser-archive-keyring   	       0        3        0        0        3
61714 velocity                           	       0       19        0        0       19
61715 velocity-doc                       	       0        1        0        0        1
61716 ventoy                             	       0        2        0        0        2
61717 vera                               	       0        2        0        0        2
61718 vera++                             	       0        1        1        0        0
61719 veracrypt-console                  	       0        4        4        0        0
61720 verbiste                           	       0        3        3        0        0
61721 verbiste-gnome                     	       0        1        0        0        1
61722 verbiste-gtk                       	       0        2        2        0        0
61723 verdigris                          	       0        1        1        0        0
61724 verilator                          	       0       13       13        0        0
61725 veromix                            	       0        2        2        0        0
61726 veromix-common                     	       0        2        0        0        2
61727 veroroute                          	       0       11       11        0        0
61728 veroroute-data                     	       0        5        0        0        5
61729 vertex-theme                       	       0        1        0        0        1
61730 vesktop                            	       0        5        2        0        3
61731 vessel                             	       0        1        0        0        1
61732 veusz                              	       0        3        3        0        0
61733 veusz-helpers                      	       0        1        1        0        0
61734 vf1                                	       0        1        1        0        0
61735 vfe-qt                             	       0        1        1        0        0
61736 vflib3                             	       0        3        3        0        0
61737 vfu                                	       0       24       21        0        3
61738 vfu-yascreen                       	       0        3        3        0        0
61739 vgabios                            	       0       23        0        0       23
61740 vhba-dkms                          	       0        2        2        0        0
61741 via                                	       0        1        0        0        1
61742 viagee                             	       0        2        2        0        0
61743 viber                              	       0        7        0        0        7
61744 vibrancy-colors                    	       0        1        0        0        1
61745 vice                               	       0       28       28        0        0
61746 vico                               	       0        1        1        0        0
61747 victoria-metrics                   	       0        1        1        0        0
61748 video-downloader                   	       0        1        1        0        0
61749 video2x                            	       0        1        1        0        0
61750 videogen                           	       0        6        6        0        0
61751 videolan-doc                       	       0        5        0        0        5
61752 videotrans                         	       0        6        6        0        0
61753 vidkicks                           	       0        5        5        0        0
61754 vieb                               	       0        1        0        0        1
61755 viewflif                           	       0        1        1        0        0
61756 viewmol                            	       0        1        1        0        0
61757 viewpdf.app                        	       0        9        8        1        0
61758 viewvc                             	       0        1        1        0        0
61759 viewvc-query                       	       0        1        1        0        0
61760 vigor                              	       0        4        4        0        0
61761 viking                             	       0       19       19        0        0
61762 vile-filters                       	       0        3        0        0        3
61763 vilistextum                        	       0        2        2        0        0
61764 vim-addon-mw-utils                 	       0        8        0        0        8
61765 vim-airline                        	       0       10        0        0       10
61766 vim-airline-themes                 	       0       10        0        0       10
61767 vim-ale                            	       0       10        0        0       10
61768 vim-asciidoc                       	       0       15        0        0       15
61769 vim-athena                         	       0       10        4        0        6
61770 vim-autopairs                      	       0        1        0        0        1
61771 vim-autopep8                       	       0        8        0        0        8
61772 vim-command-t                      	       0        2        0        0        2
61773 vim-conque                         	       0        1        0        0        1
61774 vim-ctrlp                          	       0        8        0        0        8
61775 vim-doc                            	       0       69        0        0       69
61776 vim-editorconfig                   	       0        8        0        0        8
61777 vim-fugitive                       	       0       10        0        0       10
61778 vim-git-hub                        	       0        6        0        0        6
61779 vim-gitgutter                      	       0        3        0        0        3
61780 vim-gnome                          	       0        1        0        0        1
61781 vim-gtk                            	       0       67       11        0       56
61782 vim-haproxy                        	       0        2        0        0        2
61783 vim-icinga2                        	       0        6        0        0        6
61784 vim-julia                          	       0        7        0        0        7
61785 vim-lastplace                      	       0        4        0        0        4
61786 vim-latexsuite                     	       0       18        0        0       18
61787 vim-ledger                         	       0        3        0        0        3
61788 vim-lesstif                        	       0        2        0        0        2
61789 vim-motif                          	       0       16       14        2        0
61790 vim-nftables                       	       0        1        0        0        1
61791 vim-pathogen                       	       0       15        0        0       15
61792 vim-poke                           	       0        1        0        0        1
61793 vim-puppet                         	       0        5        0        0        5
61794 vim-python-jedi                    	       0        8        0        0        8
61795 vim-rails                          	       0        2        0        0        2
61796 vim-rainbow                        	       0        1        0        0        1
61797 vim-snipmate                       	       0        4        0        0        4
61798 vim-snippets                       	       0       15        0        0       15
61799 vim-solarized                      	       0        8        0        0        8
61800 vim-subtitles                      	       0        2        0        0        2
61801 vim-syntastic                      	       0       27        0        0       27
61802 vim-syntax-go                      	       0        1        0        0        1
61803 vim-syntax-gtk                     	       0        9        0        0        9
61804 vim-tabular                        	       0       12        0        0       12
61805 vim-textobj-user                   	       0        3        0        0        3
61806 vim-tlib                           	       0        4        0        0        4
61807 vim-ultisnips                      	       0        9        0        0        9
61808 vim-vimerl                         	       0        1        0        0        1
61809 vim-vimerl-syntax                  	       0        4        0        0        4
61810 vim-vimoutliner                    	       0        2        2        0        0
61811 vim-voom                           	       0        7        0        0        7
61812 vim-youcompleteme                  	       0       14        0        0       14
61813 vimb                               	       0        1        1        0        0
61814 violetumleditor                    	       0        1        0        0        1
61815 vipnetclient                       	       0        1        1        0        0
61816 virgl-server                       	       0        4        4        0        0
61817 virt-goodies                       	       0        1        1        0        0
61818 virt-install                       	       0       10        7        3        0
61819 virt-v2v                           	       0        2        2        0        0
61820 virt-what                          	       0       46       46        0        0
61821 virtaal                            	       0        2        2        0        0
61822 virtiofsd                          	       0        4        3        1        0
61823 virtualbox-5.1                     	       0        3        3        0        0
61824 virtualbox-6.0                     	       0        2        2        0        0
61825 virtualbox-dkms                    	       0       31       30        1        0
61826 virtualbox-ext-pack                	       0       10        0        0       10
61827 virtualbox-guest-additions-iso     	       0       28        0        0       28
61828 virtualbox-guest-dkms              	       0        5        5        0        0
61829 virtualbox-guest-source            	       0        1        0        0        1
61830 virtualbox-guest-utils             	       0        9        9        0        0
61831 virtualbox-guest-x11               	       0        4        4        0        0
61832 virtualbox-qt                      	       0       21       20        1        0
61833 virtualbox-source                  	       0        2        0        0        2
61834 virtualenv-clone                   	       0        1        1        0        0
61835 virtualenvwrapper                  	       0        9        1        0        8
61836 virtualgl                          	       0        3        3        0        0
61837 virtualjaguar                      	       0        3        2        1        0
61838 virtualmin-config                  	       0        1        1        0        0
61839 virtualmin-core                    	       0        1        0        0        1
61840 virtualplanet                      	       0        1        1        0        0
61841 virtuoso-minimal                   	       0       12        0        0       12
61842 virtuoso-opensource                	       0        1        0        0        1
61843 virtuoso-opensource-6.1-bin        	       0        6        6        0        0
61844 virtuoso-opensource-6.1-common     	       0        6        6        0        0
61845 virtuoso-opensource-7              	       0        2        1        0        1
61846 virtuoso-opensource-7-bin          	       0        9        9        0        0
61847 virtuoso-opensource-7-common       	       0       10       10        0        0
61848 virtuoso-server                    	       0        1        0        0        1
61849 virtuoso-vad-bpel                  	       0        1        0        0        1
61850 virtuoso-vad-conductor             	       0        1        0        0        1
61851 virtuoso-vad-demo                  	       0        1        0        0        1
61852 virtuoso-vad-doc                   	       0        1        0        0        1
61853 virtuoso-vad-isparql               	       0        1        0        0        1
61854 virtuoso-vad-ods                   	       0        1        0        0        1
61855 virtuoso-vad-rdfmappers            	       0        1        0        0        1
61856 virtuoso-vad-sparqldemo            	       0        1        0        0        1
61857 virtuoso-vad-syncml                	       0        1        0        0        1
61858 virtuoso-vad-tutorial              	       0        1        0        0        1
61859 virtuoso-vsp-startpage             	       0        1        0        0        1
61860 viruskiller                        	       0        5        5        0        0
61861 vis                                	       0        9        9        0        0
61862 vish                               	       0        1        1        0        0
61863 visidata                           	       0        7        7        0        0
61864 visolate                           	       0        7        7        0        0
61865 visual-regexp                      	       0        5        5        0        0
61866 visualboyadvance                   	       0        9        8        1        0
61867 visualvm                           	       0       15       13        2        0
61868 vitables                           	       0        3        3        0        0
61869 vital                              	       0        2        2        0        0
61870 vitalium-vst                       	       0        1        1        0        0
61871 vite                               	       0        2        2        0        0
61872 vivid                              	       0        4        4        0        0
61873 vivid-musl                         	       0        1        1        0        0
61874 vk                                 	       0        2        2        0        0
61875 vk-messenger                       	       0        1        0        0        1
61876 vkbasalt                           	       0       13        0        0       13
61877 vkd3d-demos                        	       0        6        6        0        0
61878 vkeybd                             	       0       19       19        0        0
61879 vkmark                             	       0        2        2        0        0
61880 vkroots-headers                    	       0        1        1        0        0
61881 vl805fw                            	       0        1        0        0        1
61882 vlc-bin-dbgsym                     	       0        1        1        0        0
61883 vlc-plugin-access-extra            	       0      976        1        0      975
61884 vlc-plugin-base-dbgsym             	       0        1        1        0        0
61885 vlc-plugin-bittorrent              	       0       47        2        0       45
61886 vlc-plugin-jack                    	       0       70        1        0       69
61887 vlc-plugin-notify-dbgsym           	       0        1        1        0        0
61888 vlc-plugin-pipewire                	       0       66        0        0       66
61889 vlc-plugin-pulse                   	       0        6        0        0        6
61890 vlc-plugin-qt-dbgsym               	       0        1        1        0        0
61891 vlc-plugin-sdl                     	       0        4        1        0        3
61892 vlc-plugin-svg                     	       0       66        1        0       65
61893 vlc-plugin-video-output-dbgsym     	       0        1        1        0        0
61894 vlc-plugin-video-splitter          	       0     1092        1        0     1091
61895 vlc-plugin-visualization           	       0     1092        1        0     1091
61896 vlc-plugin-vlsub                   	       0       10        0        0       10
61897 vlc-plugin-zvbi                    	       0        3        1        0        2
61898 vlogger                            	       0        1        1        0        0
61899 vm                                 	       0        2        2        0        0
61900 vma                                	       0        1        1        0        0
61901 vmdb2                              	       0        8        8        0        0
61902 vmdk-stream-converter              	       0        2        0        0        2
61903 vmfs6-tools                        	       0        6        6        0        0
61904 vmg                                	       0        5        5        0        0
61905 vmm-doc                            	       0        1        0        0        1
61906 vmpk                               	       0       21       21        0        0
61907 vmtouch                            	       0        9        9        0        0
61908 vmware-manager                     	       0        7        7        0        0
61909 vnc-common                         	       0        2        2        0        0
61910 vnc-java                           	       0        1        1        0        0
61911 vnc4server                         	       0       22        2        0       20
61912 vncserver                          	       0        1        1        0        0
61913 vncsnapshot                        	       0        4        4        0        0
61914 vnstati                            	       0        4        4        0        0
61915 vobcopy                            	       0       24       24        0        0
61916 vobsub2srt                         	       0        3        3        0        0
61917 vocproc                            	       0        4        4        0        0
61918 voctomix                           	       0        5        0        0        5
61919 voctomix-core                      	       0        5        5        0        0
61920 voctomix-gui                       	       0        5        5        0        0
61921 vodovod                            	       0        9        9        0        0
61922 voikko-fi                          	       0       23       22        1        0
61923 vokoscreen                         	       0        7        2        0        5
61924 vokoscreen-ng                      	       0       30       30        0        0
61925 volk                               	       0        1        1        0        0
61926 volti                              	       0        1        1        0        0
61927 voltron                            	       0        1        1        0        0
61928 volumecontrol.app                  	       0        4        3        1        0
61929 vor                                	       0        2        2        0        0
61930 vorbisgain                         	       0       82       81        1        0
61931 voro++                             	       0        2        2        0        0
61932 voro++-dev                         	       0        2        0        0        2
61933 vorta                              	       0        9        8        1        0
61934 voxbo                              	       0        1        1        0        0
61935 voyage-util                        	       0        1        1        0        0
61936 vpb-driver-source                  	       0       11        0        0       11
61937 vpb-utils                          	       0        1        1        0        0
61938 vpcs                               	       0        2        2        0        0
61939 vpkedit                            	       0        1        1        0        0
61940 vpnc-scripts                       	       0      135        0        0      135
61941 vrfy                               	       0        2        2        0        0
61942 vsd2odg                            	       0        3        3        0        0
61943 vsearch                            	       0        2        2        0        0
61944 vsmartcard-vpicc                   	       0        2        2        0        0
61945 vsound                             	       0        1        1        0        0
61946 vstream-client-dev                 	       0        8        8        0        0
61947 vstudio                            	       0        1        1        0        0
61948 vsync                              	       0        1        1        0        0
61949 vtgamma                            	       0        1        1        0        0
61950 vtk-dicom-tools                    	       0        2        2        0        0
61951 vtk6                               	       0        1        1        0        0
61952 vtk6-doc                           	       0        1        0        0        1
61953 vtk6-examples                      	       0        1        1        0        0
61954 vtk9                               	       0       11       11        0        0
61955 vtk9-doc                           	       0        3        0        0        3
61956 vtk9-examples                      	       0        4        4        0        0
61957 vtprint                            	       0        2        2        0        0
61958 vttest                             	       0        8        8        0        0
61959 vuescan                            	       0        4        4        0        0
61960 vulkan-amdgpu                      	       0        3        1        0        2
61961 vulkan-amdgpu-pro                  	       0        1        0        0        1
61962 vulkan-extensionlayer              	       0        1        0        0        1
61963 vulkan-headers                     	       0        1        1        0        0
61964 vulkan-memory-allocator-doc        	       0        1        0        0        1
61965 vulkan-profiles                    	       0        1        1        0        0
61966 vulkan-sdk                         	       0        2        0        0        2
61967 vulkan-utility-libraries           	       0        1        0        0        1
61968 vulkan-utility-libraries-dev       	       0        3        3        0        0
61969 vulkan-utils                       	       0       22        1        0       21
61970 vulkan-validationlayers            	       0       37        0        0       37
61971 vulkan-validationlayers-dev        	       0        8        8        0        0
61972 vulkancapsviewer                   	       0        1        1        0        0
61973 vulture                            	       0        2        2        0        0
61974 vux                                	       0        1        1        0        0
61975 vvmd                               	       0        2        1        1        0
61976 vym                                	       0       22       22        0        0
61977 vz-guest-udev                      	       0        1        1        0        0
61978 vzctl                              	       0        1        1        0        0
61979 vzlogger                           	       0        1        1        0        0
61980 vzquota                            	       0        1        1        0        0
61981 w-scan-cpp                         	       0        1        1        0        0
61982 w2do                               	       0        1        1        0        0
61983 w3-doc-e21                         	       0        1        0        0        1
61984 w3-dtd-mathml                      	       0        4        0        0        4
61985 w3-recs                            	       0        6        0        0        6
61986 w3-recs-2002                       	       0        1        0        0        1
61987 w3-recs-2003                       	       0        1        0        0        1
61988 w32codecs                          	       0        5        5        0        0
61989 w3c-dtd-xhtml                      	       0        3        0        0        3
61990 w3c-linkchecker                    	       0        3        3        0        0
61991 w3c-markup-validator               	       0        2        0        0        2
61992 w3c-sgml-lib                       	       0        9        0        0        9
61993 w3cam                              	       0        1        1        0        0
61994 w3m-el                             	       0       12       12        0        0
61995 w64codecs                          	       0        9        9        0        0
61996 w9wm                               	       0        4        4        0        0
61997 waagent                            	       0        1        1        0        0
61998 wadc                               	       0        2        2        0        0
61999 waffle-utils                       	       0        2        2        0        0
62000 wafw00f                            	       0        2        2        0        0
62001 waimea                             	       0        1        1        0        0
62002 wait-for-it                        	       0        1        1        0        0
62003 wajig                              	       0       10       10        0        0
62004 wallace                            	       0        1        1        0        0
62005 wallstreet                         	       0        2        2        0        0
62006 wammu                              	       0        4        4        0        0
62007 wap-wml-tools                      	       0        1        1        0        0
62008 warmux-data                        	       0       23        0        0       23
62009 warmux-servers                     	       0        2        2        0        0
62010 warp                               	       0        1        1        0        0
62011 warp-terminal                      	       0        2        0        0        2
62012 warpinator                         	       0        1        1        0        0
62013 warsaw                             	       0        2        2        0        0
62014 warzone2100-data                   	       0       16        0        0       16
62015 warzone2100-music                  	       0       17        0        0       17
62016 wasi-libc                          	       0       12       12        0        0
62017 wassabee                           	       0        2        2        0        0
62018 watchcatd                          	       0        2        2        0        0
62019 watchman                           	       0        2        2        0        0
62020 waterfox-classic-i18n-en-gb        	       0        1        1        0        0
62021 waterfox-classic-i18n-ru           	       0        1        1        0        0
62022 waterfox-classic-kde               	       0        1        0        0        1
62023 waterfox-classic-kpe               	       0        3        3        0        0
62024 waterfox-g                         	       0        1        1        0        0
62025 waterfox-g-i18n-ru                 	       0        1        1        0        0
62026 waterfox-g-kde                     	       0        1        0        0        1
62027 waterfox-g-kpe                     	       0        2        2        0        0
62028 waterfox-g3-kde                    	       0        1        0        0        1
62029 waterfox-g3-kpe                    	       0        1        0        0        1
62030 waterfox-kde                       	       0        2        2        0        0
62031 waterfox-kde-full                  	       0        3        2        0        1
62032 watson                             	       0        1        1        0        0
62033 wattconfig-eco                     	       0        1        0        0        1
62034 wav2cdr                            	       0        5        5        0        0
62035 wavbreaker                         	       0        5        5        0        0
62036 wavegain                           	       0        1        1        0        0
62037 waves-exchange                     	       0        1        0        0        1
62038 wavesurfer                         	       0        1        1        0        0
62039 wavtool-pl                         	       0        1        1        0        0
62040 wayback                            	       0        1        1        0        0
62041 wayfire                            	       0        8        7        1        0
62042 wayfire-plugin-winshadows          	       0        1        0        0        1
62043 wayland-protocols                  	       0      324       19        4      301
62044 wayland-protocols-amdgpu           	       0        1        0        0        1
62045 wayland-scanner++                  	       0        7        7        0        0
62046 wayland-utils                      	       0       36       32        4        0
62047 waylandpp-dev                      	       0        4        0        0        4
62048 waylandpp-doc                      	       0        4        0        0        4
62049 wayout                             	       0        2        1        1        0
62050 waypipe                            	       0        7        7        0        0
62051 wayvnc                             	       0        5        5        0        0
62052 wazuh-agent                        	       0        4        3        1        0
62053 wcalc                              	       0       14       14        0        0
62054 wcc                                	       0        3        3        0        0
62055 wcd                                	       0        1        1        0        0
62056 wcslib-dev                         	       0        6        6        0        0
62057 wcslib-tools                       	       0        4        4        0        0
62058 wcstools                           	       0        7        7        0        0
62059 wdanish                            	       0        7        7        0        0
62060 wdg-html-validator                 	       0        1        1        0        0
62061 wdiff-doc                          	       0       11        0        0       11
62062 wdisplays                          	       0       13       13        0        0
62063 wdutch                             	       0       25       25        0        0
62064 weather                            	       0        1        0        0        1
62065 weather-util                       	       0       10       10        0        0
62066 weather-util-data                  	       0       11        0        0       11
62067 weathermap4rrd                     	       0        2        2        0        0
62068 web-eid                            	       0        2        0        0        2
62069 web-eid-chrome                     	       0        1        0        0        1
62070 web-eid-firefox                    	       0        2        1        0        1
62071 web-eid-native                     	       0        2        2        0        0
62072 webapp-manager                     	       0        6        5        1        0
62073 webapps-creator-ui                 	       0        2        1        1        0
62074 webapps-metainfo                   	       0        1        0        0        1
62075 webcam                             	       0       13       13        0        0
62076 webcamd                            	       0        5        5        0        0
62077 webcamoid                          	       0       46       45        1        0
62078 webcamoid-data                     	       0       47        0        0       47
62079 webcamoid-plugins                  	       0       46        0        0       46
62080 webcpp                             	       0        1        1        0        0
62081 webext-allow-html-temp             	       0        2        2        0        0
62082 webext-browserpass                 	       0        5        5        0        0
62083 webext-bulk-media-downloader       	       0        5        0        0        5
62084 webext-debianbuttons               	       0        2        0        0        2
62085 webext-form-history-control        	       0        1        0        0        1
62086 webext-foxyproxy                   	       0        1        0        0        1
62087 webext-https-everywhere            	       0        6        0        0        6
62088 webext-indie-wiki-buddy-firefox    	       0        1        0        0        1
62089 webext-keepassxc-browser           	       0       28        0        0       28
62090 webext-lightbeam                   	       0        3        0        0        3
62091 webext-noscript                    	       0        7        0        0        7
62092 webext-proxy-switcher              	       0        1        0        0        1
62093 webext-sogo-connector              	       0        4        0        0        4
62094 webext-treestyletab                	       0        3        0        0        3
62095 webext-ublock-origin               	       0       18        0        0       18
62096 webext-umatrix                     	       0        3        2        0        1
62097 webfs                              	       0        9        9        0        0
62098 webhook                            	       0        1        1        0        0
62099 webhttrack                         	       0       27       27        0        0
62100 webhttrack-common                  	       0       27        0        0       27
62101 webkit-image-gtk                   	       0        1        1        0        0
62102 webkit2gtk-driver                  	       0        3        2        1        0
62103 webkit2pdf                         	       0        1        1        0        0
62104 weblint-perl                       	       0       10       10        0        0
62105 webmagick                          	       0        2        2        0        0
62106 webmin-cloudmin-services           	       0        1        0        0        1
62107 webmin-jailkit                     	       0        1        0        0        1
62108 webmin-php-pear                    	       0        1        0        0        1
62109 webmin-ruby-gems                   	       0        1        0        0        1
62110 webmin-security-updates            	       0        2        0        0        2
62111 webmin-server-manager              	       0        4        4        0        0
62112 webmin-virtual-server              	       0        2        2        0        0
62113 webmin-virtual-server-mobile       	       0        2        0        0        2
62114 webmin-virtual-server-theme        	       0        4        0        0        4
62115 webmin-virtualmin-awstats          	       0        1        0        0        1
62116 webmin-virtualmin-dav              	       0        1        0        0        1
62117 webmin-virtualmin-git              	       0        1        0        0        1
62118 webmin-virtualmin-htpasswd         	       0        1        0        0        1
62119 webmin-virtualmin-init             	       0        1        0        0        1
62120 webmin-virtualmin-password-recovery	       0        1        0        0        1
62121 webmin-virtualmin-registrar        	       0        1        1        0        0
62122 webmin-virtualmin-slavedns         	       0        1        0        0        1
62123 webmin-virtualmin-sqlite           	       0        1        0        0        1
62124 weborf                             	       0        6        6        0        0
62125 webots                             	       0        2        2        0        0
62126 websockify                         	       0       13       13        0        0
62127 websockify-common                  	       0        2        0        0        2
62128 webtorrent-desktop                 	       0        3        3        0        0
62129 webulldesktop                      	       0        1        1        0        0
62130 webvtt                             	       0        1        1        0        0
62131 wechat-beta                        	       0        1        0        0        1
62132 weechat                            	       0       51        0        0       51
62133 weechat-devel-core                 	       0        1        0        0        1
62134 weechat-devel-curses               	       0        1        1        0        0
62135 weechat-devel-perl                 	       0        1        0        0        1
62136 weechat-devel-plugins              	       0        1        0        0        1
62137 weechat-devel-python               	       0        1        0        0        1
62138 weechat-devel-ruby                 	       0        1        0        0        1
62139 weechat-doc                        	       0        4        0        0        4
62140 weechat-lua                        	       0        1        0        0        1
62141 weechat-matrix                     	       0        7        1        0        6
62142 weechat-php                        	       0        1        0        0        1
62143 weechat-scripts                    	       0        7        0        0        7
62144 weechat-tcl                        	       0        1        0        0        1
62145 weektodo                           	       0        1        1        0        0
62146 weevely                            	       0        1        1        0        0
62147 weewx                              	       0        3        3        0        0
62148 weex                               	       0        1        1        0        0
62149 weightwatcher                      	       0        2        2        0        0
62150 weixin                             	       0        1        0        0        1
62151 weka                               	       0        3        3        0        0
62152 weka-doc                           	       0        1        0        0        1
62153 welcome2l                          	       0        1        1        0        0
62154 welle.io                           	       0        3        3        0        0
62155 wesnoth                            	       0       43        0        0       43
62156 wesnoth-1.10                       	       0        1        0        0        1
62157 wesnoth-1.10-aoi                   	       0        1        0        0        1
62158 wesnoth-1.10-core                  	       0        1        1        0        0
62159 wesnoth-1.10-data                  	       0        1        0        0        1
62160 wesnoth-1.10-did                   	       0        1        0        0        1
62161 wesnoth-1.10-dm                    	       0        1        0        0        1
62162 wesnoth-1.10-dw                    	       0        1        0        0        1
62163 wesnoth-1.10-ei                    	       0        1        0        0        1
62164 wesnoth-1.10-httt                  	       0        1        0        0        1
62165 wesnoth-1.10-l                     	       0        1        0        0        1
62166 wesnoth-1.10-low                   	       0        1        0        0        1
62167 wesnoth-1.10-music                 	       0        1        0        0        1
62168 wesnoth-1.10-nr                    	       0        1        0        0        1
62169 wesnoth-1.10-sof                   	       0        1        0        0        1
62170 wesnoth-1.10-sotbe                 	       0        1        0        0        1
62171 wesnoth-1.10-thot                  	       0        1        0        0        1
62172 wesnoth-1.10-trow                  	       0        1        0        0        1
62173 wesnoth-1.10-tsg                   	       0        1        0        0        1
62174 wesnoth-1.10-ttb                   	       0        1        0        0        1
62175 wesnoth-1.10-utbs                  	       0        1        0        0        1
62176 wesnoth-1.11                       	       0        1        0        0        1
62177 wesnoth-1.11-aoi                   	       0        1        0        0        1
62178 wesnoth-1.11-core                  	       0        1        1        0        0
62179 wesnoth-1.11-data                  	       0        1        0        0        1
62180 wesnoth-1.11-did                   	       0        1        0        0        1
62181 wesnoth-1.11-dm                    	       0        1        0        0        1
62182 wesnoth-1.11-dw                    	       0        1        0        0        1
62183 wesnoth-1.11-ei                    	       0        1        0        0        1
62184 wesnoth-1.11-httt                  	       0        1        0        0        1
62185 wesnoth-1.11-l                     	       0        1        0        0        1
62186 wesnoth-1.11-low                   	       0        1        0        0        1
62187 wesnoth-1.11-music                 	       0        1        0        0        1
62188 wesnoth-1.11-nr                    	       0        1        0        0        1
62189 wesnoth-1.11-sof                   	       0        1        0        0        1
62190 wesnoth-1.11-sotbe                 	       0        1        0        0        1
62191 wesnoth-1.11-thot                  	       0        1        0        0        1
62192 wesnoth-1.11-tools                 	       0        1        0        0        1
62193 wesnoth-1.11-trow                  	       0        1        0        0        1
62194 wesnoth-1.11-tsg                   	       0        1        0        0        1
62195 wesnoth-1.11-ttb                   	       0        1        0        0        1
62196 wesnoth-1.11-utbs                  	       0        1        0        0        1
62197 wesnoth-1.12                       	       0        4        0        0        4
62198 wesnoth-1.12-aoi                   	       0        4        0        0        4
62199 wesnoth-1.12-core                  	       0        4        4        0        0
62200 wesnoth-1.12-data                  	       0        4        0        0        4
62201 wesnoth-1.12-did                   	       0        4        0        0        4
62202 wesnoth-1.12-dm                    	       0        4        0        0        4
62203 wesnoth-1.12-dw                    	       0        4        0        0        4
62204 wesnoth-1.12-ei                    	       0        4        0        0        4
62205 wesnoth-1.12-httt                  	       0        4        0        0        4
62206 wesnoth-1.12-l                     	       0        4        0        0        4
62207 wesnoth-1.12-low                   	       0        4        0        0        4
62208 wesnoth-1.12-music                 	       0        4        0        0        4
62209 wesnoth-1.12-nr                    	       0        4        0        0        4
62210 wesnoth-1.12-sof                   	       0        4        0        0        4
62211 wesnoth-1.12-sotbe                 	       0        4        0        0        4
62212 wesnoth-1.12-thot                  	       0        4        0        0        4
62213 wesnoth-1.12-trow                  	       0        4        0        0        4
62214 wesnoth-1.12-tsg                   	       0        4        0        0        4
62215 wesnoth-1.12-ttb                   	       0        4        0        0        4
62216 wesnoth-1.12-utbs                  	       0        4        0        0        4
62217 wesnoth-1.14                       	       0        8        0        0        8
62218 wesnoth-1.14-aoi                   	       0        8        0        0        8
62219 wesnoth-1.14-core                  	       0        8        8        0        0
62220 wesnoth-1.14-data                  	       0       11        0        0       11
62221 wesnoth-1.14-did                   	       0        8        0        0        8
62222 wesnoth-1.14-dm                    	       0        8        0        0        8
62223 wesnoth-1.14-dw                    	       0        8        0        0        8
62224 wesnoth-1.14-ei                    	       0        8        0        0        8
62225 wesnoth-1.14-httt                  	       0        8        0        0        8
62226 wesnoth-1.14-l                     	       0        8        0        0        8
62227 wesnoth-1.14-low                   	       0        8        0        0        8
62228 wesnoth-1.14-music                 	       0       13        0        0       13
62229 wesnoth-1.14-nr                    	       0        8        0        0        8
62230 wesnoth-1.14-server                	       0        3        3        0        0
62231 wesnoth-1.14-sof                   	       0        8        0        0        8
62232 wesnoth-1.14-sota                  	       0        8        0        0        8
62233 wesnoth-1.14-sotbe                 	       0        8        0        0        8
62234 wesnoth-1.14-thot                  	       0        8        0        0        8
62235 wesnoth-1.14-tools                 	       0        1        1        0        0
62236 wesnoth-1.14-trow                  	       0        8        0        0        8
62237 wesnoth-1.14-tsg                   	       0        8        0        0        8
62238 wesnoth-1.14-ttb                   	       0        8        0        0        8
62239 wesnoth-1.14-utbs                  	       0        8        0        0        8
62240 wesnoth-1.16                       	       0       27        0        0       27
62241 wesnoth-1.16-build-deps            	       0        1        0        0        1
62242 wesnoth-1.16-core                  	       0       27       27        0        0
62243 wesnoth-1.16-data                  	       0       30        0        0       30
62244 wesnoth-1.16-did                   	       0       27        0        0       27
62245 wesnoth-1.16-dm                    	       0       27        0        0       27
62246 wesnoth-1.16-dw                    	       0       27        0        0       27
62247 wesnoth-1.16-ei                    	       0       27        0        0       27
62248 wesnoth-1.16-httt                  	       0       27        0        0       27
62249 wesnoth-1.16-l                     	       0       27        0        0       27
62250 wesnoth-1.16-low                   	       0       27        0        0       27
62251 wesnoth-1.16-music                 	       0       29        0        0       29
62252 wesnoth-1.16-nr                    	       0       27        0        0       27
62253 wesnoth-1.16-sof                   	       0       27        0        0       27
62254 wesnoth-1.16-sota                  	       0       27        0        0       27
62255 wesnoth-1.16-sotbe                 	       0       27        0        0       27
62256 wesnoth-1.16-thot                  	       0       27        0        0       27
62257 wesnoth-1.16-trow                  	       0       27        0        0       27
62258 wesnoth-1.16-tsg                   	       0       27        0        0       27
62259 wesnoth-1.16-ttb                   	       0       27        0        0       27
62260 wesnoth-1.16-utbs                  	       0       27        0        0       27
62261 wesnoth-1.18-data                  	       0       13        0        0       13
62262 wesnoth-1.18-did                   	       0       11        0        0       11
62263 wesnoth-1.18-dm                    	       0       11        0        0       11
62264 wesnoth-1.18-dw                    	       0       11        0        0       11
62265 wesnoth-1.18-ei                    	       0       11        0        0       11
62266 wesnoth-1.18-httt                  	       0       11        0        0       11
62267 wesnoth-1.18-l                     	       0       11        0        0       11
62268 wesnoth-1.18-low                   	       0       11        0        0       11
62269 wesnoth-1.18-music                 	       0       13        0        0       13
62270 wesnoth-1.18-nr                    	       0       11        0        0       11
62271 wesnoth-1.18-sof                   	       0       11        0        0       11
62272 wesnoth-1.18-sota                  	       0       11        0        0       11
62273 wesnoth-1.18-sotbe                 	       0       11        0        0       11
62274 wesnoth-1.18-thot                  	       0       11        0        0       11
62275 wesnoth-1.18-tools                 	       0        1        1        0        0
62276 wesnoth-1.18-trow                  	       0       11        0        0       11
62277 wesnoth-1.18-tsg                   	       0       11        0        0       11
62278 wesnoth-1.18-ttb                   	       0       11        0        0       11
62279 wesnoth-1.18-utbs                  	       0       11        0        0       11
62280 wesnoth-1.18-wof                   	       0       11        0        0       11
62281 wesnoth-core                       	       0        2        0        0        2
62282 wesnoth-music                      	       0        7        0        0        7
62283 wesperanto                         	       0       16       13        0        3
62284 weylus                             	       0        1        1        0        0
62285 wezterm                            	       0        3        3        0        0
62286 wf-recorder                        	       0        5        5        0        0
62287 wfrog                              	       0        1        1        0        0
62288 wfview                             	       0        7        6        1        0
62289 wgalician-minimos                  	       0        2        2        0        0
62290 wgdd-archive-keyring               	       0        1        0        0        1
62291 wget2                              	       0       17       17        0        0
62292 wget2-dev                          	       0        1        1        0        0
62293 whalebird                          	       0        1        0        0        1
62294 whatsapp-for-linux                 	       0        2        2        0        0
62295 whatsdesk                          	       0        7        3        0        4
62296 whereami                           	       0        2        2        0        0
62297 which                              	       0        1        1        0        0
62298 whichwayisup                       	       0        1        1        0        0
62299 whipper                            	       0        7        7        0        0
62300 whitakers-words                    	       0        1        1        0        0
62301 whitakers-words-data               	       0        1        0        0        1
62302 whiteboard                         	       0        1        1        0        0
62303 whitedune                          	       0        5        5        0        0
62304 whitedune-docs                     	       0        2        2        0        0
62305 whitesur-gtk-theme                 	       0        1        0        0        1
62306 whizzytex                          	       0        2        2        0        0
62307 whohas                             	       0        3        3        0        0
62308 whowatch                           	       0        4        4        0        0
62309 whysynth                           	       0        9        9        0        0
62310 wicd                               	       0      150        0        0      150
62311 wicd-build-deps                    	       0        1        0        0        1
62312 wicd-cli                           	       0        7        7        0        0
62313 wicreset                           	       0        1        1        0        0
62314 wide-dhcpv6-client                 	       0        8        8        0        0
62315 wide-dhcpv6-relay                  	       0        1        1        0        0
62316 widelands-data                     	       0       15        0        0       15
62317 widemargin                         	       0        2        2        0        0
62318 wifi-host-ap-bash                  	       0        1        1        0        0
62319 wifi-qr                            	       0        3        3        0        0
62320 wifi-radar                         	       0        3        3        0        0
62321 wigeon                             	       0        1        1        0        0
62322 wiggle                             	       0        1        1        0        0
62323 wike                               	       0        2        2        0        0
62324 wiki2beamer                        	       0        2        2        0        0
62325 wikiman                            	       0        1        1        0        0
62326 wikipedia2text                     	       0        6        6        0        0
62327 wikipediafs                        	       0        1        1        0        0
62328 wildmidi                           	       0        3        3        0        0
62329 wily                               	       0        5        5        0        0
62330 wily-dbgsym                        	       0        1        1        0        0
62331 wimtools                           	       0       30       28        2        0
62332 win-iconv-mingw-w64-dev            	       0        2        2        0        0
62333 win31games                         	       0        4        4        0        0
62334 win31games-oregon-trail            	       0        2        0        0        2
62335 win31games-typing-instructor       	       0        3        0        0        3
62336 win31games-zoombinis               	       0        3        0        0        3
62337 win32-loader                       	       0        7        0        0        7
62338 window-size                        	       0        2        2        0        0
62339 windowlab                          	       0        3        3        0        0
62340 windows-el                         	       0        1        1        0        0
62341 windows-entertainment-pack         	       0        1        1        0        0
62342 windows95                          	       0        1        1        0        0
62343 windsurf                           	       0        1        1        0        0
62344 wine-bin                           	       0        2        0        0        2
62345 wine-devel                         	       0        9        9        0        0
62346 wine-devel-amd64                   	       0        9        9        0        0
62347 wine-devel-dbg                     	       0        2        2        0        0
62348 wine-devel-dev                     	       0        1        1        0        0
62349 wine-devel-i386                    	       0       10       10        0        0
62350 wine-doc                           	       0        1        0        0        1
62351 wine1.6                            	       0        1        1        0        0
62352 wine1.6-amd64                      	       0        1        1        0        0
62353 wine1.6-i386                       	       0        1        1        0        0
62354 wine32-development                 	       0        5        5        0        0
62355 wine32-development-preloader       	       0        3        3        0        0
62356 wine32-tools                       	       0        1        1        0        0
62357 wine64-bin                         	       0        3        0        0        3
62358 wine64-dev-tools                   	       0        2        0        0        2
62359 wineasio                           	       0        1        0        0        1
62360 wineasio-amd64                     	       0        1        0        0        1
62361 wineasio-data                      	       0        1        1        0        0
62362 wineasio-i386                      	       0        1        1        0        0
62363 winegui                            	       0        1        1        0        0
62364 winehq-devel                       	       0        8        8        0        0
62365 winehq-staging                     	       0        9        9        0        0
62366 winexe                             	       0        1        1        0        0
62367 winff                              	       0       36        0        0       36
62368 winff-data                         	       0       46        2        0       44
62369 winff-doc                          	       0       11        0        0       11
62370 winff-gtk2                         	       0       36       36        0        0
62371 winff-qt                           	       0       10       10        0        0
62372 wing                               	       0        4        4        0        0
62373 wing-data                          	       0        4        0        0        4
62374 wingpro10                          	       0        4        4        0        0
62375 wingpro7                           	       0        4        4        0        0
62376 wings3d                            	       0       14       14        0        0
62377 wininfo                            	       0        4        4        0        0
62378 winpdb                             	       0        2        2        0        0
62379 winpr-utils                        	       0       11       11        0        0
62380 winpr3-utils                       	       0        2        2        0        0
62381 winrmcp                            	       0        1        1        0        0
62382 winsol                             	       0        1        1        0        0
62383 winswitch                          	       0        1        1        0        0
62384 winwrangler                        	       0        1        1        0        0
62385 wipe2fs                            	       0        1        1        0        0
62386 wire                               	       0        2        2        0        0
62387 wire-desktop                       	       0        3        1        0        2
62388 wiredpanda                         	       0        3        3        0        0
62389 wireguard                          	       0      156        0        0      156
62390 wireguard-dkms                     	       0       11       11        0        0
62391 wireguard-go                       	       0        4        3        1        0
62392 wireguird                          	       0        1        1        0        0
62393 wireplumber-doc                    	       0       15        0        0       15
62394 wireshark-dev                      	       0        2        2        0        0
62395 wireshark-doc                      	       0       39        0        0       39
62396 wireshark-gtk                      	       0       20        3        0       17
62397 wise                               	       0        2        2        0        0
62398 wise-data                          	       0        2        0        0        2
62399 wit                                	       0        3        3        0        0
62400 wixl                               	       0        1        1        0        0
62401 wixl-data                          	       0        1        0        0        1
62402 wizznic                            	       0        6        6        0        0
62403 wizznic-data                       	       0        6        0        0        6
62404 wkhtmltox                          	       0        7        7        0        0
62405 wkrt-lin                           	       0        1        1        0        0
62406 wl                                 	       0        2        2        0        0
62407 wlassistant-trinity                	       0        1        1        0        0
62408 wlc                                	       0        1        1        0        0
62409 wlcs                               	       0        3        3        0        0
62410 wlogout                            	       0        5        5        0        0
62411 wlsunset                           	       0        5        5        0        0
62412 wm2                                	       0        5        5        0        0
62413 wmacpi                             	       0        7        7        0        0
62414 wmail                              	       0        3        3        0        0
62415 wmaker-data                        	       0       36        0        0       36
62416 wmaker-utils                       	       0       28       27        1        0
62417 wmakerconf                         	       0        1        1        0        0
62418 wmakerconf-data                    	       0        2        0        0        2
62419 wmanager                           	       0        1        1        0        0
62420 wmbiff                             	       0        6        6        0        0
62421 wmbinclock                         	       0        1        1        0        0
62422 wmbutton                           	       0        6        6        0        0
62423 wmcalc                             	       0        5        5        0        0
62424 wmcdplay                           	       0        1        1        0        0
62425 wmcliphist                         	       0        5        5        0        0
62426 wmcoincoin                         	       0        1        1        0        0
62427 wmcore                             	       0        3        3        0        0
62428 wmcpu                              	       0        5        5        0        0
62429 wmcube                             	       0        6        6        0        0
62430 wmdate                             	       0        2        2        0        0
62431 wmdiskmon                          	       0        5        5        0        0
62432 wmdocker                           	       0       66       65        1        0
62433 wmdrawer                           	       0        6        6        0        0
62434 wmenu                              	       0        9        9        0        0
62435 wmfire                             	       0        2        2        0        0
62436 wmforecast                         	       0        1        1        0        0
62437 wmforkplop                         	       0        1        1        0        0
62438 wmfrog                             	       0        2        2        0        0
62439 wmfsm                              	       0        3        3        0        0
62440 wmget                              	       0        3        3        0        0
62441 wmgtemp                            	       0        3        3        0        0
62442 wmhdplop                           	       0        5        5        0        0
62443 wmibam                             	       0        1        1        0        0
62444 wmifs                              	       0        5        5        0        0
62445 wminput                            	       0        4        4        0        0
62446 wmitime                            	       0        4        4        0        0
62447 wml                                	       0        4        4        0        0
62448 wmload                             	       0        9        9        0        0
62449 wmlongrun                          	       0        2        2        0        0
62450 wmmail                             	       0        3        3        0        0
62451 wmmand                             	       0        1        1        0        0
62452 wmmatrix                           	       0        4        4        0        0
62453 wmmisc                             	       0        2        2        0        0
62454 wmmoonclock                        	       0       21       20        1        0
62455 wmmount                            	       0        2        2        0        0
62456 wmnd-snmp                          	       0        1        1        0        0
62457 wmnetselect                        	       0        1        1        0        0
62458 wmnut                              	       0        3        3        0        0
62459 wmpinboard                         	       0        5        5        0        0
62460 wmppp.app                          	       0        2        1        1        0
62461 wmpuzzle                           	       0        2        2        0        0
62462 wmrack                             	       0        2        2        0        0
62463 wmressel                           	       0        2        2        0        0
62464 wmsensors                          	       0        1        1        0        0
62465 wmshutdown                         	       0        5        5        0        0
62466 wmsmpmon                           	       0        1        1        0        0
62467 wmstickynotes                      	       0        9        9        0        0
62468 wmsun                              	       0        8        8        0        0
62469 wmsysmon                           	       0        4        4        0        0
62470 wmtemp                             	       0       10       10        0        0
62471 wmtime                             	       0        6        6        0        0
62472 wmtop                              	       0        6        6        0        0
62473 wmtv                               	       0        3        3        0        0
62474 wmtz                               	       0        1        1        0        0
62475 wmwave                             	       0        3        3        0        0
62476 wmweather+                         	       0        5        5        0        0
62477 wmwork                             	       0        5        5        0        0
62478 wmxres                             	       0        2        2        0        0
62479 wnorwegian                         	       0       43       40        3        0
62480 wob                                	       0        4        3        1        0
62481 woeusb                             	       0        3        3        0        0
62482 woeusb-build-deps                  	       0        1        0        0        1
62483 woeusb-frontend-wxgtk              	       0        1        1        0        0
62484 woeusb-ng                          	       0        1        1        0        0
62485 woff-tools                         	       0        7        7        0        0
62486 woff2                              	       0        8        8        0        0
62487 wolf3d-v12-data                    	       0        1        0        0        1
62488 wolf4sdl                           	       0        5        5        0        0
62489 wolframscript                      	       0        7        7        0        0
62490 wolpertinger-lv2                   	       0        1        1        0        0
62491 wonderdraft                        	       0        1        0        0        1
62492 woob                               	       0        1        1        0        0
62493 wordgrinder                        	       0       17        0        0       17
62494 wordgrinder-doc                    	       0       17        0        0       17
62495 wordgrinder-ncurses                	       0       17       15        2        0
62496 wordgrinder-x11                    	       0        2        2        0        0
62497 wordnet                            	       0       21       21        0        0
62498 wordnet-base                       	       0       21        0        0       21
62499 wordnet-dev                        	       0        1        1        0        0
62500 wordnet-gui                        	       0       14       14        0        0
62501 wordnet-sense-index                	       0        9        0        0        9
62502 wordplay                           	       0       10       10        0        0
62503 wordpress-l10n                     	       0        9        0        0        9
62504 wordpress-theme-twentyfifteen      	       0        1        0        0        1
62505 wordpress-theme-twentynineteen     	       0        2        2        0        0
62506 wordpress-theme-twentyseventeen    	       0        4        3        0        1
62507 wordpress-theme-twentysixteen      	       0        1        0        0        1
62508 wordpress-theme-twentytwenty       	       0        2        2        0        0
62509 wordpress-theme-twentytwentyone    	       0        3        2        0        1
62510 wordpress-theme-twentytwentythree  	       0        1        1        0        0
62511 wordwarvi                          	       0        4        4        0        0
62512 wordwarvi-build-deps               	       0        1        0        0        1
62513 wordwarvi-dbgsym                   	       0        1        1        0        0
62514 wordwarvi-sound                    	       0        4        0        0        4
62515 workbone                           	       0        1        1        0        0
62516 worker                             	       0       10       10        0        0
62517 worker-data                        	       0       10        0        0       10
62518 worklog                            	       0        1        1        0        0
62519 workrave-data                      	       0       16        0        0       16
62520 workrave-xfce4                     	       0        5        0        0        5
62521 worldofgoo                         	       0        2        2        0        0
62522 worldographer                      	       0        1        1        0        0
62523 worldpainter                       	       0        1        0        1        0
62524 wotsap                             	       0        1        1        0        0
62525 wound-up                           	       0        1        1        0        0
62526 wp2latex                           	       0        6        5        1        0
62527 wp2latex-styles                    	       0        3        0        0        3
62528 wpd2epub                           	       0        1        1        0        0
62529 wpd2sxw                            	       0        1        1        0        0
62530 wpewebkit-driver                   	       0        1        1        0        0
62531 wps-office                         	       0        6        6        0        0
62532 wps2sxw                            	       0        1        1        0        0
62533 wput                               	       0       17       17        0        0
62534 wrapperfactory.app                 	       0        3        2        1        0
62535 wrapsrv                            	       0        1        1        0        0
62536 wreport-common                     	       0        2        0        0        2
62537 writer2latex                       	       0       18       17        1        0
62538 writer2latex-manual                	       0        3        0        0        3
62539 wrk                                	       0        5        5        0        0
62540 wsclean                            	       0        5        5        0        0
62541 wsclean-dev                        	       0        2        2        0        0
62542 wsjtx                              	       0       16       16        0        0
62543 wsjtx-data                         	       0       17        0        0       17
62544 wsjtx-doc                          	       0       13        0        0       13
62545 wsl                                	       0        1        1        0        0
62546 wsynth-dssi                        	       0        6        6        0        0
62547 wtav                               	       0        1        1        0        0
62548 wterm                              	       0        2        2        0        0
62549 wterm-ml                           	       0        1        1        0        0
62550 wtf-debian-keyring                 	       0        1        0        0        1
62551 wtype                              	       0        6        5        1        0
62552 wvdial                             	       0       30       30        0        0
62553 wvkbd                              	       0        3        2        1        0
62554 wwl                                	       0       10       10        0        0
62555 wwwconfig-common                   	       0       36        0        0       36
62556 wx2.6-headers                      	       0        1        1        0        0
62557 wx2.6-i18n                         	       0        2        0        0        2
62558 wx2.8-headers                      	       0        5        5        0        0
62559 wx3.0-doc                          	       0       14        0        0       14
62560 wx3.0-examples                     	       0        6        6        0        0
62561 wx3.0-i18n                         	       0        9        0        0        9
62562 wx3.1-headers                      	       0        1        1        0        0
62563 wx3.2-doc                          	       0        7        0        0        7
62564 wx3.2-examples                     	       0        2        2        0        0
62565 wx3.2-i18n                         	       0        7        0        0        7
62566 wxastrocapture                     	       0        3        3        0        0
62567 wxedid                             	       0        3        3        0        0
62568 wxglade                            	       0        4        4        0        0
62569 wxmp3gain                          	       0        1        1        0        0
62570 wxpython-tools                     	       0       11       10        1        0
62571 wxsmith-headers                    	       0        2        2        0        0
62572 wxsqlite3-doc                      	       0        2        0        0        2
62573 wxtoimg                            	       0        1        1        0        0
62574 wyrd                               	       0        9        9        0        0
62575 x-dev                              	       0        3        0        0        3
62576 x-face-el                          	       0        3        3        0        0
62577 x-pgp-sig-el                       	       0        1        1        0        0
62578 x-tile                             	       0        2        2        0        0
62579 x-ttcidfont-conf                   	       0        3        0        0        3
62580 x-window-system-core               	       0        1        0        0        1
62581 x11-common                         	       0     3928        0        0     3928
62582 x11proto-bigreqs-dev               	       0        7        2        0        5
62583 x11proto-composite-dev             	       0       54        8        0       46
62584 x11proto-dmx-dev                   	       0        7        2        0        5
62585 x11proto-dri3-dev                  	       0       12        4        0        8
62586 x11proto-evie-dev                  	       0        1        1        0        0
62587 x11proto-fontcache-dev             	       0        1        1        0        0
62588 x11proto-fonts-dev                 	       0       22        5        0       17
62589 x11proto-present-dev               	       0       13        4        0        9
62590 x11proto-print-dev                 	       0        8        7        0        1
62591 x11proto-record-dev                	       0      186        5        0      181
62592 x11proto-resource-dev              	       0       12        4        0        8
62593 x11proto-trap-dev                  	       0        2        2        0        0
62594 x11proto-xcmisc-dev                	       0        7        2        0        5
62595 x11proto-xf86bigfont-dev           	       0       10        4        0        6
62596 x11proto-xf86dga-dev               	       0       42        3        0       39
62597 x11proto-xf86dri-dev               	       0       15        4        0       11
62598 x11proto-xf86misc-dev              	       0        2        2        0        0
62599 x11vnc-data                        	       0       27        0        0       27
62600 x13as                              	       0        1        1        0        0
62601 x265                               	       0       39       38        1        0
62602 x2go-keyring                       	       0       15        0        0       15
62603 x2goagent                          	       0        1        1        0        0
62604 x2gobroker-common                  	       0        1        0        0        1
62605 x2gohtmlclient                     	       0        1        0        0        1
62606 x2gokdriveclient                   	       0        7        7        0        0
62607 x2goplugin                         	       0        3        1        0        2
62608 x2goserver-common                  	       0       65       62        3        0
62609 x2goserver-desktopsharing          	       0        4        4        0        0
62610 x2goserver-x2gokdrive              	       0        7        0        0        7
62611 x2goserver-xsession                	       0       65        0        0       65
62612 x2gosmartcardrules                 	       0        1        0        0        1
62613 x2gothinclient-smartcardrules      	       0        3        3        0        0
62614 x2gothinclient-usbmount            	       0        1        1        0        0
62615 x2gowebrpc                         	       0        1        1        0        0
62616 x2gowswrapper                      	       0        1        1        0        0
62617 x2vnc                              	       0       12       12        0        0
62618 x2x                                	       0       20       19        1        0
62619 x3270                              	       0        9        9        0        0
62620 x3270-doc                          	       0        3        0        0        3
62621 x42-gmsynth.lv2                    	       0        1        1        0        0
62622 x42-plugins                        	       0       14       14        0        0
62623 x4d-icons                          	       0        2        0        0        2
62624 x509-util                          	       0        1        1        0        0
62625 x86-64-v2-support                  	       0        3        0        0        3
62626 x86-64-v3-support                  	       0        1        0        0        1
62627 x86dis                             	       0        2        2        0        0
62628 xa65                               	       0        7        7        0        0
62629 xabacus                            	       0        4        4        0        0
62630 xalan                              	       0       10       10        0        0
62631 xamarin-gtk-theme                  	       0        5        5        0        0
62632 xamarin.android-oss                	       0        1        1        0        0
62633 xandikos                           	       0        1        1        0        0
62634 xanmod-repository                  	       0        2        0        0        2
62635 xaos                               	       0       21       21        0        0
62636 xapers                             	       0        2        2        0        0
62637 xapian-doc                         	       0       10        0        0       10
62638 xapian-omega                       	       0        1        1        0        0
62639 xapm                               	       0        5        5        0        0
62640 xapps-doc                          	       0        2        0        0        2
62641 xara-gtk                           	       0        4        4        0        0
62642 xaralx                             	       0        2        2        0        0
62643 xaralx-examples                    	       0        1        0        0        1
62644 xaralx-svg                         	       0        2        2        0        0
62645 xarchon                            	       0        1        1        0        0
62646 xarchon-theme-default              	       0        1        0        0        1
62647 xarclock                           	       0        3        3        0        0
62648 xasteroids                         	       0        1        1        0        0
62649 xastir                             	       0       12       12        0        0
62650 xastir-data                        	       0       12       12        0        0
62651 xattr                              	       0       15       14        1        0
62652 xavante                            	       0        1        0        0        1
62653 xaw3dg-dev                         	       0        9        9        0        0
62654 xawtv-plugin-qt                    	       0        6        0        0        6
62655 xawtv-plugins                      	       0       43        0        0       43
62656 xball                              	       0       11       11        0        0
62657 xbase-clients                      	       0       83        0        0       83
62658 xbattbar                           	       0        9        9        0        0
62659 xbattle                            	       0        1        1        0        0
62660 xbill                              	       0       12       12        0        0
62661 xbindkeys-config                   	       0       18       18        0        0
62662 xbitmaps                           	       0     3419        0        0     3419
62663 xblast-tnt                         	       0        5        5        0        0
62664 xblast-tnt-images                  	       0        6        0        0        6
62665 xblast-tnt-levels                  	       0        5        0        0        5
62666 xblast-tnt-models                  	       0        5        0        0        5
62667 xblast-tnt-musics                  	       0        4        0        0        4
62668 xblast-tnt-sounds                  	       0        4        0        0        4
62669 xbmc                               	       0        3        0        0        3
62670 xbmc-addons-dev                    	       0        1        0        0        1
62671 xbmc-skin-confluence               	       0        1        0        0        1
62672 xboing                             	       0        1        1        0        0
62673 xboxdrv                            	       0       14       14        0        0
62674 xbreaky                            	       0        1        1        0        0
62675 xbrzscale                          	       0        2        2        0        0
62676 xbs                                	       0        1        1        0        0
62677 xbubble                            	       0       14       14        0        0
62678 xbubble-data                       	       0       14        0        0       14
62679 xbuilder                           	       0        1        1        0        0
62680 xc                                 	       0        2        2        0        0
62681 xc3sprog                           	       0        2        1        1        0
62682 xca                                	       0       20       20        0        0
62683 xcaddy                             	       0        3        3        0        0
62684 xcal                               	       0        5        5        0        0
62685 xcas                               	       0       14       14        0        0
62686 xcb                                	       0       31       30        1        0
62687 xcb-proto                          	       0       21        0        0       21
62688 xcb-proto-build-deps               	       0        1        0        0        1
62689 xcb-util-build-deps                	       0        2        0        0        2
62690 xcdroast                           	       0        1        1        0        0
62691 xcfa                               	       0       14       13        1        0
62692 xcftools                           	       0        4        4        0        0
62693 xchain                             	       0        3        3        0        0
62694 xchainkeys                         	       0        1        1        0        0
62695 xchat                              	       0        9        9        0        0
62696 xchat-common                       	       0       11       11        0        0
62697 xchpst                             	       0        1        1        0        0
62698 xcircuit                           	       0        8        8        0        0
62699 xclass-common                      	       0        1        0        0        1
62700 xcolmix                            	       0        1        1        0        0
62701 xcolors                            	       0       13       13        0        0
62702 xcolorsel                          	       0       19       19        0        0
62703 xconq                              	       0        1        1        0        0
62704 xconq-common                       	       0        1        0        0        1
62705 xcowsay                            	       0       18       18        0        0
62706 xcruise                            	       0        2        2        0        0
62707 xcrysden                           	       0        1        1        0        0
62708 xcrysden-data                      	       0        1        0        0        1
62709 xcscope-el                         	       0        5        0        0        5
62710 xcsoar                             	       0        1        1        0        0
62711 xcursor-themes                     	       0       45        0        0       45
62712 xcwd                               	       0        2        2        0        0
62713 xdd                                	       0        1        1        0        0
62714 xde-menu                           	       0        1        1        0        0
62715 xdeb                               	       0        1        1        0        0
62716 xdesktopwaves                      	       0        5        5        0        0
62717 xdeview                            	       0        4        4        0        0
62718 xdg-dbus-proxy-tests               	       0        3        0        0        3
62719 xdg-desktop-portal-dev             	       0        2        0        0        2
62720 xdg-desktop-portal-hyprland        	       0        1        1        0        0
62721 xdg-desktop-portal-regolith-x11-config	       0        1        0        0        1
62722 xdg-desktop-portal-tests           	       0        3        0        0        3
62723 xdg-terminal-exec                  	       0        1        1        0        0
62724 xdg-themes-stackrpms               	       0       15        0        0       15
62725 xdg-utils-cxx                      	       0        5        1        0        4
62726 xdg-utils-cxx-dev                  	       0        3        3        0        0
62727 xdialog                            	       0        1        1        0        0
62728 xdigger                            	       0        1        1        0        0
62729 xdkcal                             	       0        1        1        0        0
62730 xdmx                               	       0        2        2        0        0
62731 xdmx-tools                         	       0        2        2        0        0
62732 xdo                                	       0       29       27        2        0
62733 xdot                               	       0       30       30        0        0
62734 xdrawchem                          	       0        1        1        0        0
62735 xdtv                               	       0        1        1        0        0
62736 xdtv-fonts                         	       0        1        1        0        0
62737 xdu                                	       0       13       13        0        0
62738 xdvik-ja                           	       0        1        1        0        0
62739 xdx                                	       0        4        4        0        0
62740 xe                                 	       0        6        6        0        0
62741 xearth                             	       0        1        1        0        0
62742 xed                                	       0        2        2        0        0
62743 xed-common                         	       0        2        0        0        2
62744 xeji                               	       0        1        1        0        0
62745 xemacs21                           	       0       15        0        0       15
62746 xemacs21-basesupport               	       0      292        0        0      292
62747 xemacs21-mulesupport               	       0      290        0        0      290
62748 xemacs21-nomule                    	       0        2        2        0        0
62749 xemacs21-supportel                 	       0        2        0        0        2
62750 xemeraldia                         	       0        1        1        0        0
62751 xemu                               	       0        1        1        0        0
62752 xen-doc                            	       0        4        0        0        4
62753 xen-hypervisor-4.1-amd64           	       0        1        0        0        1
62754 xen-hypervisor-4.11-amd64          	       0        1        1        0        0
62755 xen-hypervisor-4.14-amd64          	       0        2        2        0        0
62756 xen-hypervisor-4.17-amd64          	       0        8        0        0        8
62757 xen-hypervisor-4.4-amd64           	       0        3        0        0        3
62758 xen-hypervisor-4.8-amd64           	       0        1        1        0        0
62759 xen-hypervisor-common              	       0       11        0        0       11
62760 xen-linux-system-3.16.0-11-amd64   	       0        2        0        0        2
62761 xen-linux-system-3.16.0-6-amd64    	       0        1        0        0        1
62762 xen-linux-system-amd64             	       0        3        0        0        3
62763 xen-system-amd64                   	       0       11        0        0       11
62764 xen-tools                          	       0        6        6        0        0
62765 xen-utils-4.1                      	       0        1        1        0        0
62766 xen-utils-4.11                     	       0        1        1        0        0
62767 xen-utils-4.14                     	       0        2        2        0        0
62768 xen-utils-4.4                      	       0        3        3        0        0
62769 xen-utils-4.8                      	       0        1        1        0        0
62770 xengine                            	       0        2        2        0        0
62771 xenlism-minimalism-theme           	       0        1        0        0        1
62772 xenwatch                           	       0        1        1        0        0
62773 xephem                             	       0        2        2        0        0
62774 xerox-phaser-6000-6010             	       0        1        1        0        0
62775 xerox-workcentre-6015b-6015n-6015ni	       0        1        1        0        0
62776 xeroxprtdrv                        	       0        2        2        0        0
62777 xevil                              	       0        4        4        0        0
62778 xfaces                             	       0        3        3        0        0
62779 xfce-keyboard-shortcuts            	       0       36        0        0       36
62780 xfce4                              	       0     1718        0        0     1718
62781 xfce4-appfinder-build-deps         	       0        1        0        0        1
62782 xfce4-appfinder-dbgsym             	       0        2        2        0        0
62783 xfce4-appmenu-plugin               	       0       34       31        1        2
62784 xfce4-appmenu-plugin-dbgsym        	       0        1        1        0        0
62785 xfce4-artwork                      	       0       21        0        0       21
62786 xfce4-battery-plugin-dbgsym        	       0        1        1        0        0
62787 xfce4-clipman-dbgsym               	       0        1        1        0        0
62788 xfce4-clipman-plugin-dbgsym        	       0        1        1        0        0
62789 xfce4-cpufreq-plugin-build-deps    	       0        1        0        0        1
62790 xfce4-cpufreq-plugin-dbgsym        	       0        2        2        0        0
62791 xfce4-cpugraph-plugin-dbgsym       	       0        1        1        0        0
62792 xfce4-datetime-plugin-dbgsym       	       0        1        1        0        0
62793 xfce4-dev-tools-dbgsym             	       0        1        1        0        0
62794 xfce4-dict-dbgsym                  	       0        1        1        0        0
62795 xfce4-diskperf-plugin-dbgsym       	       0        1        1        0        0
62796 xfce4-docklike                     	       0        1        1        0        0
62797 xfce4-docklike-plugin              	       0        1        0        1        0
62798 xfce4-embed-plugin                 	       0        1        0        0        1
62799 xfce4-equake-plugin                	       0        1        0        0        1
62800 xfce4-eyes-plugin                  	       0       37        6        0       31
62801 xfce4-eyes-plugin-dbgsym           	       0        1        1        0        0
62802 xfce4-fsguard-plugin-dbgsym        	       0        1        1        0        0
62803 xfce4-genmon-plugin                	       0     1653        9        1     1643
62804 xfce4-genmon-plugin-dbgsym         	       0        1        1        0        0
62805 xfce4-goodies                      	       0     1632        0        0     1632
62806 xfce4-hdaps                        	       0        1        0        0        1
62807 xfce4-helpers                      	       0     1693        0        0     1693
62808 xfce4-icon-theme                   	       0        1        1        0        0
62809 xfce4-indicator-plugin             	       0       47        4        0       43
62810 xfce4-indicator-plugin-dbgsym      	       0        1        1        0        0
62811 xfce4-mailwatch-plugin-dbgsym      	       0        1        1        0        0
62812 xfce4-mcs-manager                  	       0        2        2        0        0
62813 xfce4-messenger-plugin             	       0        3        0        0        3
62814 xfce4-minicmd-plugin               	       0        1        1        0        0
62815 xfce4-mixer-alsa                   	       0        1        1        0        0
62816 xfce4-mount-plugin                 	       0      112       12        0      100
62817 xfce4-mount-plugin-dbgsym          	       0        1        1        0        0
62818 xfce4-mpc-plugin                   	       0       27        1        0       26
62819 xfce4-mpc-plugin-dbgsym            	       0        1        1        0        0
62820 xfce4-multiload-ng-plugin          	       0        1        1        0        0
62821 xfce4-multiload-plugin             	       0        1        1        0        0
62822 xfce4-netload-plugin-dbgsym        	       0        1        1        0        0
62823 xfce4-notes-plugin                 	       0      139        1        0      138
62824 xfce4-notifyd-dbgsym               	       0        1        1        0        0
62825 xfce4-panel-build-deps             	       0        1        0        0        1
62826 xfce4-panel-dbgsym                 	       0        2        2        0        0
62827 xfce4-panel-dev                    	       0        1        1        0        0
62828 xfce4-panel-profiles               	       0       27       23        4        0
62829 xfce4-places-plugin-dbgsym         	       0        1        1        0        0
62830 xfce4-power-manager-dbgsym         	       0        1        1        0        0
62831 xfce4-power-manager-plugins-dbgsym 	       0        1        1        0        0
62832 xfce4-pulseaudio-plugin-dbgsym     	       0        1        1        0        0
62833 xfce4-quicklauncher-plugin         	       0       11        0        0       11
62834 xfce4-radio-plugin                 	       0        1        0        0        1
62835 xfce4-screenshooter-dbgsym         	       0        1        1        0        0
62836 xfce4-screenshooter-plugin         	       0        5        0        0        5
62837 xfce4-sensors-plugin-build-deps    	       0        1        0        0        1
62838 xfce4-sensors-plugin-dbgsym        	       0        2        2        0        0
62839 xfce4-session-build-deps           	       0        1        0        0        1
62840 xfce4-session-dbgsym               	       0       15       14        1        0
62841 xfce4-settings-build-deps          	       0        1        0        0        1
62842 xfce4-settings-dbgsym              	       0        2        2        0        0
62843 xfce4-shutdown-disable             	       0        1        0        0        1
62844 xfce4-smartbookmark-plugin         	       0     1654        3        0     1651
62845 xfce4-smartbookmark-plugin-dbgsym  	       0        1        1        0        0
62846 xfce4-sntray-plugin                	       0       29        0        0       29
62847 xfce4-sntray-plugin-common         	       0       39        0        0       39
62848 xfce4-sntray-plugin-dbgsym         	       0        1        1        0        0
62849 xfce4-statusnotifier-plugin        	       0       11        0        0       11
62850 xfce4-statusnotifier-plugin-dbgsym 	       0        1        1        0        0
62851 xfce4-systemload-plugin-dbgsym     	       0        1        1        0        0
62852 xfce4-taskmanager-dbgsym           	       0        1        1        0        0
62853 xfce4-terminal-build-deps          	       0        1        0        0        1
62854 xfce4-terminal-dbgsym              	       0        2        2        0        0
62855 xfce4-timer-plugin                 	       0     1656       20        0     1636
62856 xfce4-timer-plugin-dbgsym          	       0        1        1        0        0
62857 xfce4-verve-plugin-dbgsym          	       0        1        1        0        0
62858 xfce4-wavelan-plugin-dbgsym        	       0        1        1        0        0
62859 xfce4-wayland-session              	       0        1        0        0        1
62860 xfce4-weather-plugin-dbgsym        	       0        1        1        0        0
62861 xfce4-whiskermenu-plugin-dbgsym    	       0        1        1        0        0
62862 xfce4-windowck-plugin              	       0       15        2        0       13
62863 xfce4-xkb-plugin-dbgsym            	       0        1        1        0        0
62864 xfconf-build-deps                  	       0        1        0        0        1
62865 xfconf-dbgsym                      	       0        1        1        0        0
62866 xfconf-gsettings-backend           	       0        2        2        0        0
62867 xfdesktop4-build-deps              	       0        1        0        0        1
62868 xfdesktop4-dbgsym                  	       0        1        1        0        0
62869 xfe-i18n                           	       0       57        1        0       56
62870 xfe-themes                         	       0       80        0        0       80
62871 xfig                               	       0       80       79        1        0
62872 xfig-doc                           	       0       24        5        0       19
62873 xfig-libs                          	       0       76        0        0       76
62874 xfireworks                         	       0        8        8        0        0
62875 xfishtank                          	       0       20       20        0        0
62876 xfkc                               	       0        1        1        0        0
62877 xflip                              	       0        4        4        0        0
62878 xflr5-doc                          	       0        1        0        0        1
62879 xfm                                	       0        1        1        0        0
62880 xfmedia                            	       0        1        1        0        0
62881 xfoil                              	       0        4        4        0        0
62882 xfonts-100dpi-transcoded           	       0       33        0        0       33
62883 xfonts-75dpi-transcoded            	       0       25        0        0       25
62884 xfonts-a12k12                      	       0        8        0        0        8
62885 xfonts-artwiz                      	       0        1        0        0        1
62886 xfonts-ay                          	       0        1        0        0        1
62887 xfonts-ayu                         	       0        9        0        0        9
62888 xfonts-baekmuk                     	       0       14        0        0       14
62889 xfonts-base-transcoded             	       0        1        1        0        0
62890 xfonts-bitmap-mule                 	       0        6        0        0        6
62891 xfonts-biznet-100dpi               	       0       14        0        0       14
62892 xfonts-biznet-75dpi                	       0       11        0        0       11
62893 xfonts-biznet-base                 	       0       12        0        0       12
62894 xfonts-bolkhov-75dpi               	       0        8        1        0        7
62895 xfonts-bolkhov-cp1251-75dpi        	       0        8        0        0        8
62896 xfonts-bolkhov-cp1251-misc         	       0        9        0        0        9
62897 xfonts-bolkhov-isocyr-75dpi        	       0        7        0        0        7
62898 xfonts-bolkhov-isocyr-misc         	       0        8        0        0        8
62899 xfonts-bolkhov-koi8r-75dpi         	       0        9        0        0        9
62900 xfonts-bolkhov-koi8r-misc          	       0       10        0        0       10
62901 xfonts-bolkhov-koi8u-75dpi         	       0        7        0        0        7
62902 xfonts-bolkhov-koi8u-misc          	       0        8        0        0        8
62903 xfonts-bolkhov-misc                	       0        9        0        0        9
62904 xfonts-cmex-big5p                  	       0        2        0        0        2
62905 xfonts-cronyx-100dpi               	       0       10        1        0        9
62906 xfonts-cronyx-cp1251-100dpi        	       0        8        0        0        8
62907 xfonts-cronyx-cp1251-75dpi         	       0        7        0        0        7
62908 xfonts-cronyx-cp1251-misc          	       0        8        0        0        8
62909 xfonts-cronyx-isocyr-100dpi        	       0        8        0        0        8
62910 xfonts-cronyx-isocyr-75dpi         	       0        7        0        0        7
62911 xfonts-cronyx-isocyr-misc          	       0        8        0        0        8
62912 xfonts-cronyx-koi8r-100dpi         	       0       10        0        0       10
62913 xfonts-cronyx-koi8r-75dpi          	       0        9        0        0        9
62914 xfonts-cronyx-koi8r-misc           	       0       10        0        0       10
62915 xfonts-cronyx-koi8u-100dpi         	       0        8        0        0        8
62916 xfonts-cronyx-koi8u-75dpi          	       0        7        0        0        7
62917 xfonts-cronyx-koi8u-misc           	       0        8        0        0        8
62918 xfonts-cronyx-misc                 	       0        7        0        0        7
62919 xfonts-cyrillic                    	       0       27        0        0       27
62920 xfonts-dosemu                      	       0        1        0        0        1
62921 xfonts-efont-unicode               	       0       21        0        0       21
62922 xfonts-efont-unicode-ib            	       0       21        0        0       21
62923 xfonts-encodings                   	       0     3527        0        0     3527
62924 xfonts-intl-arabic                 	       0       10        0        0       10
62925 xfonts-intl-asian                  	       0       10        0        0       10
62926 xfonts-intl-chinese                	       0       14        0        0       14
62927 xfonts-intl-chinese-big            	       0       12        0        0       12
62928 xfonts-intl-european               	       0       21        0        0       21
62929 xfonts-intl-japanese               	       0       16        0        0       16
62930 xfonts-intl-japanese-big           	       0       15        0        0       15
62931 xfonts-intl-phonetic               	       0       19        0        0       19
62932 xfonts-jisx0213                    	       0        7        0        0        7
62933 xfonts-jmk                         	       0       12        0        0       12
62934 xfonts-kaname                      	       0        8        0        0        8
62935 xfonts-kapl                        	       0       10        0        0       10
62936 xfonts-kappa20                     	       0       10        0        0       10
62937 xfonts-knickers                    	       0        2        0        0        2
62938 xfonts-konsole                     	       0        1        1        0        0
62939 xfonts-libdockapp                  	       0        8        0        0        8
62940 xfonts-marumoji                    	       0        9        0        0        9
62941 xfonts-mathml                      	       0       12        0        0       12
62942 xfonts-mona                        	       0       12        0        0       12
62943 xfonts-mplus                       	       0       14        0        0       14
62944 xfonts-naga10                      	       0        5        0        0        5
62945 xfonts-nexus                       	       0       11        0        0       11
62946 xfonts-shinonome                   	       0       11        0        0       11
62947 xfonts-terminus-dos                	       0       46        0        0       46
62948 xfonts-terminus-oblique            	       0       63        0        0       63
62949 xfonts-thai                        	       0       10        0        0       10
62950 xfonts-thai-etl                    	       0       10        0        0       10
62951 xfonts-thai-manop                  	       0       10        0        0       10
62952 xfonts-thai-nectec                 	       0       10        0        0       10
62953 xfonts-thai-poonlap                	       0        9        0        0        9
62954 xfonts-thai-vor                    	       0       10        0        0       10
62955 xfonts-tipa                        	       0       17        0        0       17
62956 xfonts-traditional                 	       0       21       21        0        0
62957 xfonts-unifont                     	       0      118        1        0      117
62958 xfonts-wqy                         	       0        9        0        0        9
62959 xfonts-x3270-misc                  	       0       21        0        0       21
62960 xfprint4                           	       0        3        3        0        0
62961 xfractint                          	       0       10       10        0        0
62962 xfrisk                             	       0        6        6        0        0
62963 xfslibs-dev                        	       0       10       10        0        0
62964 xfwm4-build-deps                   	       0        1        0        0        1
62965 xfwm4-dbgsym                       	       0        1        1        0        0
62966 xfwm4-theme-breeze                 	       0      273        0        0      273
62967 xfwm4-themes                       	       0       25        0        0       25
62968 xgalaga                            	       0       15       15        0        0
62969 xgalaga++                          	       0        9        9        0        0
62970 xgammon                            	       0        8        8        0        0
62971 xgnokii                            	       0        7        7        0        0
62972 xgraph                             	       0        1        1        0        0
62973 xgridfit                           	       0        5        5        0        0
62974 xgterm                             	       0        3        3        0        0
62975 xhtml2ps                           	       0       10       10        0        0
62976 xicc                               	       0        1        1        0        0
62977 xidel                              	       0        1        1        0        0
62978 xidle                              	       0        4        4        0        0
62979 ximtool                            	       0        1        1        0        0
62980 xindy                              	       0       18       16        2        0
62981 xindy-rules                        	       0       20        0        0       20
62982 xine-console                       	       0       14       14        0        0
62983 xine-plugin                        	       0        1        1        0        0
62984 xine-ui                            	       0       58       57        1        0
62985 xineliboutput-sxfe                 	       0        2        2        0        0
62986 xininfo                            	       0        4        4        0        0
62987 xinput-calibrator                  	       0       15       13        2        0
62988 xinv3d                             	       0        5        5        0        0
62989 xiphos                             	       0       15       14        1        0
62990 xiphos-data                        	       0       15        0        0       15
62991 xipmsg                             	       0        1        1        0        0
62992 xiterm+thai                        	       0        2        2        0        0
62993 xjdic                              	       0        2        2        0        0
62994 xjed                               	       0       16       15        1        0
62995 xjig                               	       0        8        8        0        0
62996 xjokes                             	       0        1        1        0        0
62997 xjump                              	       0        3        3        0        0
62998 xkb-switch                         	       0        1        1        0        0
62999 xkbind                             	       0        4        2        2        0
63000 xkblayout-state                    	       0        1        1        0        0
63001 xkbsel                             	       0        3        3        0        0
63002 xlassie                            	       0        2        2        0        0
63003 xlax                               	       0        1        1        0        0
63004 xlennart                           	       0        7        7        0        0
63005 xless                              	       0       13       13        0        0
63006 xletters                           	       0        4        4        0        0
63007 xlhtml                             	       0        3        3        0        0
63008 xli                                	       0       47       46        1        0
63009 xlib6g                             	       0        1        0        0        1
63010 xlibmesa-gl                        	       0        2        0        0        2
63011 xlibs                              	       0        1        0        0        1
63012 xlibs-data                         	       0        2        0        0        2
63013 xlockmore                          	       0        1        1        0        0
63014 xlog                               	       0       11       11        0        0
63015 xlog-data                          	       0       11        0        0       11
63016 xlsx2csv                           	       0        6        6        0        0
63017 xlunzip                            	       0        5        5        0        0
63018 xmabacus                           	       0        7        7        0        0
63019 xmacro                             	       0        7        6        1        0
63020 xmahjongg                          	       0       33       32        1        0
63021 xmake                              	       0        1        1        0        0
63022 xmake-data                         	       0        1        1        0        0
63023 xmakemol                           	       0        1        1        0        0
63024 xmakemol-gl                        	       0        2        2        0        0
63025 xmame-extras                       	       0        1        0        0        1
63026 xmame-sdl                          	       0        1        0        0        1
63027 xmame-tools                        	       0        1        0        0        1
63028 xmame-x                            	       0        1        0        0        1
63029 xmbmon                             	       0        7        7        0        0
63030 xmcd                               	       0        1        1        0        0
63031 xmedcon                            	       0        7        7        0        0
63032 xmem                               	       0        1        1        0        0
63033 xmhtml1                            	       0        1        0        0        1
63034 xmind-vana                         	       0        7        7        0        0
63035 xmix                               	       0        1        1        0        0
63036 xml-security-c-utils               	       0        1        1        0        0
63037 xml-twig-tools                     	       0        8        8        0        0
63038 xml2                               	       0       30       30        0        0
63039 xml2rfc                            	       0        3        3        0        0
63040 xmlcopyeditor                      	       0       11       11        0        0
63041 xmldiff                            	       0        6        6        0        0
63042 xmlformat-doc                      	       0        2        0        0        2
63043 xmlformat-perl                     	       0        2        2        0        0
63044 xmlformat-ruby                     	       0        2        2        0        0
63045 xmlindent                          	       0        5        5        0        0
63046 xmlrpc-api-utils                   	       0        2        2        0        0
63047 xmlsec1                            	       0        8        8        0        0
63048 xmlto                              	       0       99       97        2        0
63049 xmlto-dbgsym                       	       0        1        1        0        0
63050 xmltoman                           	       0        5        5        0        0
63051 xmltreenav                         	       0        1        1        0        0
63052 xmltv                              	       0        3        0        0        3
63053 xmltv-gui                          	       0        3        3        0        0
63054 xmms                               	       0        3        3        0        0
63055 xmms-xmmplayer                     	       0        1        1        0        0
63056 xmms2                              	       0       28        0        0       28
63057 xmms2-client-avahi                 	       0        3        3        0        0
63058 xmms2-client-cli                   	       0       30       30        0        0
63059 xmms2-client-medialib-updater      	       0        4        4        0        0
63060 xmms2-client-nycli                 	       0        9        9        0        0
63061 xmms2-dev                          	       0        3        3        0        0
63062 xmms2-icon                         	       0       29        0        0       29
63063 xmms2-plugin-airplay               	       0        8        8        0        0
63064 xmms2-plugin-all                   	       0        4        0        0        4
63065 xmms2-plugin-ao                    	       0        9        9        0        0
63066 xmms2-plugin-apefile               	       0        8        8        0        0
63067 xmms2-plugin-asx                   	       0        9        9        0        0
63068 xmms2-plugin-avcodec               	       0        9        9        0        0
63069 xmms2-plugin-cdda                  	       0        9        9        0        0
63070 xmms2-plugin-cue                   	       0        9        9        0        0
63071 xmms2-plugin-gme                   	       0       10       10        0        0
63072 xmms2-plugin-gvfs                  	       0        6        6        0        0
63073 xmms2-plugin-html                  	       0       11       11        0        0
63074 xmms2-plugin-karaoke               	       0        8        8        0        0
63075 xmms2-plugin-mpg123                	       0       12       12        0        0
63076 xmms2-plugin-musepack              	       0        9        9        0        0
63077 xmms2-plugin-normalize             	       0        9        9        0        0
63078 xmms2-plugin-ofa                   	       0        7        7        0        0
63079 xmms2-plugin-oss                   	       0       10       10        0        0
63080 xmms2-plugin-pulse                 	       0       14       14        0        0
63081 xmms2-plugin-rss                   	       0        9        9        0        0
63082 xmms2-plugin-smb                   	       0        6        6        0        0
63083 xmms2-plugin-sndfile               	       0        4        4        0        0
63084 xmms2-plugin-speex                 	       0        9        9        0        0
63085 xmms2-plugin-tta                   	       0        8        8        0        0
63086 xmms2-plugin-vocoder               	       0        9        9        0        0
63087 xmms2-plugin-wavpack               	       0        9        9        0        0
63088 xmms2-plugin-xml                   	       0       10       10        0        0
63089 xmms2-plugin-xspf                  	       0        8        8        0        0
63090 xmms2-scrobbler                    	       0        1        1        0        0
63091 xmms2tray                          	       0        3        3        0        0
63092 xmonad                             	       0       22       21        1        0
63093 xmorph                             	       0        3        3        0        0
63094 xmotd                              	       0        2        2        0        0
63095 xmoto-data                         	       0       13        0        0       13
63096 xmountains                         	       0       13       13        0        0
63097 xmp-audacious                      	       0        1        0        0        1
63098 xmp-common                         	       0        2        0        0        2
63099 xmpi                               	       0        1        1        0        0
63100 xmpp-dns                           	       0        1        1        0        0
63101 xmppc                              	       0        3        3        0        0
63102 xmpsolve                           	       0        1        1        0        0
63103 xmpuzzles                          	       0        9        9        0        0
63104 xmrig                              	       0        1        1        0        0
63105 xnbd-common                        	       0        1        1        0        0
63106 xnbd-server                        	       0        1        1        0        0
63107 xnc                                	       0        1        1        0        0
63108 xnconvert                          	       0        9        9        0        0
63109 xnec2c                             	       0       12       12        0        0
63110 xnecview                           	       0        1        1        0        0
63111 xnedit                             	       0        1        1        0        0
63112 xnee                               	       0        7        0        0        7
63113 xnee-doc                           	       0        5        0        0        5
63114 xnest                              	       0       49       49        0        0
63115 xnetcardconfig                     	       0        1        1        0        0
63116 xneur                              	       0        1        1        0        0
63117 xnview                             	       0       13       13        0        0
63118 xoids                              	       0        1        1        0        0
63119 xombrero                           	       0        4        4        0        0
63120 xonix                              	       0        5        5        0        0
63121 xonix-jahu                         	       0        1        1        0        0
63122 xonsh                              	       0        4        4        0        0
63123 xorg                               	       0     2977        0        0     2977
63124 xorg-dev                           	       0       52        0        0       52
63125 xorg-docs                          	       0       31        0        0       31
63126 xorg-docs-core                     	       0     3043        0        0     3043
63127 xorg-edit                          	       0        1        1        0        0
63128 xorg-server-source                 	       0        6        0        0        6
63129 xorg-sgml-doctools                 	       0     1192        0        0     1192
63130 xorg-video-abi-24                  	       0        1        0        0        1
63131 xorriso-dd-target                  	       0        1        1        0        0
63132 xorriso-tcltk                      	       0        9        8        1        0
63133 xoscope                            	       0       21       21        0        0
63134 xosd-bin                           	       0       16       16        0        0
63135 xotcl                              	       0        2        2        0        0
63136 xotcl-dev                          	       0        2        2        0        0
63137 xotcl-doc                          	       0        1        0        0        1
63138 xotcl-shells                       	       0        2        2        0        0
63139 xournal-build-deps                 	       0        1        0        0        1
63140 xournal-dbgsym                     	       0        1        1        0        0
63141 xournalpp                          	       0       39       39        0        0
63142 xpa-tools                          	       0        3        3        0        0
63143 xpaint                             	       0       53       52        1        0
63144 xpenguins                          	       0       11       10        1        0
63145 xpilot-ng                          	       0        1        0        0        1
63146 xpilot-ng-client-sdl               	       0        1        1        0        0
63147 xpilot-ng-client-x11               	       0        1        1        0        0
63148 xpilot-ng-common                   	       0        1        1        0        0
63149 xpilot-ng-server                   	       0        3        3        0        0
63150 xpilot-ng-utils                    	       0        1        1        0        0
63151 xplanet-images                     	       0       59        0        0       59
63152 xplot                              	       0        2        2        0        0
63153 xpm2wico                           	       0        1        1        0        0
63154 xpmutils                           	       0        6        6        0        0
63155 xpn                                	       0        2        2        0        0
63156 xpng                               	       0        1        1        0        0
63157 xpp                                	       0        8        8        0        0
63158 xpra-html5                         	       0        1        1        0        0
63159 xprint-common                      	       0        2        0        0        2
63160 xprint-utils                       	       0        3        3        0        0
63161 xprobe                             	       0        7        7        0        0
63162 xpuyopuyo                          	       0        1        1        0        0
63163 xpuzzles                           	       0        5        5        0        0
63164 xpython                            	       0        1        1        0        0
63165 xq                                 	       0        5        5        0        0
63166 xqf                                	       0        1        1        0        0
63167 xracer                             	       0        6        5        1        0
63168 xracer-tools                       	       0        1        1        0        0
63169 xray                               	       0        1        1        0        0
63170 xrdesktop                          	       0        1        1        0        0
63171 xrdp-build-deps                    	       0        1        0        0        1
63172 xresprobe                          	       0        6        6        0        0
63173 xrestop                            	       0       18       18        0        0
63174 xrick                              	       0        1        1        0        0
63175 xrick-data                         	       0        1        0        0        1
63176 xrootd-client-plugins              	       0        2        0        0        2
63177 xrootd-fuse                        	       0        1        1        0        0
63178 xrootd-plugins                     	       0        2        0        0        2
63179 xrootd-server-plugins              	       0        1        0        0        1
63180 xrsh                               	       0        1        1        0        0
63181 xsane-common                       	       0     1874        1        0     1873
63182 xsane-dbg                          	       0        1        1        0        0
63183 xscavenger                         	       0        2        2        0        0
63184 xschem                             	       0        4        4        0        0
63185 xscope                             	       0        1        1        0        0
63186 xscreensaver-screensaver-bsod      	       0       61        5        0       56
63187 xscreensaver-screensaver-dizzy     	       0       38       38        0        0
63188 xscreensaver-screensaver-webcollage	       0       39       38        1        0
63189 xsdcxx                             	       0        2        2        0        0
63190 xsddiagram                         	       0        2        2        0        0
63191 xserver-x2gokdrive                 	       0        7        7        0        0
63192 xserver-xorg-core-dbgsym           	       0        2        2        0        0
63193 xserver-xorg-input-aiptek          	       0        3        3        0        0
63194 xserver-xorg-input-all             	       0     3147        0        0     3147
63195 xserver-xorg-input-elographics     	       0        2        2        0        0
63196 xserver-xorg-input-evdev-dev       	       0        6        6        0        0
63197 xserver-xorg-input-joystick-dev    	       0        1        1        0        0
63198 xserver-xorg-input-libinput-dev    	       0        8        8        0        0
63199 xserver-xorg-input-mtrack          	       0        5        5        0        0
63200 xserver-xorg-input-mutouch         	       0        3        3        0        0
63201 xserver-xorg-input-synaptics-dev   	       0        7        7        0        0
63202 xserver-xorg-input-void            	       0       32       32        0        0
63203 xserver-xorg-input-xwiimote        	       0        3        3        0        0
63204 xserver-xorg-legacy-dbgsym         	       0        1        1        0        0
63205 xserver-xorg-video-all             	       0     3072        0        0     3072
63206 xserver-xorg-video-dummy           	       0      120      116        4        0
63207 xserver-xorg-video-glide           	       0        2        2        0        0
63208 xserver-xorg-video-intel-git20180925-2-amd64	       0        1        1        0        0
63209 xserver-xorg-video-ivtv            	       0        1        1        0        0
63210 xserver-xorg-video-nvidia-tesla    	       0        1        1        0        0
63211 xserver-xorg-video-nvidia-tesla-450	       0        2        2        0        0
63212 xserver-xorg-video-via             	       0        1        0        0        1
63213 xserver-xspice                     	       0        4        4        0        0
63214 xseticon                           	       0        5        5        0        0
63215 xsettings-kde                      	       0       21       21        0        0
63216 xshisen                            	       0       10       10        0        0
63217 xshogi                             	       0        8        8        0        0
63218 xsnow                              	       0       29       28        1        0
63219 xsok                               	       0       14       14        0        0
63220 xspecs                             	       0        2        0        0        2
63221 xssproxy                           	       0        2        2        0        0
63222 xstarfish                          	       0        4        4        0        0
63223 xsteg                              	       0        1        1        0        0
63224 xstow                              	       0        8        7        1        0
63225 xsupplicant                        	       0        1        1        0        0
63226 xsynth-dssi                        	       0        9        9        0        0
63227 xsysinfo                           	       0        5        5        0        0
63228 xtables-addons-common              	       0        9        9        0        0
63229 xtables-addons-dkms                	       0        9        9        0        0
63230 xtail                              	       0        9        9        0        0
63231 xteddy                             	       0       10       10        0        0
63232 xtensor-dev                        	       0        1        0        0        1
63233 xtermcontrol                       	       0       17       16        1        0
63234 xtermset                           	       0       17       17        0        0
63235 xtide                              	       0        1        1        0        0
63236 xtide-coastline                    	       0        2        0        0        2
63237 xtide-data                         	       0        1        0        0        1
63238 xtitle                             	       0        3        3        0        0
63239 xtl-dev                            	       0       14        0        0       14
63240 xtokkaetama                        	       0        1        1        0        0
63241 xtomp-cat                          	       0        2        2        0        0
63242 xtrace                             	       0        9        9        0        0
63243 xtrkcad                            	       0        5        5        0        0
63244 xtrkcad-common                     	       0        5        0        0        5
63245 xtron                              	       0        4        3        1        0
63246 xtrs                               	       0        6        6        0        0
63247 xtrx-fft                           	       0        1        1        0        0
63248 xttitle                            	       0       11       10        1        0
63249 xtux-client                        	       0        1        1        0        0
63250 xtux-common                        	       0        1        0        0        1
63251 xtux-levels                        	       0        1        0        0        1
63252 xtux-server                        	       0        1        1        0        0
63253 xtv                                	       0        5        5        0        0
63254 xu4                                	       0        1        1        0        0
63255 xubuntu-icon-theme                 	       0        1        0        0        1
63256 xul-ext-adblock-plus               	       0        5        5        0        0
63257 xul-ext-adblock-plus-element-hiding-helper	       0        1        0        0        1
63258 xul-ext-autofill-forms             	       0        1        0        0        1
63259 xul-ext-certificatepatrol          	       0        2        0        0        2
63260 xul-ext-cookie-monster             	       0        2        0        0        2
63261 xul-ext-cookieculler               	       0        2        0        0        2
63262 xul-ext-dom-inspector              	       0        1        0        0        1
63263 xul-ext-downloadstatusbar          	       0        1        0        0        1
63264 xul-ext-downthemall                	       0        4        0        0        4
63265 xul-ext-flashblock                 	       0        1        0        0        1
63266 xul-ext-flashgot                   	       0        1        0        0        1
63267 xul-ext-gnome-keyring              	       0        3        0        0        3
63268 xul-ext-greasemonkey               	       0        1        0        0        1
63269 xul-ext-historyblock               	       0        1        0        0        1
63270 xul-ext-iceweasel-branding         	       0        1        0        0        1
63271 xul-ext-livehttpheaders            	       0        1        0        0        1
63272 xul-ext-lyz                        	       0        1        0        0        1
63273 xul-ext-noscript                   	       0        3        0        0        3
63274 xul-ext-notify                     	       0        1        0        0        1
63275 xul-ext-scrapbook                  	       0        1        0        0        1
63276 xul-ext-sogo-connector             	       0        4        0        0        4
63277 xul-ext-sync                       	       0        1        1        0        0
63278 xul-ext-tabmixplus                 	       0        2        0        0        2
63279 xul-ext-torbirdy                   	       0        1        0        0        1
63280 xul-ext-ublock-origin              	       0        5        0        0        5
63281 xul-ext-useragentswitcher          	       0        2        0        0        2
63282 xul-ext-video-without-flash        	       0        2        0        0        2
63283 xul-ext-wot                        	       0        1        0        0        1
63284 xulrunner-1.9.1                    	       0        1        1        0        0
63285 xulrunner-17.0                     	       0        4        4        0        0
63286 xulrunner-24.0                     	       0        7        7        0        0
63287 xulrunner-26.0                     	       0        1        1        0        0
63288 xulrunner-29                       	       0        1        1        0        0
63289 xulrunner-gnome-support            	       0        1        1        0        0
63290 xutils                             	       0       47        0        0       47
63291 xv                                 	       0        1        1        0        0
63292 xvattr                             	       0        1        1        0        0
63293 xvid4conf                          	       0        5        5        0        0
63294 xvidenc                            	       0        2        1        0        1
63295 xvier                              	       0        4        4        0        0
63296 xview-clients                      	       0        2        2        0        0
63297 xview-examples                     	       0        2        2        0        0
63298 xviewer-build-deps                 	       0        1        0        0        1
63299 xviewg                             	       0        2        2        0        0
63300 xviewg-dev                         	       0        1        1        0        0
63301 xvile                              	       0        2        2        0        0
63302 xvnc4viewer                        	       0       28        1        0       27
63303 xvncviewer                         	       0        2        2        0        0
63304 xvt                                	       0        2        2        0        0
63305 xwelltris                          	       0       13       13        0        0
63306 xwiimote                           	       0        2        2        0        0
63307 xwinwrap                           	       0        1        1        0        0
63308 xwit                               	       0        6        6        0        0
63309 xword                              	       0        2        2        0        0
63310 xwpe                               	       0        6        6        0        0
63311 xwrits                             	       0        1        1        0        0
63312 xxdiff                             	       0       50       50        0        0
63313 xxdiff-scripts                     	       0        5        5        0        0
63314 xxgdb                              	       0       12       12        0        0
63315 xxhash                             	       0        9        9        0        0
63316 xxxterm                            	       0        1        0        0        1
63317 xye                                	       0        8        8        0        0
63318 xye-data                           	       0        8        0        0        8
63319 xygrib-maps                        	       0        8        0        0        8
63320 xyscan                             	       0        5        5        0        0
63321 xzoom                              	       0       19       19        0        0
63322 y-ppa-manager                      	       0        1        1        0        0
63323 yabar                              	       0        4        4        0        0
63324 yabasic                            	       0        6        6        0        0
63325 yabause                            	       0        6        0        0        6
63326 yabause-common                     	       0        7        0        0        7
63327 yabause-gtk                        	       0        1        1        0        0
63328 yabause-qt                         	       0        7        6        1        0
63329 yacas                              	       0        3        3        0        0
63330 yacas-doc                          	       0        3        0        0        3
63331 yacpi                              	       0        9        9        0        0
63332 yacreader                          	       0        1        1        0        0
63333 yadicons                           	       0        6        0        0        6
63334 yadm                               	       0        4        4        0        0
63335 yafaray                            	       0        1        1        0        0
63336 yafc                               	       0        3        3        0        0
63337 yagf                               	       0       15       15        0        0
63338 yagv                               	       0        4        4        0        0
63339 yahtzeesharp                       	       0        1        1        0        0
63340 yajl-tools                         	       0        2        2        0        0
63341 yakuake-trinity                    	       0        3        3        0        0
63342 yakyak                             	       0        1        1        0        0
63343 yale                               	       0        4        0        0        4
63344 yambar                             	       0        1        1        0        0
63345 yaml-cpp-sourcedep                 	       0        1        1        0        0
63346 yamllint                           	       0       15       15        0        0
63347 yandex-disk                        	       0        3        3        0        0
63348 yang-tools                         	       0        1        0        0        1
63349 yangdump                           	       0        1        1        0        0
63350 yank                               	       0        2        2        0        0
63351 yap                                	       0        3        3        0        0
63352 yapf3                              	       0       27       26        1        0
63353 yapps2                             	       0        3        3        0        0
63354 yapps2-runtime                     	       0        1        1        0        0
63355 yard                               	       0        3        3        0        0
63356 yard-doc                           	       0        1        0        0        1
63357 yaret                              	       0        2        2        0        0
63358 yarn                               	       0       11       11        0        0
63359 yaru-cinnamon-theme-gtk            	       0        2        0        0        2
63360 yaru-cinnamon-theme-icon           	       0        2        0        0        2
63361 yaru-theme-gnome-shell             	       0        1        0        0        1
63362 yaru-theme-gtk                     	       0       13        6        0        7
63363 yaru-theme-icon                    	       0       10        0        0       10
63364 yaru-theme-sound                   	       0        7        0        0        7
63365 yaru-theme-unity                   	       0        2        0        0        2
63366 yarxi                              	       0        1        1        0        0
63367 yasat                              	       0        4        4        0        0
63368 yash                               	       0        7        7        0        0
63369 yasnippet                          	       0        2        0        0        2
63370 yasnippet-snippets                 	       0        1        0        0        1
63371 yasw                               	       0        2        2        0        0
63372 yatex                              	       0        2        2        0        0
63373 yatm                               	       0        2        2        0        0
63374 yauap                              	       0        1        1        0        0
63375 yavta                              	       0        3        3        0        0
63376 yaws-doc                           	       0        3        0        0        3
63377 yaz                                	       0        9        9        0        0
63378 yazc                               	       0        1        1        0        0
63379 yaze                               	       0        1        0        1        0
63380 ydotool                            	       0        7        7        0        0
63381 ydotoold                           	       0        1        1        0        0
63382 yeahconsole                        	       0        1        1        0        0
63383 yealink-module-6.1.0-0.deb11.17-amd64	       0        1        0        0        1
63384 yealink-module-6.1.0-0.deb11.21-amd64	       0        1        0        0        1
63385 yelp-tools                         	       0       15       15        0        0
63386 yelp-tools-build-deps              	       0        1        0        0        1
63387 yelp-xsl                           	       0     1678        0        0     1678
63388 yencode                            	       0        1        1        0        0
63389 yersinia                           	       0        2        2        0        0
63390 yforth                             	       0        2        2        0        0
63391 yget                               	       0        1        1        0        0
63392 yggdrasil                          	       0        3        3        0        0
63393 ygraph                             	       0        1        1        0        0
63394 yhsm-tools                         	       0        1        1        0        0
63395 yi                                 	       0        1        1        0        0
63396 ykcs11                             	       0        7        0        0        7
63397 ykls                               	       0        6        6        0        0
63398 ykneomgr                           	       0        1        1        0        0
63399 ymuse                              	       0        2        2        0        0
63400 ynew                               	       0        1        1        0        0
63401 yodl                               	       0        3        3        0        0
63402 yodl-doc                           	       0        1        0        0        1
63403 yogabook-support                   	       0        1        1        0        0
63404 yokadi                             	       0        2        2        0        0
63405 yorick                             	       0        5        5        0        0
63406 yorick-av                          	       0        3        3        0        0
63407 yorick-cubeview                    	       0        2        2        0        0
63408 yorick-curses                      	       0        4        4        0        0
63409 yorick-data                        	       0        5        0        0        5
63410 yorick-dev                         	       0        2        2        0        0
63411 yorick-doc                         	       0        2        2        0        0
63412 yorick-full                        	       0        2        0        0        2
63413 yorick-gl                          	       0        3        3        0        0
63414 yorick-gy                          	       0        3        3        0        0
63415 yorick-gyoto                       	       0        2        2        0        0
63416 yorick-hdf5                        	       0        2        2        0        0
63417 yorick-imutil                      	       0        4        4        0        0
63418 yorick-mira                        	       0        3        3        0        0
63419 yorick-ml4                         	       0        3        3        0        0
63420 yorick-mpeg                        	       0        3        3        0        0
63421 yorick-optimpack                   	       0        4        4        0        0
63422 yorick-soy                         	       0        3        3        0        0
63423 yorick-svipc                       	       0        2        2        0        0
63424 yorick-yeti                        	       0        5        5        0        0
63425 yorick-yeti-fftw                   	       0        4        4        0        0
63426 yorick-yeti-regex                  	       0        3        3        0        0
63427 yorick-yeti-tiff                   	       0        4        4        0        0
63428 yorick-ygsl                        	       0        3        3        0        0
63429 yorick-ynfft                       	       0        3        3        0        0
63430 yorick-yutils                      	       0        5        0        0        5
63431 yorick-z                           	       0        5        5        0        0
63432 yoshimi-data                       	       0       16        0        0       16
63433 yoshimi-doc                        	       0       15        0        0       15
63434 yosys                              	       0       11       11        0        0
63435 yosys-abc                          	       0        2        2        0        0
63436 yosys-plugin-ghdl                  	       0        1        1        0        0
63437 youtube-dlg                        	       0        2        2        0        0
63438 youtube-downloader                 	       0        2        0        0        2
63439 youtube-to-mp3                     	       0        9        0        0        9
63440 youtube-viewer                     	       0        2        0        2        0
63441 yp-tools                           	       0        9        9        0        0
63442 yq                                 	       0        7        7        0        0
63443 ytalk                              	       0       10       10        0        0
63444 ytcc                               	       0        3        2        1        0
63445 ytfzf                              	       0       11       10        1        0
63446 ytnef                              	       0        1        1        0        0
63447 ytnef-tools                        	       0        9        9        0        0
63448 yubihsm-auth                       	       0        3        3        0        0
63449 yubihsm-pkcs11                     	       0        2        0        0        2
63450 yubihsm-shell                      	       0        3        3        0        0
63451 yubihsm-wrap                       	       0        3        3        0        0
63452 yubikey-agent                      	       0        4        4        0        0
63453 yubikey-luks                       	       0        3        3        0        0
63454 yubikey-manager                    	       0       27       27        0        0
63455 yubikey-manager-qt                 	       0       13       13        0        0
63456 yubikey-personalization            	       0       25       25        0        0
63457 yubikey-personalization-gui        	       0       11       11        0        0
63458 yudit                              	       0       14       13        1        0
63459 yudit-common                       	       0      305        0        0      305
63460 yudit-doc                          	       0        7        0        0        7
63461 yui-compressor                     	       0        4        4        0        0
63462 yum                                	       0        1        1        0        0
63463 yuview                             	       0        2        2        0        0
63464 yydecode                           	       0        2        2        0        0
63465 z-library                          	       0        1        0        0        1
63466 z-push-config-apache               	       0        1        0        0        1
63467 z-push-kopano                      	       0        1        0        0        1
63468 z3                                 	       0       10       10        0        0
63469 z80dasm                            	       0        9        8        1        0
63470 z88                                	       0        2        2        0        0
63471 z88-data                           	       0        2        0        0        2
63472 z88-doc                            	       0        2        0        0        2
63473 zabbix-agent2-plugin-ember-plus    	       0        1        1        0        0
63474 zabbix-agent2-plugin-mongodb       	       0        2        2        0        0
63475 zabbix-agent2-plugin-mssql         	       0        1        1        0        0
63476 zabbix-agent2-plugin-postgresql    	       0        1        1        0        0
63477 zabbix-apache-conf                 	       0        3        0        0        3
63478 zabbix-frontend-php                	       0        8        8        0        0
63479 zabbix-get                         	       0        2        2        0        0
63480 zabbix-nginx-conf                  	       0        1        0        0        1
63481 zabbix-proxy-mysql                 	       0        1        1        0        0
63482 zabbix-proxy-sqlite3               	       0        1        1        0        0
63483 zabbix-release                     	       0       28        0        0       28
63484 zabbix-sender                      	       0        2        2        0        0
63485 zabbix-server-mysql                	       0        4        4        0        0
63486 zabbix-sql-scripts                 	       0        3        0        0        3
63487 zandronum                          	       0        3        0        0        3
63488 zandronum-client                   	       0        3        3        0        0
63489 zandronum-pk3                      	       0        3        3        0        0
63490 zandronum-server                   	       0        3        3        0        0
63491 zandronum-ssl                      	       0        2        2        0        0
63492 zangband                           	       0        5        5        0        0
63493 zangband-data                      	       0        5        5        0        0
63494 zanshin                            	       0        1        1        0        0
63495 zapping                            	       0        2        2        0        0
63496 zarchive-tools                     	       0        3        3        0        0
63497 zatacka                            	       0        3        3        0        0
63498 zathura-dev                        	       0        1        1        0        0
63499 zaubberer-archive-keyring          	       0        1        0        0        1
63500 zaubberer-keyring                  	       0        1        0        0        1
63501 zaz                                	       0        9        9        0        0
63502 zaz-data                           	       0        9        0        0        9
63503 zbackup                            	       0        3        3        0        0
63504 zbarcam-gtk                        	       0        9        9        0        0
63505 zbarcam-qt                         	       0        5        5        0        0
63506 zcfan                              	       0        6        6        0        0
63507 zchunk                             	       0        2        2        0        0
63508 zd1211-firmware                    	       0        2        1        0        1
63509 zdbsp                              	       0        1        1        0        0
63510 zdkimfilter                        	       0        1        1        0        0
63511 zdoom                              	       0        1        1        0        0
63512 zeal                               	       0        6        6        0        0
63513 zebra-scanner-corescanner          	       0        1        1        0        0
63514 zebra-scanner-corescanner-dbg      	       0        1        1        0        0
63515 zebra-scanner-devel                	       0        1        1        0        0
63516 zebra-scanner-javapos              	       0        1        1        0        0
63517 zec                                	       0        3        3        0        0
63518 zecwallet                          	       0        1        1        0        0
63519 zecwallet-lite                     	       0        1        0        0        1
63520 zegrapher                          	       0        7        6        1        0
63521 zeitgeist                          	       0       16        0        0       16
63522 zelcore                            	       0        1        0        0        1
63523 zen                                	       0        1        1        0        0
63524 zencash-desktop-gui-wallet         	       0        1        1        0        0
63525 zendframework                      	       0        2        2        0        0
63526 zenity-common                      	       0     1513        0        0     1513
63527 zenkit                             	       0        1        0        0        1
63528 zenlisp                            	       0        2        2        0        0
63529 zenmap                             	       0       20       20        0        0
63530 zenpower-dkms                      	       0        1        0        0        1
63531 zephyr-clients                     	       0        2        2        0        0
63532 zephyr-server                      	       0        1        1        0        0
63533 zeroc-ice-slice                    	       0        3        0        0        3
63534 zeroconf                           	       0        1        1        0        0
63535 zerotier-one                       	       0        8        8        0        0
63536 zettlr                             	       0        4        3        0        1
63537 zfs                                	       0        1        1        0        0
63538 zfs-auto-snapshot                  	       0        7        6        1        0
63539 zfs-initramfs                      	       0       17        0        0       17
63540 zfs-test                           	       0        2        2        0        0
63541 zfsonlinux                         	       0        1        0        0        1
63542 zftp                               	       0        1        1        0        0
63543 zgen                               	       0        6        0        0        6
63544 zgv                                	       0        1        1        0        0
63545 zh-autoconvert                     	       0        1        1        0        0
63546 zhcon                              	       0       18       18        0        0
63547 zhcon-data                         	       0       18        0        0       18
63548 zhumu                              	       0        1        1        0        0
63549 zim-tools                          	       0       10       10        0        0
63550 zinnia-utils                       	       0        1        1        0        0
63551 zint                               	       0        6        6        0        0
63552 zint-qt                            	       0        7        7        0        0
63553 ziptime                            	       0        1        1        0        0
63554 zita-ajbridge                      	       0       11       11        0        0
63555 zita-alsa-pcmi-utils               	       0        9        9        0        0
63556 zita-at1                           	       0        6        6        0        0
63557 zita-bls1                          	       0        9        9        0        0
63558 zita-dc1                           	       0        4        4        0        0
63559 zita-dpl1                          	       0        1        1        0        0
63560 zita-lrx                           	       0        8        8        0        0
63561 zita-mu1                           	       0        9        9        0        0
63562 zita-njbridge                      	       0        9        9        0        0
63563 zita-resampler                     	       0        8        8        0        0
63564 zita-rev1                          	       0        5        5        0        0
63565 zivot                              	       0        4        4        0        0
63566 zlib-bin                           	       0        3        3        0        0
63567 zlibc                              	       0       12        0        0       12
63568 zmakebas                           	       0        2        2        0        0
63569 zmf2epub                           	       0        1        1        0        0
63570 zmk                                	       0        3        0        0        3
63571 zmk-doc                            	       0        1        1        0        0
63572 zmusic-build-deps                  	       0        1        0        0        1
63573 zoiper5                            	       0        1        1        0        0
63574 zombietrackergps                   	       0        1        1        0        0
63575 zonecheck                          	       0        4        4        0        0
63576 zonecheck-cgi                      	       0        2        2        0        0
63577 zoneminder-dbg                     	       0        1        1        0        0
63578 zoneminder-doc                     	       0        9        0        0        9
63579 zookeeper                          	       0        3        3        0        0
63580 zoomvdi-universal-plugin           	       0        1        1        0        0
63581 zotero                             	       0        5        5        0        0
63582 zotero-standalone                  	       0        1        1        0        0
63583 zotero6                            	       0        1        1        0        0
63584 zoxide                             	       0        5        4        1        0
63585 zpaqfranz                          	       0        1        1        0        0
63586 zplug                              	       0        7        6        1        0
63587 zramen                             	       0        3        3        0        0
63588 zre-17                             	       0        1        1        0        0
63589 zsh-antidote                       	       0        1        1        0        0
63590 zsh-antigen                        	       0       12        0        0       12
63591 zsh-autosuggestions                	       0       35        0        0       35
63592 zsh-completions                    	       0        1        0        0        1
63593 zsh-dev                            	       0        4        3        1        0
63594 zsh-doc                            	       0       41        0        0       41
63595 zsh-lovers                         	       0        2        0        0        2
63596 zsh-syntax-highlighting            	       0       36        0        0       36
63597 zsh-theme-powerlevel9k             	       0        7        0        0        7
63598 zshdb                              	       0        1        1        0        0
63599 zsnes                              	       0        8        8        0        0
63600 zst                                	       0        3        3        0        0
63601 zstd-dbgsym                        	       0        1        1        0        0
63602 zulu-11                            	       0        2        1        0        1
63603 zulu-17                            	       0        1        1        0        0
63604 zulu-6                             	       0        5        0        0        5
63605 zulu-8                             	       0        1        1        0        0
63606 zulu-fx-17                         	       0        1        1        0        0
63607 zulu11                             	       0        1        0        0        1
63608 zulu11-ca                          	       0        1        0        0        1
63609 zulu11-ca-doc                      	       0        1        0        0        1
63610 zulu11-ca-jdk                      	       0        1        0        0        1
63611 zulu11-ca-jdk-headless             	       0        1        0        0        1
63612 zulu11-ca-jre                      	       0        1        0        0        1
63613 zulu11-ca-jre-headless             	       0        1        1        0        0
63614 zulu11-doc                         	       0        1        0        0        1
63615 zulu11-jdk                         	       0        1        0        0        1
63616 zulu11-jdk-headless                	       0        1        0        0        1
63617 zulu11-jre                         	       0        1        0        0        1
63618 zulu11-jre-headless                	       0        1        0        0        1
63619 zulu17-ca-doc                      	       0        2        0        0        2
63620 zulu17-ca-jdk                      	       0        2        0        0        2
63621 zulu17-ca-jdk-headless             	       0        2        0        0        2
63622 zulu17-ca-jre                      	       0        2        0        0        2
63623 zulu17-ca-jre-headless             	       0        2        2        0        0
63624 zulu17-doc                         	       0        2        0        0        2
63625 zulu17-jdk                         	       0        2        0        0        2
63626 zulu17-jdk-headless                	       0        2        0        0        2
63627 zulu17-jre                         	       0        2        0        0        2
63628 zulu17-jre-headless                	       0        2        0        0        2
63629 zulucrypt-cli                      	       0       34       34        0        0
63630 zulucrypt-gui                      	       0       34       34        0        0
63631 zulumount-cli                      	       0       17       17        0        0
63632 zulumount-gui                      	       0       16       16        0        0
63633 zulupolkit                         	       0       36       36        0        0
63634 zulusafe-cli                       	       0        5        5        0        0
63635 zx                                 	       0        1        1        0        0
63636 zxing-cpp-tools                    	       0        1        1        0        0
63637 zygrib                             	       0        3        3        0        0
63638 zygrib-maps                        	       0        3        0        0        3
63639 zynadd                             	       0        4        4        0        0
63640 zynaddsubfx-data                   	       0       63        4        0       59
63641 zynaddsubfx-dssi                   	       0       12       12        0        0
63642 zynaddsubfx-lv2                    	       0        5        5        0        0
63643 zynaddsubfx-vst                    	       0        4        4        0        0
63644 zypper                             	       0        3        3        0        0
63645 zypper-common                      	       0        3        3        0        0
63646 zypper-doc                         	       0        1        0        0        1
63647 zytrax                             	       0        3        3        0        0
63648 zziplib-bin                        	       0        3        3        0        0
63649 zzuf                               	       0        2        2        0        0